; --------------------------------------------------------------------------------
; @Title: G9090x On-Chip Peripherals
; @Props: Released
; @Author: JDU
; @Changelog: 2023-05-17 JDU
; @Manufacturer: SemiDrive
; @Doc: Generated (Trace32 Version S.2023.04.000158918M), based on : G9/xml files,
; G9H_Processor_Datasheet_Rev01.00.pdf, G9_Processor_TRM_Rev00.10.pdf
; @Core: Cortex-R5F, Cortex-A55
; @Chip: G9090*
; @Copyright: (C) 1989-2023 Lauterbach GmbH, licensed for use with TRACE32(R) only
; --------------------------------------------------------------------------------
; $Id: perg9h.per 17440 2024-02-02 15:33:08Z kwisniewski $
; KNOWN PROBLEMS
; ETHERNET - All address blocks have same set of offsets in TRM
; DDR_PHY - No information about module in TRM
; LVDS - No information about module in TRM
; MSHC - No information about DWC_mshc_embedded_control and
; DWC_mshc_vendor registers in TRM
sif (CORENAME()=="CORTEXR5F")
tree "Core Registers (Cortex-R5F)"
AUTOINDENT.PUSH
AUTOINDENT.OFF
width 0x8
; --------------------------------------------------------------------------------
; Identification registers
; --------------------------------------------------------------------------------
tree "ID Registers"
rgroup.long c15:0x00++0x00
line.long 0x00 "MIDR,Main ID Register"
hexmask.long.byte 0x0 24.--31. 0x1 " IMPL ,Implementer code"
bitfld.long 0x0 20.--23. " VAR ,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x0 16.--19. " ARCH ,Architecture" "Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,Pre-ARMv7,ARMv7"
textline " "
hexmask.long.word 0x0 4.--15. 0x1 " PART ,Primary Part Number"
bitfld.long 0x0 0.--3. " REV ,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
rgroup.long c15:0x100++0x00
line.long 0x00 "CTR,Cache Type Register"
bitfld.long 0x00 24.--27. " CWG ,Cache Write-back Granule" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
textline " "
bitfld.long 0x00 20.--23. " ERG ,Exclusives Reservation Granule" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x0 16.--19. " DMINLINE ,D-Cache Minimum Line Size" "1 word,2 words,4 words,8 words,16 words,32 words,64 words,128 words,256 words,512 words,1024 words,2048 words,4096 words,8192 words,16384 words,32768 words"
bitfld.long 0x0 14.--15. " L1POLICY ,L1 Instruction cache policy" "Reserved,ASID,Virtual,Physical"
textline " "
bitfld.long 0x0 0.--3. " IMINLINE ,I-Cache Minimum Line Size" "1 word,2 words,4 words,8 words,16 words,32 words,64 words,128 words,256 words,512 words,1024 words,2048 words,4096 words,8192 words,16384 words,32768 words"
rgroup.long c15:0x400--0x400
line.long 0x0 "MPUIR,MPU type register"
hexmask.long.byte 0x00 8.--15. 1. " REGNUM ,Number of regions"
bitfld.long 0x00 0. " TYPE ,Type of MPU regions" "Unified,Seperated"
rgroup.long c15:0x500++0x00
line.long 0x0 "MPIDR,Multiprocessor Affinity Register"
bitfld.long 0x00 30.--31. " MULT_EXT ,Multiprocessing extensions" "No extensions,Reserved,Reserved,Part of a uniprocessor system"
textline " "
hexmask.long.byte 0x00 16.--23. 1. " AFFL2 ,Affitnity Level 2"
hexmask.long.byte 0x00 8.--15. 1. " AFFL1 ,Affitnity Level 1"
hexmask.long.byte 0x00 0.--7. 1. " AFFL0 ,Affitnity Level 0"
textline " "
rgroup.long c15:0x0410++0x00
line.long 0x00 "MMFR0,Memory Model Feature Register 0"
bitfld.long 0x00 28.--31. " IT ,Instruction Type Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " FCSE ,Fast Context Switch Memory Mappings Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " ACR ,Auxiliary Control Register Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " TCM ,TCM and Associated DMA Support" "Not supported,?..."
textline " "
bitfld.long 0x00 12.--15. " SL ,Number of Shareability levels implemented" "1,?..."
bitfld.long 0x00 8.--11. " OS ,Outermost Shareability domain support" "Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " PMSA ,Physical Memory System Architecture (PMSA) Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " VMSA ,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Supported,?..."
rgroup.long c15:0x0510++0x00
line.long 0x00 "MMFR1,Memory Model Feature Register 1"
bitfld.long 0x00 28.--31. " BTB ,Branch Target Buffer Support" "Reserved,Reserved,Not required,?..."
bitfld.long 0x00 24.--27. " L1TCO ,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..."
textline " "
bitfld.long 0x00 20.--23. " L1UCMO ,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 16.--19. " L1HCMO ,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " L1UCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 8.--11. " L1HCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " L1UCLMOMVA ,L1 Cache Line Maintenance Operations by MVA/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " L1HCLMOMVA ,L1 Cache Line Maintenance Operations by MVA/Harvard Architecture" "Supported,?..."
rgroup.long c15:0x0610++0x00
line.long 0x00 "MMFR2,Memory Model Feature Register 2"
bitfld.long 0x00 28.--31. " HAF ,Hardware Access Flag Support" "Not supported,?..."
bitfld.long 0x00 24.--27. " WFI ,Wait for Interrupt Stalling Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " MBF ,Memory Barrier Operations Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " UTLBMO ,TLB Maintenance Operations/Unified Architecture Support" "Not supported,?..."
textline " "
bitfld.long 0x00 12.--15. " HTLBMO ,TLB Maintenance Operations/Harvard Architecture Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " HL1CMRO ,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..."
textline " "
bitfld.long 0x00 4.--7. " HL1BPCRO ,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " HL1FPCRO ,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
rgroup.long c15:0x0710++0x00
line.long 0x00 "MMFR3,Memory Model Feature Register 3"
bitfld.long 0x00 28.--31. " SS ,Supersection support" "Supported,?..."
bitfld.long 0x00 20.--23. " CW ,Coherent walk" "Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " MB ,Invalidate broadcast Support" "Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " HCMOSW ,Invalidate Cache by Set and Way/Clean by Set and Way/Invalidate and Clean by Set and Way Support" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " HCMOMVA ,Invalidate Cache by MVA/Clean by MVA/Invalidate and Clean by MVA/Invalidate All Support" "Reserved,Supported,?..."
rgroup.long c15:0x020++0x00
line.long 0x00 "ISAR0,Instruction Set Attributes Register 0"
bitfld.long 0x00 24.--27. " DIVI ,Divide Instructions Support" "Not supported,?..."
bitfld.long 0x00 20.--23. " DEBI ,Debug Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 16.--19. " CI ,Coprocessor Instructions Support" "Not supported,?..."
bitfld.long 0x00 12.--15. " CBI ,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 8.--11. " BI ,Bitfield Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 4.--7. " BCI ,Bit Counting Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 0.--3. " SI ,Swap Instructions Support" "Reserved,Supported,?..."
rgroup.long c15:0x120++0x00
line.long 0x00 "ISAR1,Instruction Set Attributes Register 1"
bitfld.long 0x00 28.--31. " JI ,Jazelle Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " INTI ,Instructions That Branch Between ARM and Thumb Code Support" "Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " IMMI ,Immediate Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " ITEI ,If Then Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " EXTI ,Sign or Zero Extend Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " E2I ,Exception 2 Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " E1I ,Exception 1 Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " ENDI ,Endianness Control Instructions Support" "Reserved,Supported,?..."
rgroup.long c15:0x220++0x00
line.long 0x00 "ISAR2,Instruction Set Attributes Register 2"
bitfld.long 0x00 28.--31. " RI ,Reversal Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " PSRI ,PSR Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " UMI ,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " SMI ,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " MI ,Multiply Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " II ,Multi-Access Interruptible Instructions Support" "Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " MHI ,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " LSI ,Load and Store Instructions Support" "Reserved,Supported,?..."
rgroup.long c15:0x320++0x00
line.long 0x00 "ISAR3,Instruction Set Attributes Register 3"
bitfld.long 0x00 28.--31. " T2E ,Thumb-2 Extensions Support" "Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " NOPI ,True NOP Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " TCI ,Thumb Copy Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " TBI ,Table Branch Instructions Support" "Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " SPI ,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " SVCI ,SVC Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " SIMDI ,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " SI ,Saturate Instructions Support" "Reserved,Supported,?..."
rgroup.long c15:0x420++0x00
line.long 0x00 "ISAR4,Instruction Set Attributes Register 4"
bitfld.long 0x00 28.--31. " SWP_FRAC ,SWAP_frac" "Supported,?..."
bitfld.long 0x00 24.--27. " PSR_M_I ,PSR_M Instructions Support" "Not supported,?..."
textline " "
bitfld.long 0x00 20.--23. " EI ,Exclusive Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " BI ,Barrier Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " SMII ,SMI Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " WBI ,Write-Back Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " WSI ,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " UI ,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..."
rgroup.long c15:0x0520++0x00
line.long 0x00 "ISAR5,Instruction Set Attribute Registers 5 (Reserved)"
rgroup.long c15:0x0620++0x00
line.long 0x00 "ISAR6,Instruction Set Attribute Registers 6 (Reserved)"
rgroup.long c15:0x0720++0x00
line.long 0x00 "ISAR7,Instruction Set Attribute Registers 7 (Reserved)"
rgroup.long c15:0x010++0x00
line.long 0x00 "ID_PFR0,Processor Feature Register 0"
bitfld.long 0x00 12.--15. " STATE3 ,Thumb-2 Execution Environment (Thumb-2EE) Support" "Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " STATE2 ,Java Extension Interface Support" "Not supported,?..."
bitfld.long 0x00 4.--7. " STATE1 ,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 0.--3. " STATE0 ,ARM Instruction Set Support" "Reserved,Supported,?..."
rgroup.long c15:0x110++0x00
line.long 0x00 "ID_PFR1,Processor Feature Register 1"
bitfld.long 0x00 8.--11. " MPM ,Microcontroller Programmer's Model Support" "Supported,?..."
bitfld.long 0x00 4.--7. " SE ,Security Extensions Architecture v1 Support" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " PM ,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..."
textline " "
rgroup.long c15:0x210++0x00
line.long 0x00 "ID_DFR0,Debug Feature Register 0"
bitfld.long 0x00 20.--23. " MDM_MM ,Microcontroller Debug Model Support" "Not supported,?..."
bitfld.long 0x00 16.--19. " TDM_MM ,Trace Debug Model (Memory-Mapped) Support" "Reserved,Supported,?..."
bitfld.long 0x00 12.--15. " TDM_CB ,Coprocessor-Based Trace Debug Model Support" "Not supported,?..."
textline " "
bitfld.long 0x00 8.--11. " CDM_MM ,Memory-Mapped Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 4.--7. " SDM_CB ,Secure Debug Model (Coprocessor) Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " CDM_CB ,Coprocessor Debug Model Support" "Not supported,?..."
rgroup.long c15:0x310++0x00
line.long 0x00 "ID_AFR0,Auxiliary Feature Register 0"
rgroup.long c15:0x02f++0x00
line.long 0x00 "BO1R,Build Options 1 Register"
hexmask.long.long 0x00 12.--31. 0x1000 " TCM_HI_INIT_ADDR ,Default high address for the TCM"
bitfld.long 0x00 1. " FLOAT_PRECISION ,Indicate whether double-precision floating point is implemented" "Not implemented,Implemented"
textline " "
bitfld.long 0x00 0. " PP_BUS_ECC ,Indicate whether bus-ECC is implemented" "Not implemented,Implemented"
group.long c15:0x12f++0x00
line.long 0x00 "BO2R,Build Options 2 Register"
bitfld.long 0x00 31. " NUM_CPU ,Number of CPUs" "1,2"
bitfld.long 0x00 30. " LOCK_STEP ,Indicate whether the CPU has redundant logic running in lock step for checking purposes" "Not included,Included"
textline " "
bitfld.long 0x00 29. " NO_ICACHE ,Indicate whether the CPU contains instruction cache" "Yes,No"
bitfld.long 0x00 28. " NO_DCACHE ,Indicate whether the CPU contains data cache" "Yes,No"
textline " "
bitfld.long 0x00 26.--27. " ATCM_ES ,Indicate whether an error scheme is implemented on the ATCM interface" "No error scheme,32 bit error detection,Reserved,64 bit error detection"
bitfld.long 0x00 23.--25. " BTCM_ES ,Indicate whether an error scheme is implemented on the BTCM interface" "No error scheme,32 bit error detection,Reserved,64 bit error detection,?..."
textline " "
bitfld.long 0x00 23. " NO_IE ,Indicate whether the processor supports big-endian instructions" "Yes,No"
bitfld.long 0x00 22. " NO_FPU ,Indicate whether the CPU contains a floating point unit" "Yes,No"
textline " "
bitfld.long 0x00 20.--21. " MPU_REGIONS ,Indicates the number of regions in the included CPU MPU" "No region,Reserved,12 regions,16 regions"
bitfld.long 0x00 17.--19. " BREAK_POINTS ,Indicate the number of break points implemented in each CPU in the processor minus 1" "0,1,2,3,4,5,6,7"
textline " "
bitfld.long 0x00 14.--16. " WATCH_POINTS ,Indicate the number of watch points implemented in each CPU in the processor minus 1" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 13. " NO_A_TCM_INF ,Indicate whether the CPUs contain ATCM ports" "Yes,No"
textline " "
bitfld.long 0x00 12. " NO_B0_TCM_INF ,Indicate whether the CPUs contain B0TCM ports" "Yes,No"
bitfld.long 0x00 11. " NO_B1_TCM_INF ,Indicate whether the CPUs contain B1TCM ports" "Yes,No"
textline " "
bitfld.long 0x00 10. " TCMBUSPARITY ,Indicate whether the processor contains TCM address bus parity logic" "No,Yes"
bitfld.long 0x00 9. " NO_SLAVE ,Indicate whether the CPU contains an AXI slave port" "Yes,No"
textline " "
bitfld.long 0x00 7.--8. " ICACHE_ES ,Indicate whether an error scheme is implemented for the instruction cache" "No error scheme,8-bit parity,Reserved,64-bit ECC"
bitfld.long 0x00 5.--6. " DCACHE_ES ,Indicate whether an error scheme is implemented for the data cache" "No error scheme,8-bit parity,32-bit ECC,?..."
textline " "
bitfld.long 0x00 4. " NO_HARD_ERROR_CACHE ,Indicate whether the processor contains cache for corrected TCM errors" "Yes,No"
bitfld.long 0x00 3. " AXI_BUS_ECC ,Indicate whether the processor contains AXI bus ECC logic" "No,Yes"
textline " "
bitfld.long 0x00 2. " SL ,Indicate whether the processor has been built with split/lock logic" "No,Yes"
bitfld.long 0x00 1. " AHB_PP ,Indicate whether the CPU contain AHB peripheral interfaces" "No,Yes"
textline " "
bitfld.long 0x00 0. " MICRO_SCU ,Indicate whether the processor contain an ACP interface" "No,Yes"
group.long c15:0x72f++0x00
line.long 0x00 "POR,Pin Options Register"
bitfld.long 0x00 4. " DBGNOCLKSTOP ,Value of the DBGNOCLKSTOP pin" "Low,High"
bitfld.long 0x00 3. " INTSYNCEN ,Value of the INTSYNCEN pin" "Low,High"
textline " "
bitfld.long 0x00 2. " IRQADDRVSYNCEN ,Value of the IRQADDRVSYNCEN pin" "Low,High"
bitfld.long 0x00 1. " SLBTCMSB ,Value of the SLBTCMSBm pin" "Low,High"
textline " "
bitfld.long 0x00 0. " PARITYLEVEL ,Value of the PARITYLEVEL pin" "Low,High"
tree.end
width 0x8
tree "System Control and Configuration"
group.long c15:0x01++0x00
line.long 0x00 "SCTLR,Control Register"
bitfld.long 0x0 31. " IE ,Instruction endianness" "Little,Big"
bitfld.long 0x0 30. " TE ,Thumb exception enable" "ARM,Thumb"
bitfld.long 0x0 29. " AFE ,Access Flag Enable" "Disable,Enable"
bitfld.long 0x0 28. " TRE ,TEX remap enable" "Disable,Enable"
bitfld.long 0x0 27. " NMFI ,Nonmaskable Fast Interrupt enable" "Disable,Enable"
textline " "
bitfld.long 0x0 25. " EE ,Exception endianess" "Little,Big"
bitfld.long 0x0 24. " VE ,Vector Enable" "Disable,Vectored"
bitfld.long 0x0 21. " FI ,Fast Interrupts enable" "Disable,Enable"
textline " "
bitfld.long 0x0 19. " DZ ,Divide by Zero exception bit" "Disable,Enable"
bitfld.long 0x0 17. " BR ,MPU Background region enable" "Disable,Enable"
bitfld.long 0x0 14. " RR ,Round-Robin bit" "Random,RRobin"
bitfld.long 0x0 13. " V ,Base Location of Exception Registers" "0x00000000,0xFFFF0000"
textline " "
bitfld.long 0x0 12. " I ,Instruction Cache Enable" "Disable,Enable"
bitfld.long 0x0 11. " Z ,Branch Prediction Enable" "Disable,Enable"
bitfld.long 0x0 2. " C ,Enable data cache" "Disable,Enable"
bitfld.long 0x0 1. " A ,Strict Alignment" "Disable,Enable"
bitfld.long 0x0 0. " M ,MPU Enable" "Disable,Enable"
textline " "
group.long c15:0x101++0x00
line.long 0x0 "ACTLR,Auxiliary Control Register"
bitfld.long 0x00 31. " DICDI ,Disable Case C dual issue control" "Enable,Disable"
bitfld.long 0x00 30. " DIB2DI ,Disable Case B2 dual issue control" "Enable,Disable"
bitfld.long 0x00 29. " DIB1DI ,Disable Case B1 dual issue control" "Enable,Disable"
textline " "
bitfld.long 0x00 28. " DIADI ,Disable Case A dual issue control" "Enable,Disable"
bitfld.long 0x00 27. " B1TCMPCEN ,B1TCM parity or ECC check enable" "Disable,Enable"
bitfld.long 0x00 26. " B0TCMPCEN ,B1TCM parity or ECC check enable" "Disable,Enable"
textline " "
bitfld.long 0x00 25. " ATCMPCEN ,B1TCM parity or ECC check enable" "Disable,Enable"
bitfld.long 0x00 24. " AXISCEN ,AXI slave cache access enable" "Disable,Enable"
bitfld.long 0x00 23. " AXISCUEN ,AXI slave cache User mode access enable" "Disable,Enable"
textline " "
bitfld.long 0x00 22. " DILSM ,Disable LIL on load/store multiples" "Enable,Disable"
bitfld.long 0x00 21. " DEOLP ,Disable end of loop prediction" "Enable,Disable"
bitfld.long 0x00 20. " DBHE ,Disable BH extension" "Enable,Disable"
textline " "
bitfld.long 0x00 19. " FRCDIS ,Fetch rate control disable" "Enable,Disable"
bitfld.long 0x00 17. " RSDIS ,Return stack disable" "Enable,Disable"
bitfld.long 0x00 15.--16. " BP ,Control of the branch prediction policy" "Normal,Taken,Not taken,?..."
textline " "
bitfld.long 0x00 14. " DBWR ,Disable write_burst on AXI master" "Enable,Disable"
bitfld.long 0x00 13. " DLFO ,Disable linefill optimization in the AXI master" "Enable,Disable"
bitfld.long 0x00 12. " ERPEG ,Enable random parity error generation" "Disable,Enable"
textline " "
bitfld.long 0x00 11. " DNCH ,Disable data forwarding for Non-cacheable accesses in the AXI master" "Enable,Disable"
bitfld.long 0x00 10. " FORA ,Force outer read allocate (ORA) for outer write allocate (OWA) regions" "Not forced,Forced"
bitfld.long 0x00 9. " FWT ,Force write-through (WT) for write-back (WB) regions" "Not forced,Forced"
textline " "
bitfld.long 0x00 8. " FDSnS ,Force D-side to not-shared when MPU is off" "Not forced,Forced"
bitfld.long 0x00 7. " SMOV ,sMOV disabled" "Enabled,Disabled"
bitfld.long 0x0 6. " DILS ,Disable low interrupt latency on all load/store instructions" "Enable,Disable"
textline " "
bitfld.long 0x00 3.--5. " CEC ,Cache error control for cache parity and ECC errors" "Generate abort,Generate abort,Generate abort,Reserved,Disabled parity checking,Not generate abort,Not generate abort,?..."
textline " "
bitfld.long 0x00 2. " B1TCMECEN ,B1TCM external error enable" "Disable,Enable"
bitfld.long 0x00 1. " B0TCMECEN ,B0TCM external error enable" "Disable,Enable"
bitfld.long 0x00 0. " ATCMECEN ,ATCM external error enable" "Disable,Enable"
textline " "
group.long c15:0x0f++0x00
line.long 0x00 "SACTLR,Secondary Auxiliary Control Register"
bitfld.long 0x00 22. " DCHE ,Disable hard-error support in the caches" "Enable,Disable"
bitfld.long 0x00 21. " DR2B ,Enable random 2-bit error genration in cache RAMs" "Disable,Enable"
bitfld.long 0x00 20. " DF6DI ,F6 dual issue control" "Enable,Disable"
textline " "
bitfld.long 0x00 19. " DF2DI ,F2 dual issue control" "Enable,Disable"
bitfld.long 0x00 18. " DDI ,F1/F3/F4 dual issue control" "Enable,Disable"
bitfld.long 0x00 17. " DOODPFP ,Out-of-order Double Precision Floating-point control" "Enable,Disable"
textline " "
bitfld.long 0x00 16. " DOOFMACS ,Out-of-order FMACS control" "Enable,Disable"
bitfld.long 0x00 13. " IXC ,Floating-point inexact exception output mask" "Mask,Propagate"
bitfld.long 0x00 12. " OFC ,Floating-point overflow exception output mask" "Mask,Propagate"
textline " "
bitfld.long 0x00 11. " UFC ,Floating-point underflow exception output mask" "Mask,Propagate"
bitfld.long 0x00 10. " IOC ,Floating-point invalid operation exception output mask" "Mask,Propagate"
bitfld.long 0x00 9. " DZC ,Floating-point divide-by-zero exception output mask" "Mask,Propagate"
textline " "
bitfld.long 0x00 8. " IDC ,Floating-point input denormal exception output mask" "Mask,Propagate"
bitfld.long 0x00 3. " BTCMECC ,Correction for internal ECC logic on BTCM ports" "Enable,Disable"
bitfld.long 0x00 2. " ATCMECC ,Correction for internal ECC logic on ATCM port" "Enable,Disable"
textline " "
bitfld.long 0x00 1. " BTCMRMW ,Enable 64-bit stores on BTCMs" "Disable,Enable"
bitfld.long 0x00 0. " ATCMRMW ,Enable 64-bit stores on ATCM" "Disable,Enable"
textline " "
group.long c15:0x201++0x00
line.long 0x0 "CPACR,Coprocessor Access Control Register"
bitfld.long 0x0 31. " ASEDIS ,Disable Advanced SIMD Extension functionality" "No,Yes"
bitfld.long 0x0 30. " D32DIS ,Disable use of D16-D31 of the VFP register file" "No,Yes"
textline " "
bitfld.long 0x0 26.--27. " CP13 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
bitfld.long 0x0 24.--25. " CP12 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
textline " "
bitfld.long 0x0 22.--23. " CP11 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
bitfld.long 0x0 20.--21. " CP10 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
textline " "
bitfld.long 0x0 18.--19. " CP9 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
bitfld.long 0x0 16.--17. " CP8 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
textline " "
bitfld.long 0x0 14.--15. " CP7 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
bitfld.long 0x0 12.--13. " CP6 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
textline " "
bitfld.long 0x0 10.--11. " CP5 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
bitfld.long 0x0 8.--9. " CP4 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
textline " "
bitfld.long 0x0 6.--7. " CP3 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
bitfld.long 0x0 4.--5. " CP2 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
textline " "
bitfld.long 0x0 2.--3. " CP1 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
bitfld.long 0x0 0.--1. " CP0 ,Coprocesor access control" "Denied,Privileged,Reserved,Full"
textline " "
group.long c15:0x000b++0x00
line.long 0x00 "SPCR,Slave Port Control Register"
bitfld.long 0x00 1. " PRIV ,Privilege access only" "User/Privilege,Privilege only"
bitfld.long 0x00 0. " AXISLEN ,AXI slave port disable" "Enabled,Disabled"
tree.end
width 0x8
tree "MPU Control and Configuration"
group.long c15:0x01++0x00
line.long 0x00 "SCTLR,Control Register"
bitfld.long 0x0 31. " IE ,Instruction endianness" "Little,Big"
bitfld.long 0x0 30. " TE ,Thumb exception enable" "ARM,Thumb"
bitfld.long 0x0 29. " AFE ,Access Flag Enable" "Disable,Enable"
bitfld.long 0x0 28. " TRE ,TEX remap enable" "Disable,Enable"
bitfld.long 0x0 27. " NMFI ,Nonmaskable Fast Interrupt enable" "Disable,Enable"
textline " "
bitfld.long 0x0 25. " EE ,Exception endianess" "Little,Big"
bitfld.long 0x0 24. " VE ,Vector Enable" "Disable,Vectored"
bitfld.long 0x0 21. " FI ,Fast Interrupts enable" "Disable,Enable"
textline " "
bitfld.long 0x0 19. " DZ ,Divide by Zero exception bit" "Disable,Enable"
bitfld.long 0x0 17. " BR ,MPU Background region enable" "Disable,Enable"
bitfld.long 0x0 14. " RR ,Round-Robin bit" "Random,RRobin"
bitfld.long 0x0 13. " V ,Base Location of Exception Registers" "0x00000000,0xFFFF0000"
textline " "
bitfld.long 0x0 12. " I ,Instruction Cache Enable" "Disable,Enable"
bitfld.long 0x0 11. " Z ,Branch Prediction Enable" "Disable,Enable"
bitfld.long 0x0 2. " C ,Enable data cache" "Disable,Enable"
bitfld.long 0x0 1. " A ,Strict Alignment" "Disable,Enable"
bitfld.long 0x0 0. " M ,MPU Enable" "Disable,Enable"
textline " "
group.long c15:0x05++0x00
line.long 0x00 "DFSR,Data Fault Status Register"
bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR"
bitfld.long 0x00 11. " RW ,Access Caused an Abort Type" "Read,Write"
textline " "
bitfld.long 0x00 4.--7. " DOMAIN ,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15"
bitfld.long 0x00 0.--3. 10. " STATUS ,Generated Exception Type" "Reserved,Alignment,Debug,Access/section,Instruction,Translation/section,Access/page,Translation/page,Nontranslation/synchronous external,Domain/section,Reserved,Domain/page,L1/external,Permission/section,L2/external,Permission/page,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous external,?..."
group.long c15:0x15++0x00
line.long 0x00 "ADFSR,Auxiliary Data Fault Status Register"
bitfld.long 0x00 24.--27. " CACHEWAY ,Cache way or ways in which the error occurred" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 22.--23. 20. " SIDE ,Source of the error" "Cache/AXIM,ATCM,BTCM,Reserved,Reserved,AXI,AHB,Reserved"
textline " "
bitfld.long 0x00 21. " REC_ERR ,Error recoverability indication" "Not recoverable,Recoverable"
bitfld.long 0x00 20. " SIDE_EXT ,Source of the error" "Internal,External"
textline " "
hexmask.long.word 0x00 5.--13. 1. " INDEX ,Index Value for The Access Giving the Error Register"
group.long c15:0x06++0x00
line.long 0x00 "DFAR,Data Fault Address Register"
textline " "
group.long c15:0x0105++0x00
line.long 0x00 "IFSR,Instruction Fault Status Register"
bitfld.long 0x00 12. " EXT ,External Abort Qualifier" "DECERR,SLVERR"
bitfld.long 0x00 0.--3. 10. " STATUS ,Generated Exception Type" "Reserved,Alignment,Debug,Access/section,Instruction,Translation/section,Access/page,Translation/page,Nontranslation/synchronous external,Domain/section,Reserved,Domain/page,L1/external,Permission/section,L2/external,Permission/page,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous external,?..."
group.long c15:0x115++0x00
line.long 0x00 "AIFSR,Auxiliary Instruction Fault Status Register"
bitfld.long 0x00 24.--27. " CACHEWAY ,Cache way or ways in which the error occurred" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 22.--23. 20. " SIDE ,Source of the error" "Cache/AXIM,ATCM,BTCM,Reserved,Reserved,AXI,AHB,Reserved"
textline " "
bitfld.long 0x00 21. " REC_ERR ,Error recoverability indication" "Not recoverable,Recoverable"
bitfld.long 0x00 20. " SIDE_EXT ,Source of the error" "Internal,External"
textline " "
hexmask.long.word 0x00 5.--13. 1. " INDEX ,Index Value for The Access Giving the Error Register"
group.long c15:0x206++0x00
line.long 0x00 "IFAR,Instruction Fault Address Register"
textline " "
group.long c15:0x0016++0x00
line.long 0x00 "RBAR,Region Base Address Register"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group.long c15:0x0216++0x00
line.long 0x00 "RSER,Region Size and Enable Register"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group.long c15:0x0416++0x00
line.long 0x00 "RACR,Region Access Control Register"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " TYPE ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
group.long c15:0x0026++0x00
line.long 0x00 "MRNR,Memory Region Number Register"
bitfld.long 0x00 0.--3. " REGION ,Defines the group of registers to be accessed" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
textline " "
group.long c15:0x010d++0x00
line.long 0x00 "CIDR,Context ID Register"
group.long c15:0x20d++0x00
line.long 0x00 "TIDRURW,User read/write Thread and Process ID Register"
group.long c15:0x30d++0x00
line.long 0x00 "TIDRURO,User read only Thread and Process ID Register"
group.long c15:0x40d++0x00
line.long 0x00 "TIDRPRW,Privileged Only Thread and Process ID Register"
width 0x08
tree "MPU regions"
group c15:0x0016++0x00
saveout c15:0x26 %l 0x0
line.long 0x00 "RBAR0,Region Base Address Register 0"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x0
line.long 0x00 "RSER0,Region Size and Enable Register 0"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x0
line.long 0x00 "RACR0,Region Access Control Register 0"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x1
line.long 0x00 "RBAR1,Region Base Address Register 1"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x1
line.long 0x00 "RSER1,Region Size and Enable Register 1"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x1
line.long 0x00 "RACR1,Region Access Control Register 1"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x2
line.long 0x00 "RBAR2,Region Base Address Register 2"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x2
line.long 0x00 "RSER2,Region Size and Enable Register 2"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x2
line.long 0x00 "RACR2,Region Access Control Register 2"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x3
line.long 0x00 "RBAR3,Region Base Address Register 3"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x3
line.long 0x00 "RSER3,Region Size and Enable Register 3"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x3
line.long 0x00 "RACR3,Region Access Control Register 3"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x4
line.long 0x00 "RBAR4,Region Base Address Register 4"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x4
line.long 0x00 "RSER4,Region Size and Enable Register 4"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x4
line.long 0x00 "RACR4,Region Access Control Register 4"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x5
line.long 0x00 "RBAR5,Region Base Address Register 5"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x5
line.long 0x00 "RSER5,Region Size and Enable Register 5"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x5
line.long 0x00 "RACR5,Region Access Control Register 5"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x6
line.long 0x00 "RBAR6,Region Base Address Register 6"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x6
line.long 0x00 "RSER6,Region Size and Enable Register 6"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x6
line.long 0x00 "RACR6,Region Access Control Register 6"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x7
line.long 0x00 "RBAR7,Region Base Address Register 7"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x7
line.long 0x00 "RSER7,Region Size and Enable Register 7"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x7
line.long 0x00 "RACR7,Region Access Control Register 7"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x8
line.long 0x00 "RBAR8,Region Base Address Register 8"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x8
line.long 0x00 "RSER8,Region Size and Enable Register 8"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x8
line.long 0x00 "RACR8,Region Access Control Register 8"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0x9
line.long 0x00 "RBAR9,Region Base Address Register 9"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0x9
line.long 0x00 "RSER9,Region Size and Enable Register 9"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0x9
line.long 0x00 "RACR9,Region Access Control Register 9"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0xA
line.long 0x00 "RBAR10,Region Base Address Register 10"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0xA
line.long 0x00 "RSER10,Region Size and Enable Register 10"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0xA
line.long 0x00 "RACR10,Region Access Control Register 10"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0xB
line.long 0x00 "RBAR11,Region Base Address Register 11"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0xB
line.long 0x00 "RSER11,Region Size and Enable Register 11"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0xB
line.long 0x00 "RACR11,Region Access Control Register 11"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0xC
line.long 0x00 "RBAR12,Region Base Address Register 12"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0xC
line.long 0x00 "RSER12,Region Size and Enable Register 12"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0xC
line.long 0x00 "RACR12,Region Access Control Register 12"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0xD
line.long 0x00 "RBAR13,Region Base Address Register 13"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0xD
line.long 0x00 "RSER13,Region Size and Enable Register 13"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0xD
line.long 0x00 "RACR13,Region Access Control Register 13"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0xE
line.long 0x00 "RBAR14,Region Base Address Register 14"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0xE
line.long 0x00 "RSER14,Region Size and Enable Register 14"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0xE
line.long 0x00 "RACR14,Region Access Control Register 14"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
group c15:0x0016++0x00
saveout c15:0x26 %l 0xF
line.long 0x00 "RBAR15,Region Base Address Register 15"
hexmask.long 0x00 5.--31. 0x20 " BA ,Base address"
group c15:0x0216++0x00
saveout c15:0x26 %l 0xF
line.long 0x00 "RSER15,Region Size and Enable Register 15"
bitfld.long 0x00 15. " SD ,Subregion 7 disable" "-,D"
bitfld.long 0x00 14. " ,Subregion 6 disable" "-,D"
bitfld.long 0x00 13. " ,Subregion 5 disable" "-,D"
bitfld.long 0x00 12. " ,Subregion 4 disable" "-,D"
bitfld.long 0x00 11. " ,Subregion 3 disable" "-,D"
bitfld.long 0x00 10. " ,Subregion 2 disable" "-,D"
bitfld.long 0x00 9. " ,Subregion 1 disable" "-,D"
bitfld.long 0x00 8. " ,Subregion 0 disable" "-,D"
bitfld.long 0x00 1.--5. " RS ,Region size" "Unpredictable,Unpredictable,Unpredictable,Unpredictable,32 bytes,64 bytes,128 bytes,256 bytes,512 bytes,1KB,2KB,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16MB,32MB,64MB,128MB,256MB,512MB,1GB,2GB,4GB"
bitfld.long 0x00 0. " EN ,Enable" "Disabled,Enabled"
group c15:0x0416++0x00
saveout c15:0x26 %l 0xF
line.long 0x00 "RACR15,Region Access Control Register 15"
bitfld.long 0x00 12. " XN ,Execute never" "-,NoExec"
bitfld.long 0x00 2. " S ,Share" "No,Yes"
bitfld.long 0x00 8.--10. " AP ,Access permission" "None,Privileged,User read-only,Full,Reserved,Privileged read,Read-only,Reserved"
bitfld.long 0x00 0.--1. 3.--5. " type ,Region type" "Strongly-ordered,Shareable Device,Outer and Inner write-through/no write-allocate,Outer and Inner write-back/no write-allocate,Outer and Inner Non-cacheable,00101,00110,Outer and Inner write-back/write-allocate,Non-shareable Device,01001,01010,01011,01100,01101,01110,01111,O:Non-cacheable I:Non-cacheable,O:Non-cacheable I:Write-back/write-allocate,O:Non-cacheable I:Write-through/no write-allocate,O:Non-cacheable I:Write-back/no write-allocate,O:Write-back/write-allocate I:Non-cacheable,O:Write-back/write-allocate I:Write-back/write-allocate,O:Write-back/write-allocate I:Write-through/no write-allocate,O:Write-back/write-allocate I:Write-back/no write-allocate,O:Write-through/no write-allocate I:Non-cacheable,O:Write-through/no write-allocate I:Write-back/write-allocate,O:Write-through/no write-allocate I:Write-through/no write-allocate,O:Write-through/no write-allocate I:Write-back/no write-allocate,O:Write-back/no write-allocate I:Non-cacheable,O:Write-back/no write-allocate I:Write-back/write-allocate,O:Write-back/no write-allocate I:Write-through/no write-allocate,O:Write-back/no write-allocate I:Write-back/no write-allocate"
textline " "
tree.end
tree.end
width 0x9
tree "TCM Control and Configuration"
rgroup.long c15:0x200++0x00
line.long 0x00 "TCMTR,TCM Type Register"
bitfld.long 0x00 16.--18. " BTCM ,Number of BTCMs implemented" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 0.--2. " ATCM ,Number of ATCMs implemented" "0,1,2,3,4,5,6,7"
group.long c15:0x019++0x00
line.long 0x00 "BTCMRR,BTCM Region Register"
hexmask.long 0x00 12.--31. 0x1000 " BA ,Base address (physical address)"
bitfld.long 0x00 2.--6. " SIZE ,Size of instruction TCM on reads" "None,Reserved,Reserved,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16M,32M,64M,128M,256M,512M,1G,2G,4G,?..."
bitfld.long 0x00 0. " EN ,Enable instruction TCM" "Disabled,Enabled"
group.long c15:0x119++0x00
line.long 0x00 "ATCMRR,ATCM Region Register"
hexmask.long 0x00 12.--31. 0x1000 " BA ,Base address (physical address)"
bitfld.long 0x00 2.--6. " SIZE ,Size of instruction TCM on reads" "None,Reserved,Reserved,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16M,32M,64M,128M,256M,512M,1G,2G,4G,?..."
bitfld.long 0x00 0. " EN ,Enable instruction TCM" "Disabled,Enabled"
rgroup.long c15:0x29++0x00
line.long 0x00 "TCMSEL,TCM Selection Register"
textline " "
group.long c15:0x10f++0x00
line.long 0x00 "NAXIPIRR,Normal AXI Peripheral Interface Region Register"
hexmask.long 0x00 12.--31. 0x1000 " BA ,Base address of the interface"
bitfld.long 0x00 2.--6. " SIZE ,Size of the interface configured during integration" "None,Reserved,Reserved,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16M,32M,64M,128M,256M,512M,1G,2G,4G,?..."
bitfld.long 0x00 0. " EN ,Interface enable" "Disabled,Enabled"
group.long c15:0x20f++0x00
line.long 0x00 "VAXIPIRR,Virtual AXI Peripheral Interface Region Register"
hexmask.long 0x00 12.--31. 0x1000 " BA ,Base address of the interface"
bitfld.long 0x00 2.--6. " SIZE ,Size of the interface configured during integration" "None,Reserved,Reserved,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16M,32M,64M,128M,256M,512M,1G,2G,4G,?..."
bitfld.long 0x00 0. " EN ,Interface enable" "Disabled,Enabled"
group.long c15:0x30f++0x00
line.long 0x00 "AHBPIRR,AHB Peripheral Interface Region Register"
hexmask.long 0x00 12.--31. 0x1000 " BA ,Base address of the interface"
bitfld.long 0x00 2.--6. " SIZE ,Size of the interface configured during integration" "None,Reserved,Reserved,4KB,8KB,16KB,32KB,64KB,128KB,256KB,512KB,1MB,2MB,4MB,8MB,16M,32M,64M,128M,256M,512M,1G,2G,4G,?..."
bitfld.long 0x00 0. " EN ,Interface enable" "Disabled,Enabled"
tree.end
width 0xC
tree "Cache Control and Configuration"
rgroup.long c15:0x1100++0x00
line.long 0x00 "CLIDR,Cache Level ID Register"
bitfld.long 0x00 27.--29. " LOU ,Level of Unification" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 24.--26. " LOC ,Level of Coherency" "Level 1,Level 2,Level 3,Level 4,Level 5,Level 6,Level 7,Level 8"
textline " "
bitfld.long 0x00 21.--23. " CL8 ,Cache Level (CL) 8" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 18.--20. " CL7 ,Cache Level (CL) 7" "0,1,2,3,4,5,6,7"
textline " "
bitfld.long 0x00 15.--17. " CL6 ,Cache Level (CL) 6" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 12.--14. " CL5 ,Cache Level (CL) 5" "0,1,2,3,4,5,6,7"
textline " "
bitfld.long 0x00 9.--11. " CL4 ,Cache Level (CL) 4" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 6.--8. " CL3 ,Cache Level (CL) 3" "0,1,2,3,4,5,6,7"
textline " "
bitfld.long 0x00 3.--5. " CL2 ,Cache Level (CL) 2" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 0.--2. " CL1 ,Cache Level (CL) 1" "0,1,2,3,4,5,6,7"
rgroup.long c15:0x1700++0x00
line.long 0x00 "AIDR,Auxiliary ID Register"
rgroup.long c15:0x1000++0x00
line.long 0x00 "CCSIDR,Cache Size ID Register"
bitfld.long 0x00 31. " WT ,Write-Through" "Not supported,Supported"
bitfld.long 0x00 30. " WB ,Write-Back" "Not supported,Supported"
textline " "
bitfld.long 0x00 29. " RA ,Read-Allocate" "Not supported,Supported"
bitfld.long 0x00 28. " WA ,Write-Allocate" "Not supported,Supported"
textline " "
hexmask.long.word 0x00 13.--27. 1. " NUMSETS ,Number of sets"
hexmask.long.word 0x00 3.--12. 1. " ASSOCIATIVITY ,Associativity"
textline " "
bitfld.long 0x00 0.--2. " LINESIZE ,Number of words in each cache line" "0,1,2,3,4,5,6,7"
group.long c15:0x2000++0x00
line.long 0x0 "CSSELR,Cache Size Selection Register"
bitfld.long 0x00 1.--3. " LEVEL ,Cache level to select" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 0. " IND ,Instruction or data or unified cache to use" "Data/unified,Instruction"
group.long c15:0x03f++0x00
line.long 0x00 "CFLR,Correctable Fault Location Register"
bitfld.long 0x00 26.--29. " WAY ,Way of the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 24.--25. " SIDE ,Source of the error" "0,1,2,3"
textline " "
hexmask.long.word 0x00 5.--13. 1. " INDEX ,index of the location where the error occurred"
bitfld.long 0x00 0.--1. " TYPE ,Type of access that caused the error" "Instruction cache,Data cache,Reserved,ACP"
group.long c15:0x5f++0x00
line.long 0x00 "IADCR,Invalidate All Data Cache Register"
bitfld.long 0x00 30.--31. " WAY ,Cache way to invalidate or clean" "0,1,2,3"
hexmask.long.byte 0x00 5.--10. 1. " SET ,Cache set to invalidate or clean"
group.long c15:0xef++0x00
line.long 0x00 "CSOR,Cache Size Override Register"
bitfld.long 0x00 4.--7. " Dcache ,Validation data cache size" "4kB,8kB,Reserved,16kB,Reserved,Reserved,Reserved,32kB,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,64kB"
bitfld.long 0x00 0.--3. " Icache ,Validation instruction cache size" "4kB,8kB,Reserved,16kB,Reserved,Reserved,Reserved,32kB,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,64kB"
tree.end
width 12.
tree "System Performance Monitor"
group.long c15:0xc9++0x00
line.long 0x00 "PMCR,Performance Monitor Control Register"
hexmask.long.byte 0x00 24.--31. 1. " IMP ,Implementer code"
hexmask.long.byte 0x00 16.--23. 1. " IDCODE ,Identification code"
bitfld.long 0x00 11.--15. " N ,Number of counters implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 5. " DP ,Disable PMCCNTR when prohibited" "No,Yes"
textline " "
bitfld.long 0x00 4. " X ,Export enable" "Disabled,Enabled"
bitfld.long 0x00 3. " D ,Clock divider" "Every cycle,64th cycle"
bitfld.long 0x00 2. " C ,Clock counter reset" "No action,Reset"
bitfld.long 0x00 1. " P ,Event counter reset" "No action,Reset"
textline " "
bitfld.long 0x00 0. " E ,Enable" "Disabled,Enabled"
group.long c15:0x1c9++0x00
line.long 0x00 "PMCNTENSET,Count Enable Set Register"
eventfld.long 0x00 31. " C ,CCNT Enabled / Enable / Disable CCNT" "Disabled,Enabled"
eventfld.long 0x00 2. " P2 ,PMN2 Enabled / Enable / Disable counter" "Disabled,Enabled"
eventfld.long 0x00 1. " P1 ,PMN1 Enabled / Enable / Disable counter" "Disabled,Enabled"
eventfld.long 0x00 0. " P0 ,PMN0 Enabled / Enable / Disable counter" "Disabled,Enabled"
group.long c15:0x2c9++0x00
line.long 0x0 "PMCNTENCLR,Count Enable Clear Register"
eventfld.long 0x00 31. " C ,CCNT Enabled / Enable / Disable CCNT" "Disabled,Enabled"
eventfld.long 0x00 2. " P2 ,PMN2 Enabled / Enable / Disable counter" "Disabled,Enabled"
eventfld.long 0x00 1. " P1 ,PMN1 Enabled / Enable / Disable counter" "Disabled,Enabled"
eventfld.long 0x00 0. " P0 ,PMN0 Enabled / Enable / Disable counter" "Disabled,Enabled"
group.long c15:0x3c9++0x00
line.long 0x0 "PMOVSR,Overflow Flag Status Register"
eventfld.long 0x00 31. " C ,CCNT overflowed" "No overflow,Overflow"
eventfld.long 0x00 2. " P2 ,PMN2 overflowed" "No overflow,Overflow"
eventfld.long 0x00 1. " P1 ,PMN1 overflowed" "No overflow,Overflow"
eventfld.long 0x00 0. " P0 ,PMN0 overflowed" "No overflow,Overflow"
group.long c15:0x4c9++0x00
line.long 0x0 "PMSWINC,Software Increment Register"
eventfld.long 0x00 2. " P2 ,Increment PMN2" "No action,Increment"
eventfld.long 0x00 1. " P1 ,Increment PMN1" "No action,Increment"
eventfld.long 0x00 0. " P0 ,Increment PMN0" "No action,Increment"
group.long c15:0x01d9++0x00
line.long 0x00 "PMXEVTYPER,Event Type Selection Register"
hexmask.long.byte 0x00 0.--7. 1. " SEL ,Event number selected"
group.long c15:0x02d9++0x00
line.long 0x00 "PMXEVCNTR,Event Count Register"
group.long c15:0x5c9++0x00
line.long 0x00 "PMSELR,Performance Counter Selection Register"
bitfld.long 0x00 0.--4. " SEL ,Counter select" "0,1,2,?..."
group.long c15:0xd9++0x00
line.long 0x00 "PMCCNTR,Cycle Count Register"
group.long c15:0x01d9++0x00
saveout c15:0x5C9 %l 0x0
line.long 0x00 "ESR0,Event Selection Register 0"
hexmask.long.byte 0x00 0.--7. 1. " SEL ,Event Selection"
group.long c15:0x02d9++0x00
saveout c15:0x5C9 %l 0x0
line.long 0x00 "PMCR0,Performance Monitor Count Register 0"
hexmask.long 0x00 0.--31. 1. " PMC ,Performance Monitor Count"
group.long c15:0x01d9++0x00
saveout c15:0x5C9 %l 0x1
line.long 0x00 "ESR1,Event Selection Register 1"
hexmask.long.byte 0x00 0.--7. 1. " SEL ,Event Selection"
group.long c15:0x02d9++0x00
saveout c15:0x5C9 %l 0x1
line.long 0x00 "PMCR1,Performance Monitor Count Register 1"
hexmask.long 0x00 0.--31. 1. " PMC ,Performance Monitor Count"
group.long c15:0x01d9++0x00
saveout c15:0x5C9 %l 0x2
line.long 0x00 "ESR2,Event Selection Register 2"
hexmask.long.byte 0x00 0.--7. 1. " SEL ,Event Selection"
group.long c15:0x02d9++0x00
saveout c15:0x5C9 %l 0x2
line.long 0x00 "PMCR2,Performance Monitor Count Register 2"
hexmask.long 0x00 0.--31. 1. " PMC ,Performance Monitor Count"
group.long c15:0xe9++0x00
line.long 0x00 "PMUSERENR,User Enable Register"
bitfld.long 0x00 0. " EN ,User mode access to performance monitor and validation registers" "Not allowed,Allowed"
group.long c15:0x1e9++0x00
line.long 0x00 "PMINTENSET,Interrupt Enable Set Register"
eventfld.long 0x00 31. " C ,Interrupt on CCNT Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
eventfld.long 0x00 2. " P2 ,Interrupt on PMN2 Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
eventfld.long 0x00 1. " P1 ,Interrupt on PMN1 Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
eventfld.long 0x00 0. " P0 ,Interrupt on PMN0 Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
group.long c15:0x2e9++0x00
line.long 0x00 "PMINTENCLR,Interrupt Enable Clear Register"
eventfld.long 0x00 31. " C ,Interrupt on CCNT Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
eventfld.long 0x00 2. " P2 ,Interrupt on PMN2 Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
eventfld.long 0x00 1. " P1 ,Interrupt on PMN1 Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
eventfld.long 0x00 0. " P0 ,Interrupt on PMN0 Overflow Enabled / Enable / Disable Interrupt" "Disabled,Enabled"
tree "Validation Registers"
group.long c15:0x01f++0x00
line.long 0x00 "IRQESR,nVAL IRQ Enable Set Register"
bitfld.long 0x00 31. " C ,CCNT overflow IRQ request" "Not requested,Requested"
bitfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow IRQ request" "Not requested,Requested"
bitfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow IRQ request" "Not requested,Requested"
bitfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow IRQ request" "Not requested,Requested"
group.long c15:0x11f++0x00
line.long 0x00 "FIQESR,nVAL FIQ Enable Set Register"
bitfld.long 0x00 31. " C ,CCNT overflow FIQ request" "Not requested,Requested"
bitfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow FIQ request" "Not requested,Requested"
bitfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow FIQ request" "Not requested,Requested"
bitfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow FIQ request" "Not requested,Requested"
group.long c15:0x21f++0x00
line.long 0x00 "RESR,nVAL Reset Enable Set Register"
bitfld.long 0x00 31. " C ,CCNT overflow reset request" "Not requested,Requested"
bitfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow reset request" "Not requested,Requested"
bitfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow reset request" "Not requested,Requested"
bitfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow reset request" "Not requested,Requested"
group.long c15:0x31f++0x00
line.long 0x00 "RESR,VAL Debug Request Enable Set Register"
bitfld.long 0x00 31. " C ,CCNT overflow debug request" "Not requested,Requested"
bitfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow debug request" "Not requested,Requested"
bitfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow debug request" "Not requested,Requested"
bitfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow debug request" "Not requested,Requested"
group.long c15:0x41f++0x00
line.long 0x00 "IRQECR,VAL IRQ Enable Clear Register"
eventfld.long 0x00 31. " C ,CCNT overflow IRQ request" "Not requested,Requested"
eventfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow IRQ request" "Not requested,Requested"
eventfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow IRQ request" "Not requested,Requested"
eventfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow IRQ request" "Not requested,Requested"
group.long c15:0x51f++0x00
line.long 0x00 "FIQECR,VAL FIQ Enable Clear Register"
eventfld.long 0x00 31. " C ,CCNT overflow FIQ request" "Not requested,Requested"
eventfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow FIQ request" "Not requested,Requested"
eventfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow FIQ request" "Not requested,Requested"
eventfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow FIQ request" "Not requested,Requested"
group.long c15:0x61f++0x00
line.long 0x00 "RECR,nVAL Reset Enable Clear Register"
eventfld.long 0x00 31. " C ,CCNT overflow reset request" "Not requested,Requested"
eventfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow reset request" "Not requested,Requested"
eventfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow reset request" "Not requested,Requested"
eventfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow reset request" "Not requested,Requested"
group.long c15:0x71f++0x00
line.long 0x00 "DRECR,VAL Debug Request Enable Clear Register"
eventfld.long 0x00 31. " C ,CCNT overflow debug request" "Not requested,Requested"
eventfld.long 0x00 2. " P2 ,PMXEVCNTR2 overflow debug request" "Not requested,Requested"
eventfld.long 0x00 1. " P1 ,PMXEVCNTR1 overflow debug request" "Not requested,Requested"
eventfld.long 0x00 0. " P0 ,PMXEVCNTR0 overflow debug request" "Not requested,Requested"
tree.end
tree.end
width 11.
width 18.
tree "Debug Registers"
tree "Processor Identifier Registers"
rgroup.long c14:832.++0x00
line.long 0x00 "MIDR,Main ID Register"
hexmask.long.byte 0x0 24.--31. 0x1 " IMPL ,Implementer code"
hexmask.long.byte 0x0 20.--23. 0x1 " SPECREV ,Variant number"
textline " "
hexmask.long.byte 0x0 16.--19. 0x1 " ARCH ,Architecture"
hexmask.long.word 0x0 4.--15. 0x1 " PARTNUM ,Part Number"
textline " "
hexmask.long.byte 0x0 0.--3. 0x1 " REV ,Layout Revision"
rgroup.long c14:833.++0x00
line.long 0x00 "CACHETYPE,Cache Type Register"
bitfld.long 0x00 16.--19. " DMINLINE ,Words of Smallest Line Length in L1 or L2 Data Cache Number" "Reserved,Reserved,Reserved,Reserved,16x32-bit words,?..."
bitfld.long 0x00 14.--15. " L1_IPOLICY ,VIPT Instruction Cache Support" "Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 0.--3. " IMINLINE ,Words of Smallest Line Length in L1 or L2 Instruction Cache Number" "Reserved,Reserved,Reserved,Reserved,16x32-bit words,?..."
rgroup.long c14:834.++0x00
line.long 0x00 "TCMTR,TCM Type Register"
group.long c14:835.++0x00
line.long 0x00 "AMIDR,Alias of MIDR"
rgroup.long c14:836.++0x00
line.long 0x00 "MPUTR,MPU Type Register"
rgroup.long c14:837.++0x00
line.long 0x00 "MPIDR,Multiprocessor Affinity Register"
group.long c14:838.++0x00
line.long 0x00 "AMIDR0,Alias of MIDR"
group.long c14:839.++0x00
line.long 0x00 "AMIDR1,Alias of MIDR"
rgroup.long c14:840.++0x00
line.long 0x00 "ID_PFR0,Processor Feature Register 0"
bitfld.long 0x00 12.--15. " STATE3 ,Thumb-2 Execution Environment (Thumb-2EE) Support" "Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " STATE2 ,Java Extension Interface Support" "Not supported,?..."
textline " "
bitfld.long 0x00 4.--7. " STATE1 ,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " STATE0 ,ARM Instruction Set Support" "Reserved,Supported,?..."
rgroup.long c14:841.++0x00
line.long 0x00 "ID_PFR1,Processor Feature Register 1"
bitfld.long 0x00 8.--11. " MPM ,Microcontroller Programmer's Model Support" "Supported,?..."
bitfld.long 0x00 4.--7. " SE ,Security Extensions Architecture v1 Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 0.--3. " PM ,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..."
rgroup.long c14:842.++0x00
line.long 0x00 "ID_DFR0,Debug Feature Register 0"
bitfld.long 0x00 20.--23. " MDM_MM ,Microcontroller Debug Model Support" "Not supported,?..."
bitfld.long 0x00 16.--19. " TDM_MM ,Trace Debug Model (Memory-Mapped) Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " TDM_CB ,Coprocessor-Based Trace Debug Model Support" "Not supported,?..."
bitfld.long 0x00 8.--11. " CDM_MM ,Memory-Mapped Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " SDM_CB ,Secure Debug Model (Coprocessor) Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " CDM_CB ,Coprocessor Debug Model Support" "Not supported,?..."
rgroup.long c14:843.++0x00
line.long 0x00 "ID_AFR0,Auxiliary Feature Register 0"
rgroup.long c14:844.++0x00
line.long 0x00 "ID_MMFR0,Processor Feature Register 0"
bitfld.long 0x00 28.--31. " IT ,Instruction Type Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " FCSE ,Fast Context Switch Memory Mappings Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " ACR ,Auxiliary Control Register Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " TCM ,TCM and Associated DMA Support" "Not supported,?..."
textline " "
bitfld.long 0x00 12.--15. " CC_PLEA ,Cache Coherency With PLE Agent/Shared Memory Support" "Not supported,?..."
bitfld.long 0x00 8.--11. " CC_CPUA ,Cache Coherency Support With CPU Agent/Shared Memory Support" "Not supported,?..."
textline " "
bitfld.long 0x00 4.--7. " PMSA ,Physical Memory System Architecture (PMSA) Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " VMSA ,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Supported,?..."
rgroup.long c14:845.++0x00
line.long 0x00 "ID_MMFR1,Processor Feature Register 1"
bitfld.long 0x00 28.--31. " BTB ,Branch Target Buffer Support" "Reserved,Reserved,Not required,?..."
bitfld.long 0x00 24.--27. " L1TCO ,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..."
textline " "
bitfld.long 0x00 20.--23. " L1UCMO ,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 16.--19. " L1HCMO ,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " L1UCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 8.--11. " L1HCLMOSW ,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " L1UCLMOMVA ,L1 Cache Line Maintenance Operations by MVA/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " L1HCLMOMVA ,L1 Cache Line Maintenance Operations by MVA/Harvard Architecture" "Supported,?..."
rgroup.long c14:846.++0x00
line.long 0x00 "ID_MMFR2,Processor Feature Register 2"
bitfld.long 0x00 28.--31. " HAF ,Hardware Access Flag Support" "Not supported,?..."
bitfld.long 0x00 24.--27. " WFI ,Wait for Interrupt Stalling Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " MBF ,Memory Barrier Operations Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " UTLBMO ,TLB Maintenance Operations/Unified Architecture Support" "Not supported,?..."
textline " "
bitfld.long 0x00 12.--15. " HTLBMO ,TLB Maintenance Operations/Harvard Architecture Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " HL1CMRO ,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..."
textline " "
bitfld.long 0x00 4.--7. " HL1BPCRO ,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
bitfld.long 0x00 0.--3. " HL1FPCRO ,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
rgroup.long c14:847.++0x00
line.long 0x00 "ID_MMFR3,Processor Feature Register 3"
bitfld.long 0x00 4.--7. " HCMOSW ,Invalidate Cache by Set and Way/Clean by Set and Way/Invalidate and Clean by Set and Way Support" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " HCMOMVA ,Invalidate Cache by MVA/Clean by MVA/Invalidate and Clean by MVA/Invalidate All Support" "Reserved,Supported,?..."
rgroup.long c14:848.++0x00
line.long 0x00 "ID_ISAR0,ISA Feature Register 0"
bitfld.long 0x00 24.--27. " DIVI ,Divide Instructions Support" "Not supported,?..."
bitfld.long 0x00 20.--23. " DEBI ,Debug Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 16.--19. " CI ,Coprocessor Instructions Support" "Not supported,?..."
bitfld.long 0x00 12.--15. " CBI ,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 8.--11. " BI ,Bitfield Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 4.--7. " BCI ,Bit Counting Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 0.--3. " AI ,Atomic Load and Store Instructions Support" "Reserved,Supported,?..."
rgroup.long c14:849.++0x00
line.long 0x00 "ID_ISAR1,ISA Feature Register 1"
bitfld.long 0x00 28.--31. " JI ,Jazelle Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " INTI ,Instructions That Branch Between ARM and Thumb Code Support" "Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " IMMI ,Immediate Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " ITEI ,If Then Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " EXTI ,Sign or Zero Extend Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " E2I ,Exception 2 Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " E1I ,Exception 1 Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " ENDI ,Endianness Control Instructions Support" "Reserved,Supported,?..."
rgroup.long c14:850.++0x00
line.long 0x00 "ID_ISAR2,ISA Feature Register 2"
bitfld.long 0x00 28.--31. " RI ,Reversal Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " PSRI ,PSR Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " UMI ,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " SMI ,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " MI ,Multiply Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " II ,Multi-Access Interruptible Instructions Support" "Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " MHI ,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " LSI ,Load and Store Instructions Support" "Reserved,Supported,?..."
rgroup.long c14:851.++0x00
line.long 0x00 "ID_ISAR3,ISA Feature Register 3"
bitfld.long 0x00 28.--31. " T2E ,Thumb-2 Extensions Support" "Reserved,Supported,?..."
bitfld.long 0x00 24.--27. " NOPI ,True NOP Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 20.--23. " TCI ,Thumb Copy Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " TBI ,Table Branch Instructions Support" "Reserved,Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " SPI ,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " SWII ,SWI Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " SIMDI ,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " SI ,Saturate Instructions Support" "Reserved,Supported,?..."
rgroup.long c14:852.++0x00
line.long 0x00 "ID_ISAR4,ISA Feature Register 4"
bitfld.long 0x00 20.--23. " EI ,Exclusive Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. " BI ,Barrier Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 12.--15. " SMII ,SMI Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 8.--11. " WBI ,Write-Back Instructions Support" "Reserved,Supported,?..."
textline " "
bitfld.long 0x00 4.--7. " WSI ,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. " UI ,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..."
rgroup.long c14:853.++0x00
line.long 0x00 "ID_ISAR5,ISA Feature Register 5"
tree.end
width 15.
tree "Coresight Management Registers"
group.long c14:960.++0x00
line.long 0x00 "DBGITCTRL,Integration Mode Control Register"
bitfld.long 0x00 0. " INTMODE ,Processor integration mode" "Normal,Integration"
group.long c14:1000.++0x00
line.long 0x00 "DBGCLAIMSET,Claim Tag Set Register"
hexmask.long.byte 0x00 0.--7. 1. " CTS ,Claim tag set"
group.long c14:1001.++0x00
line.long 0x00 "DBGCLAIMCLR,Claim Tag Clear Register"
hexmask.long.byte 0x00 0.--7. 1. " CTC ,Claim tag clear"
wgroup.long c14:1004.++0x00
line.long 0x00 "DBGLAR,Lock Access Register"
rgroup.long c14:1005.++0x00
line.long 0x00 "DBGLSR,Lock Status Register"
bitfld.long 0x00 2. " 32BA ,Indicate that a 32-bit access is required to write the key to the DBGLAR" "No,Yes"
textline " "
bitfld.long 0x00 1. " LB ,Lock bit" "Not locked,Locked"
bitfld.long 0x00 0. " LIB ,Lock implemented bit" "Not locked,Locked"
rgroup.long c14:1006.++0x00
line.long 0x00 "DBGAUTHSTATUS,Authentication Status Register"
bitfld.long 0x00 7. " SNDFI ,Secure non-invasive debug features implemented" "Not implemented,Implemented"
bitfld.long 0x00 6. " SNDFE ,Secure non-invasive debug features enable" "Disabled,Enabled"
textline " "
bitfld.long 0x00 5. " SIDFI ,Secure invasive debug features implemented" "Not implemented,Implemented"
bitfld.long 0x00 4. " SIDFE ,Secure invasive debug features enabled" "Disabled,Enabled"
rgroup.long c14:1011.++0x00
line.long 0x00 "DBGDEVTYPE,Device Type Register"
hexmask.long.byte 0x00 4.--7. 1. " SUBTYPE ,Subtype"
hexmask.long.byte 0x00 0.--3. 1. " MAIN_CLASS ,Main class"
tree.end
textline " "
width 12.
rgroup.long c14:0.++0x0
line.long 0x0 "DBGDIDR,Debug ID Register"
bitfld.long 0x0 28.--31. " WRP ,Number of Watchpoint Register Pairs" "1,2,3,4,5,6,7,8,?..."
bitfld.long 0x0 24.--27. " BRP ,Number of Breakpoint Register Pairs" "1,2,3,4,5,6,7,8,?..."
textline " "
bitfld.long 0x0 20.--23. " CTX_CMP ,Number of BRPs with Context ID Comparison Capability" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16"
hexmask.long.byte 0x0 16.--19. 1. " VERSION ,Debug Architecture Version"
textline " "
bitfld.long 0x0 15. " DEVID ,Debug Device ID" "Low,High"
bitfld.long 0x0 14. " NSUHD ,Secure User halting debug-mode" "Low,High"
textline " "
bitfld.long 0x0 13. " PCSR ,PC Sample register implemented" "Low,High"
bitfld.long 0x0 12. " SE ,Security Extensions implemented" "Low,High"
textline " "
hexmask.long.byte 0x0 4.--7. 1. " VARIANT ,Implementation-defined Variant Number"
hexmask.long.byte 0x0 0.--3. 1. " REVISION ,Implementation-defined Revision Number"
group.long c14:34.++0x0
line.long 0x00 "DBGDSCREXT,Debug Status and Control Register"
bitfld.long 0x00 30. " RXFULL ,DBGDTRRX Register full" "Empty,Full"
bitfld.long 0x00 29. " TXFULL ,DBGDTRTX Register full" "Empty,Full"
textline " "
bitfld.long 0x00 25. " PIPEADV ,PIPEADV Processor Idle flag" "Not idle,Idle"
bitfld.long 0x00 24. " INSTRCOMPL_L ,Latched instruction complete" "Not completed,Completed"
textline " "
bitfld.long 0x00 20.--21. " EXTDCCMODE ,External DCC access mode field" "Non-blocking,Stall,Fast,?..."
bitfld.long 0x00 19. " ADADISCARD ,Asynchronous Data Aborts Discarded bit" "Normal,Abort"
bitfld.long 0x00 18. " NS ,Non-secure status bit" "Secure,Non-secure"
textline " "
bitfld.long 0x00 17. " SPNIDDIS ,Secure Privileged Non-Invasive Debug Disable" "No,Yes"
bitfld.long 0x00 16. " SPIDDIS ,Secure Privileged Invasive Debug Disable" "No,Yes"
bitfld.long 0x00 15. " MDBGEN ,Monitor debug-mode enable" "Disabled,Enabled"
textline " "
bitfld.long 0x00 14. " HDBGEN ,Halting debug-mode" "Disabled,Enabled"
bitfld.long 0x00 13. " ITREN ,Execute ARM instruction enable" "Disabled,Enabled"
bitfld.long 0x00 12. " UDCCDIS ,User mode access to Communications Channel disable" "No,Yes"
textline " "
bitfld.long 0x00 11. " INTDIS ,Interrupt disable" "No,Yes"
bitfld.long 0x00 10. " DBGACK ,Force debug acknowledge" "Normal,Forced"
textline " "
bitfld.long 0x00 8. " UND_L ,Sticky undefined bit" "Not occurred,Occurred"
bitfld.long 0x00 7. " ADABORT ,Asynchronous data abort" "Not aborted,Aborted"
bitfld.long 0x00 6. " SDABORT ,Synchronous data abort" "Not aborted,Aborted"
textline " "
bitfld.long 0x00 2.--5. " MOE ,Method of debug entry field" "Halt Request,Breakpoint,Reserved,BKPT Instruction,External Debug Request,Reserved,Reserved,Reserved,Reserved,Reserved,Synchronous Watchpoint,?..."
bitfld.long 0x00 1. " RESTARTED ,Processor restarted" "Pending,Exited"
bitfld.long 0x00 0. " HALTED ,Processor halted" "Non-debug,Debug"
group.long c14:0x7++0x0
line.long 0x00 "DBGVCR,Debug Vector Catch register"
bitfld.long 0x00 7. " FIQVCE_S ,FIQ vector catch in Secure state" "Disabled,Enabled"
textline " "
bitfld.long 0x00 6. " IRQVCE_S ,IRQ vector catch in Secure state" "Disabled,Enabled"
bitfld.long 0x00 4. " DAVCE_S ,Data Abort vector catch in Secure state" "Disabled,Enabled"
textline " "
bitfld.long 0x00 3. " PAVCE_S ,Prefetch Abort vector catch in Secure state" "Disabled,Enabled"
bitfld.long 0x00 2. " SVCVCE_S ,SVC vector catch in Secure state" "Disabled,Enabled"
textline " "
bitfld.long 0x00 1. " UIVCE_S ,Undefined instruction vector catch in Secure state" "Disabled,Enabled"
bitfld.long 0x00 0. " RVCE ,Reset vector catch enable" "Disabled,Enabled"
hgroup.long c14:32.++0x0
hide.long 0x00 "DTRRX,Target -> Host Data Transfer Register"
in
group.long c14:35.++0x00
line.long 0x0 "DTRTX,Host -> Target Data Transfer Register"
hexmask.long 0x00 0.--31. 1. " HTD ,Host -> target data"
group.long c14:10.++0x0
line.long 0x00 "DBGDSCCR,Debug State Cache Control Register"
bitfld.long 0x00 2. " NWT ,Write through disable" "No,Yes"
bitfld.long 0x00 1. " NIL ,L1 instruction cache line-fills disable" "No,Yes"
textline " "
bitfld.long 0x00 0. " NDL ,L1 data cache line-fills disable" "No,Yes"
wgroup.long c14:33.++0x0
line.long 0x00 "DBGITR,Instruction Transfer Register"
wgroup.long c14:36.++0x0
line.long 0x00 "DBGDRCR,Debug Run Control Register"
bitfld.long 0x00 4. " CMR ,Cancel memory requests" "Not cancel,Cancel"
bitfld.long 0x00 3. " CSPA ,Clear Sticky Pipeline Advance bit" "No effect,Clear"
textline " "
bitfld.long 0x00 2. " CSE ,Clear Sticky Exceptions bits" "No effect,Clear"
bitfld.long 0x00 1. " RR ,Restart request" "No effect,Restart"
textline " "
bitfld.long 0x00 0. " HR ,Halt request" "No effect,Halt"
textline " "
rgroup.long c14:193.++0x0
line.long 0x00 "DBGOSLSR,Operating System Lock Status Register"
bitfld.long 0x00 1. " LOCK_IMP_BIT ,Indicate whether the OS lock functionality is implemented" "Not implemented,Implemented"
group.long c14:196.++0x0
line.long 0x00 "DBGPRCR,Device Power-down and Reset Control Register"
bitfld.long 0x00 2. " HCWR ,Hold core warm reset" "Not held,Held"
textline " "
bitfld.long 0x00 1. " CWRR ,Reset reguest" "Not requested,Requested"
bitfld.long 0x00 0. " CORENPDRQ ,Core no powerdown request" "Power-down,Emulate"
rgroup.long c14:197.++0x0
line.long 0x00 "DBGPRSR,Device Power-down and Reset Status Register"
bitfld.long 0x00 3. " SR ,Sticky Reset Status" "Not reset,Reset"
bitfld.long 0x00 2. " R ,Reset Status" "No reset,Reset"
textline " "
bitfld.long 0x00 1. " SPD ,Sticky Power-down Status" "Not reset,Reset"
bitfld.long 0x00 0. " PU ,Power-up Status" "Powered down,Powered up"
tree.end
width 7.
tree "Breakpoint Registers"
group.long c14:64.++0x0
line.long 0x00 "BVR0,Breakpoint Value 0 Register"
hexmask.long 0x00 0.--31. 1. " BV0 ,Breakpoint Value 0"
group.long c14:80.++0x0
line.long 0x00 "BCR0,Breakpoint Control 0 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
group.long c14:65.++0x0
line.long 0x00 "BVR1,Breakpoint Value 1 Register"
hexmask.long 0x00 0.--31. 1. " BV1 ,Breakpoint Value 1"
group.long c14:81.++0x0
line.long 0x00 "BCR1,Breakpoint Control 1 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
group.long c14:66.++0x0
line.long 0x00 "BVR2,Breakpoint Value 2 Register"
hexmask.long 0x00 0.--31. 1. " BV2 ,Breakpoint Value 2"
group.long c14:82.++0x0
line.long 0x00 "BCR2,Breakpoint Control 2 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
group.long c14:67.++0x0
line.long 0x00 "BVR3,Breakpoint Value 3 Register"
hexmask.long 0x00 0.--31. 1. " BV3 ,Breakpoint Value 3"
group.long c14:83.++0x0
line.long 0x00 "BCR3,Breakpoint Control 3 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
group.long c14:68.++0x0
line.long 0x00 "BVR4,Breakpoint Value 4 Register"
hexmask.long 0x00 0.--31. 1. " BV4 ,Breakpoint Value 4"
group.long c14:84.++0x0
line.long 0x00 "BCR4,Breakpoint Control 4 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
group.long c14:69.++0x0
line.long 0x00 "BVR5,Breakpoint Value 5 Register"
hexmask.long 0x00 0.--31. 1. " BV5 ,Breakpoint Value 5"
group.long c14:85.++0x0
line.long 0x00 "BCR5,Breakpoint Control 5 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
group.long c14:70.++0x0
line.long 0x00 "BVR6,Breakpoint Value 6 Register"
hexmask.long 0x00 0.--31. 1. " BV6 ,Breakpoint Value 6"
group.long c14:86.++0x0
line.long 0x00 "BCR6,Breakpoint Control 6 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
group.long c14:71.++0x0
line.long 0x00 "BVR7,Breakpoint Value 7 Register"
hexmask.long 0x00 0.--31. 1. " BV7 ,Breakpoint Value 7"
group.long c14:87.++0x0
line.long 0x00 "BCR7,Breakpoint Control 7 Register"
bitfld.long 0x00 24.--28. " BAM ,Breakpoint Address Mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x00 20.--22. " M ,BVR Meaning" "IVA match,Linked IVA match,Unlinked ID,Linked ID,IVA mismatch,Linked IVA mismatch,?..."
bitfld.long 0x00 16.--19. " LBRP ,Linked BRP Number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x00 14.--15. " SWAC ,Secure World Access Control" "Both,Nonsecure,Secure,?..."
textline " "
bitfld.long 0x0 8. " BAS ,Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
bitfld.long 0x00 1.--2. " S ,Supervisor Access Control" "User/system/supervisor,Privileged,User,Any"
bitfld.long 0x00 0. " B ,Breakpoint Enable" "Disabled,Enabled"
tree.end
tree "Watchpoint Control Registers"
group.long c14:96.++0x0
line.long 0x00 "WVR0,Watchpoint Value 0 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:112.++0x0
line.long 0x00 "WCR0,Watchpoint Control 0 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:97.++0x0
line.long 0x00 "WVR1,Watchpoint Value 1 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:113.++0x0
line.long 0x00 "WCR1,Watchpoint Control 1 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:98.++0x0
line.long 0x00 "WVR2,Watchpoint Value 2 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:114.++0x0
line.long 0x00 "WCR2,Watchpoint Control 2 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:99.++0x0
line.long 0x00 "WVR3,Watchpoint Value 3 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:115.++0x0
line.long 0x00 "WCR3,Watchpoint Control 3 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:100.++0x0
line.long 0x00 "WVR4,Watchpoint Value 4 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:116.++0x0
line.long 0x00 "WCR4,Watchpoint Control 4 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:101.++0x0
line.long 0x00 "WVR5,Watchpoint Value 5 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:117.++0x0
line.long 0x00 "WCR5,Watchpoint Control 5 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:102.++0x0
line.long 0x00 "WVR6,Watchpoint Value 6 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:118.++0x0
line.long 0x00 "WCR6,Watchpoint Control 6 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:103.++0x0
line.long 0x00 "WVR7,Watchpoint Value 7 Register"
hexmask.long 0x00 2.--31. 0x04 " WA0 ,Watchpoint Address 0"
group.long c14:119.++0x0
line.long 0x00 "WCR7,Watchpoint Control 7 Register"
bitfld.long 0x0 24.--28. " WAM ,Watchpoint address mask" "Not masked,Reserved,Reserved,0x7,0xF,0x1F,0x3F,0x7F,0xFF,0x1FF,0x3FF,0x7FF,0xFFF,0x1FFF,0x3FFF,0x7FFF,0xFFFF,0x1FFFF,0x3FFFF,0x7FFFF,0xFFFFF,0x1FFFFF,0x3FFFFF,0x7FFFFF,0xFFFFFF,0x1FFFFFF,0x3FFFFFF,0x7FFFFFF,0xFFFFFFF,0x1FFFFFFF,0x3FFFFFFF,0x7FFFFFFF"
bitfld.long 0x0 20. " EL ,Enable Linking" "Disabled,Enabled"
textline " "
bitfld.long 0x0 16.--19. " LBN ,Linked BRP number" "BRP,BRP1,BRP2,BRP3,BRP4,BRP5,BRP6,BRP7,BRP8,BRP9,BRP10,BRP11,BRP12,BRP13,BRP14,BRP15"
bitfld.long 0x0 14.--15. " SWAC ,Secure world access control" "Non-secure & Secure,Non-secure,Secure,?..."
textline " "
bitfld.long 0x0 12. " BAS ,Byte 7 address select" "0,1"
bitfld.long 0x0 11. ",Byte 6 address select" "0,1"
bitfld.long 0x0 10. ",Byte 5 address select" "0,1"
bitfld.long 0x0 9. ",Byte 4 address select" "0,1"
bitfld.long 0x0 8. ",Byte 3 address select" "0,1"
bitfld.long 0x0 7. ",Byte 2 address select" "0,1"
bitfld.long 0x0 6. ",Byte 1 address select" "0,1"
bitfld.long 0x0 5. ",Byte 0 address select" "0,1"
textline " "
bitfld.long 0x0 3.--4. " RD/WR ,Load/Store access control" "Reserved,Load,Store,Any"
bitfld.long 0x0 1.--2. " PAC ,Privileged access control" "Reserved,Privileged,USR,Any"
textline " "
bitfld.long 0x0 0. " WE ,Watchpoint enable" "Disabled,Enabled"
group.long c14:6.++0x0
line.long 0x00 "WFAR ,Watchpoint Fault Address Register"
hexmask.long 0x00 1.--31. 0x2 " WFAR ,Address of the watchpointed instruction"
tree.end
width 11.
AUTOINDENT.POP
tree.end
elif (CORENAME()=="CORTEXA55")
tree "Core Registers (Cortex-A55)"
AUTOINDENT.PUSH
AUTOINDENT.ON center tree
tree.open "AArch64"
tree "ID Registers"
rgroup.quad spr:0x30000++0x00
line.quad 0x00 "MIDR_EL1,Main ID Register"
hexmask.quad.byte 0x0 24.--31. 1. "IMPL,Implementer code"
bitfld.quad 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "ARCH, Architecture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,CPUID scheme"
newline
hexmask.quad.word 0x00 4.--15. 1. "PART,Primary Part Number"
bitfld.quad 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x30040++0x00
line.quad 0x00 "ID_AA64PFR0_EL1,Processor Feature Register 0"
bitfld.quad 0x00 60.--63. "CSV3,Speculative use of faulting data" "Cannot be used,?..."
bitfld.quad 0x00 56.--59. "CSV2,Speculative use of out of context branch targets" "Cannot effect,?..."
newline
bitfld.quad 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..."
bitfld.quad 0x00 24.--27. "GIC,GIC CPU interface" "Disabled,Enabled,?..."
newline
bitfld.quad 0x00 20.--23. "ASIMD,Advanced SIMD" "Reserved,Implemented,?..."
bitfld.quad 0x00 16.--19. "FP,Floating-point" "Reserved,Implemented,?..."
newline
bitfld.quad 0x00 12.--15. "EL3_ELH,EL3 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
bitfld.quad 0x00 8.--11. "EL2_ELH,EL2 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
newline
bitfld.quad 0x00 4.--7. "EL1_ELH,EL1 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
bitfld.quad 0x00 0.--3. "EL0_ELH,EL0 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x30040++0x00
line.quad 0x00 "ID_AA64PFR0_EL1,Processor Feature Register 0"
bitfld.quad 0x00 60.--63. "CSV3,Speculative use of faulting data" "Reserved,Forbidden,?..."
bitfld.quad 0x00 56.--59. "CSV2,Speculative use of faulting data" "Reserved,Forbidden,?..."
newline
bitfld.quad 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..."
bitfld.quad 0x00 24.--27. "GIC,GIC CPU interface" "Disabled,Enabled,?..."
newline
bitfld.quad 0x00 20.--23. "ASIMD,Advanced SIMD" "Reserved,Implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented"
bitfld.quad 0x00 16.--19. "FP,Floating-point" "Reserved,Implemented,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented"
newline
bitfld.quad 0x00 12.--15. "EL3_ELH,EL3 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
bitfld.quad 0x00 8.--11. "EL2_ELH,EL2 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
newline
bitfld.quad 0x00 4.--7. "EL1_ELH,EL1 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
bitfld.quad 0x00 0.--3. "EL0_ELH,EL0 exception level handling" "Reserved,Reserved,AArch64/AArch32,?..."
rgroup.quad spr:0x30041++0x00
line.quad 0x00 "ID_AA64PFR1_EL1,Processor Feature Register 1"
bitfld.quad 0x00 4.--7. "SSBS,Speculative store bypassing safe mechanism implemented" "Reserved,Implemented,?..."
endif
rgroup.quad spr:0x30050++0x00
line.quad 0x00 "ID_AA64DFR0_EL1,AArch64 Debug Feature Register"
bitfld.quad 0x00 28.--31. "CTX_CMPS,Number of breakpoints that are context-aware" "Reserved,2,?..."
bitfld.quad 0x00 20.--23. "WRPS,Number of watchpoints" "Reserved,Reserved,Reserved,4,?..."
bitfld.quad 0x00 12.--15. "BRPS,Number of breakpoints" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..."
newline
bitfld.quad 0x00 8.--11. "PMEV,Performance monitor extension version" "Reserved,Reserved,Reserved,Reserved,Version 3/16 bit evtCount,?..."
bitfld.quad 0x00 4.--7. "TEV,Trace extension version" "Not implemented,?..."
bitfld.quad 0x00 0.--3. "DAV,Debug architecture version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,v8-A,?..."
rgroup.quad spr:0x30060++0x00
line.quad 0x00 "ID_AA64ISAR0_EL1,Instruction Set Attribute Register 0"
bitfld.quad 0x00 44.--47. "DP,Implemented UDOT and SDOT instructions" "Reserved,Implemented,?..."
newline
bitfld.quad 0x00 28.--31. "RDM,Rounding Double Multiply Add/Subtract instructions Support" "Reserved,Implemented,?..."
bitfld.quad 0x00 20.--23. "ATOMIC,Atomic instructions in AArch64" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 16.--19. "CRC32,Indicates whether CRC32 instructions are implemented" "Reserved,Implemented,?..."
newline
bitfld.quad 0x00 12.--15. "SHA2,SHA2 instructions in AArch64" "Not implemented,Implemented,?..."
bitfld.quad 0x00 8.--11. "SHA1,SHA1 instructions in AArch64" "Not implemented,Implemented,?..."
bitfld.quad 0x00 4.--7. "AES,AES instruction in AArch64" "Not implemented,Reserved,AESE/AESD/AESMC/AESIMC/PMULL/PMULL2,?..."
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x30070++0x00
line.quad 0x00 "ID_AA64MMFR0_EL1,Memory Model Feature Register 0"
bitfld.quad 0x00 28.--31. "TGRAN4,4KB granule supported" "Supported,?..."
bitfld.quad 0x00 24.--27. "TGRAN64,64KB granule supported" "Supported,?..."
bitfld.quad 0x00 20.--23. "TGRAN16,16KB granule supported" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 16.--19. "BIGENDEL0,Mixed-endian support at EL0" "Not supported,?..."
bitfld.quad 0x00 12.--15. "SNSMEM,Secure versus Non-secure Memory distinction" "Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "BIGEND,Mixed-endian configuration support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 4.--7. "ASIDBITS,Number of ASID bits" "Reserved,Reserved,16 bits,?..."
bitfld.quad 0x00 0.--3. "PARANGE,Physical address range supported" "Reserved,Reserved,Reserved,Reserved,44 bits/16 TB,?..."
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x30070++0x00
line.quad 0x00 "ID_AA64MMFR0_EL1,Memory Model Feature Register 0"
bitfld.quad 0x00 20.--23. "TGRAN16,16KB granule supported" "Reserved,Supported,?..."
bitfld.quad 0x00 16.--19. "BIGENDEL0,Mixed-endian support at EL0" "Not supported,?..."
bitfld.quad 0x00 12.--15. "SNSMEM,Secure versus Non-secure Memory distinction" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 8.--11. "BIGEND,Mixed-endian configuration support" "Reserved,Supported,?..."
bitfld.quad 0x00 4.--7. "ASIDBITS,Number of ASID bits" "Reserved,Reserved,16 bits,?..."
bitfld.quad 0x00 0.--3. "PARANGE,Physical address range supported" "Reserved,Reserved,40 bits/1 TB,?..."
endif
rgroup.quad spr:0x30041++0x00
line.quad 0x00 "ID_AA64PFR1_EL1,Processor Feature Register 1"
bitfld.quad 0x00 4.--7. "SSBS,Speculative Store Bypassing Safe (SSBS) mechanism support" "Reserved,Supported,?..."
rgroup.quad spr:0x30051++0x00
line.quad 0x00 "ID_AA64DFR1_EL1,Debug Feature Register 1"
rgroup.quad spr:0x30061++0x00
line.quad 0x00 "ID_AA64ISAR1_EL1,Instruction Set Attribute Register 1"
bitfld.quad 0x00 20.--23. "LRCPC,Indicates whether load-acquire (LDA) instructions are implemented for an Release Consistent processor consistent RCPC model" "Reserved,Implemented,?..."
bitfld.quad 0x00 0.--3. "DPB,DC CVAP support in AArch64" "Reserved,Implemented,?..."
rgroup.quad spr:0x30071++0x00
line.quad 0x00 "ID_AA64MMFR1_EL1,Memory Model Feature Register 1"
bitfld.quad 0x00 28.--31. "XNX,EL0/EL1 execute control distinction at stage2 bit support" "Reserved,Supported,?..."
bitfld.quad 0x00 20.--23. "PAN,Privileged Access Never Support" "Reserved,Reserved,Extended,?..."
bitfld.quad 0x00 16.--19. "LO,Limited Order Regions Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 12.--15. "HD,Hierarchical Permission Disabled Support" "Reserved,Reserved,Extended,?..."
bitfld.quad 0x00 8.--11. "VH,Virtualization Host Extensions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 4.--7. "VMID,Number of VMID bits" "Reserved,Reserved,16 bits,?..."
newline
bitfld.quad 0x00 0.--3. "HAFDBS,Hardware updates of the Access and Dirty" "Reserved,Reserved,Access/Dirty supported,?..."
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x30072++0x00
line.quad 0x00 "ID_AA64MMFR2_EL1,Memory Model Feature Register 2"
bitfld.quad 0x00 12.--15. "IESB, Indicates whether an implicit Error Synchronization Barrier has been inserted" "Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "LSM,Indicates whether LDM and STM are supported" "Not supported,?..."
bitfld.quad 0x00 4.--7. "UAO,User Access Override support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 0.--3. "CNP,Common not Private support" "Reserved,Supported,?..."
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x30072++0x00
line.quad 0x00 "ID_AA64MMFR2_EL1,Memory Model Feature Register 2"
bitfld.quad 0x00 16.--19. "VARANGE,Indicates support for a larger virtual address" "Not supported,?..."
bitfld.quad 0x00 12.--15. "IESB, Indicates whether an implicit Error Synchronization Barrier has been inserted" "Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "LSM,Indicates whether LDM and STM are supported" "Not supported,?..."
newline
bitfld.quad 0x00 4.--7. "UAO,User Access Override support" "Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "CNP,Common not Private support" "Reserved,Supported,?..."
endif
rgroup.quad spr:0x30054++0x00
line.quad 0x00 "ID_AA64AFR0_EL1,Auxiliary Feature Register 0"
rgroup.quad spr:0x30055++0x00
line.quad 0x00 "ID_AA64AFR1_EL1,Auxiliary Feature Register 1"
rgroup.quad spr:0x30010++0x00
line.quad 0x00 "ID_PFR0_EL1,AArch32 Processor Feature Register 0"
bitfld.quad 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..."
bitfld.quad 0x00 16.--19. "CSV2,Speculative use of faulting data" "Not disclosed,?..."
newline
bitfld.quad 0x00 12.--15. "STATE3,Thumb Execution Environment (Thumb-EE) Support" "Not supported,?..."
bitfld.quad 0x00 8.--11. "STATE2,Support for Jazelle extension" "Reserved,Trivial,?..."
bitfld.quad 0x00 4.--7. "STATE1,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,After Thumb-2,?..."
newline
bitfld.quad 0x00 0.--3. "STATE0,ARM Instruction Set Support" "Reserved,Supported,?..."
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x30011++0x00
line.quad 0x00 "ID_PFR1_EL1,AArch32 Processor Feature Register 1"
bitfld.quad 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Disabled,Enabled,?..."
bitfld.quad 0x00 24.--27. "VF,Virtualization fractional Support - Supported features from the ARMv7 Virtualization Extensions" "Not supported,?..."
bitfld.quad 0x00 20.--23. "SF,Security fractional Support - Supported features from the ARMv7 Security Extensions" "Not supported,?..."
newline
bitfld.quad 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..."
bitfld.quad 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..."
newline
bitfld.quad 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..."
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x30011++0x00
line.quad 0x00 "ID_PFR1_EL1,AArch32 Processor Feature Register 1"
bitfld.quad 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Disabled,Enabled,?..."
newline
bitfld.quad 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..."
bitfld.quad 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..."
newline
bitfld.quad 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..."
endif
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x30034++0x00
line.quad 0x00 "ID_PFR2_EL1,AArch32 Processor Feature Register 2"
bitfld.quad 0x00 0.--3. "CSV3,Speculative use of faulting data" "Reserved,Forbidden,?..."
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x30034++0x00
line.quad 0x00 "ID_PFR2_EL1,AArch32 Processor Feature Register 2"
bitfld.quad 0x00 4.--7. "SSBS,Speculative Store Bypassing Safe (SSBS) mechanism support" "Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "CSV3,Speculative use of faulting data" "Reserved,Cannot be used,?..."
endif
rgroup.quad spr:0x30013++0x00
line.quad 0x00 "ID_AFR0_EL1,AArch32 Auxiliary Feature Register 0"
rgroup.quad spr:0x30014++0x00
line.quad 0x00 "ID_MMFR0_EL1,Memory Model Feature Register 0"
bitfld.quad 0x00 28.--31. "IS,Indicates innermost shareability domain implemented" "Reserved,HW coherency,?..."
bitfld.quad 0x00 24.--27. "FCSE,Fast Context Switch Memory Mappings Support" "Not supported,?..."
bitfld.quad 0x00 20.--23. "AR,Auxiliary Register Support" "Reserved,Reserved,Control/Fault Status,?..."
newline
bitfld.quad 0x00 16.--19. "TCM,TCM and Associated DMA Support" "Not supported,?..."
bitfld.quad 0x00 12.--15. "SL,Shareability levels" "Reserved,Implemented 2 levels,?..."
bitfld.quad 0x00 8.--11. "OSS,Outer Shareable Support" "Reserved,HW coherency,?..."
newline
bitfld.quad 0x00 4.--7. "PMSA,Physical Memory System Architecture (PMSA) Support" "Not supported,?..."
bitfld.quad 0x00 0.--3. "VMSA,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,VMSAv7/PXN/L-DESC,?..."
rgroup.quad spr:0x30015++0x00
line.quad 0x00 "ID_MMFR1_EL1,Memory Model Feature Register 1"
bitfld.quad 0x00 28.--31. "BTB,Branch Predictor" "Reserved,Reserved,Reserved,Reserved,No flushing,?..."
bitfld.quad 0x00 24.--27. "L1TCO,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..."
bitfld.quad 0x00 20.--23. "L1UCMO,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..."
newline
bitfld.quad 0x00 16.--19. "L1HCMO,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..."
bitfld.quad 0x00 12.--15. "L1UCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..."
bitfld.quad 0x00 8.--11. "L1HCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..."
newline
bitfld.quad 0x00 4.--7. "L1UCLMOMVA,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..."
bitfld.quad 0x00 0.--3. "L1HCLMOMVA,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..."
rgroup.quad spr:0x30016++0x00
line.quad 0x00 "ID_MMFR2_EL1,Memory Model Feature Register 2"
bitfld.quad 0x00 28.--31. "HAF,Hardware Access Flag Support" "Not supported,?..."
bitfld.quad 0x00 24.--27. "WFI,Wait for Interrupt Stalling Support" "Reserved,Supported,?..."
bitfld.quad 0x00 20.--23. "MBF,Memory Barrier Operations Support" "Reserved,Reserved,DSB/ISB/DMB,?..."
newline
bitfld.quad 0x00 16.--19. "UTLBMO,TLB Maintenance Operations/Unified Architecture Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,S2 operations,?..."
bitfld.quad 0x00 12.--15. "HTLBMO,TLB Maintenance Operations/Harvard Architecture Support" "Not supported,?..."
bitfld.quad 0x00 8.--11. "HL1CMRO,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..."
newline
bitfld.quad 0x00 4.--7. "HL1BPCRO,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
bitfld.quad 0x00 0.--3. "HL1FPCRO,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
rgroup.quad spr:0x30017++0x00
line.quad 0x00 "ID_MMFR3_EL1,AArch32 Memory Model Feature Register 3"
bitfld.quad 0x00 28.--31. "SS,Supersection support" "Supported,?..."
bitfld.quad 0x00 24.--27. "CMEMSZ,Cache memory size" "Reserved,Reserved,1TByte,?..."
bitfld.quad 0x00 20.--23. "CW,Indicates whether translation table updates require a clean to the point of unification" "Reserved,Not required,?..."
newline
bitfld.quad 0x00 16.--19. "PAN,Privileged Access Never Support" "Reserved,Reserved,Extended,?..."
bitfld.quad 0x00 12.--15. "MB,Maintenance broadcast Support" "Reserved,Reserved,Shareability/Defined behavior,?..."
bitfld.quad 0x00 8.--11. "BPM,Invalidate Branch predictor Support" "Reserved,Reserved,Invalidate All/VA,?..."
newline
bitfld.quad 0x00 4.--7. "CMSW,Cache maintenance by set/way" "Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "CMMVA,Cache maintenance by MVA" "Reserved,Supported,?..."
rgroup.quad spr:0x30026++0x00
line.quad 0x00 "ID_MMFR4_EL1,AArch32 Memory Model Feature Register 4"
bitfld.quad 0x00 20.--23. "LSM,LSMAOE and NTLSMD bits support" "Not supported,?..."
bitfld.quad 0x00 16.--19. "HD,Hierarchical Permission Disabled Support" "Reserved,Reserved,Extended,?..."
bitfld.quad 0x00 12.--15. "CNP,Common not Private support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 8.--11. "XNX,EL0/EL1 execute control distinction at stage2 bit support" "Not supported,Supported,?..."
bitfld.quad 0x00 4.--7. "AC2,Indicates the extension of the HACTLR register using HACTLR2" "Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "SPECSEI,Describes whether the PE can generate SError interrupt exceptions" "Not possible,?..."
rgroup.quad spr:0x30020++0x00
line.quad 0x00 "ID_ISAR0_EL1,AArch32 Instruction Set Attribute Register 0"
bitfld.quad 0x00 24.--27. "DIVI,Divide Instructions Support" "Reserved,Reserved,T32/A32,?..."
bitfld.quad 0x00 20.--23. "DEBI,Debug Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 16.--19. "CI,Coprocessor Instructions Support" "Not supported,?..."
newline
bitfld.quad 0x00 12.--15. "CBI,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "BI,Bitfield Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 4.--7. "BCI,Bit Counting Instructions Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 0.--3. "SI,Swap Instructions Support" "Not supported,?..."
rgroup.quad spr:0x30021++0x00
line.quad 0x00 "ID_ISAR1_EL1,AArch32 Instruction Set Attribute Register 1"
bitfld.quad 0x00 28.--31. "JI,Jazelle Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 24.--27. "INTI,Interwork Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.quad 0x00 20.--23. "IMMI,Immediate Instructions Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 16.--19. "ITEI,If Then Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 12.--15. "EXTI,Extend Instructions Support" "Reserved,Reserved,Full support,?..."
bitfld.quad 0x00 8.--11. "EARI,Exception A and R Instructions Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 4.--7. "EXIN,Exception in ARM Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "ENDI,Endian Instructions Support" "Reserved,Supported,?..."
rgroup.quad spr:0x30022++0x00
line.quad 0x00 "ID_ISAR2_EL1,AArch32 Instruction Set Attribute Register 2"
bitfld.quad 0x00 28.--31. "RI,Reversal Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.quad 0x00 24.--27. "PSRI,PSR Instructions Support" "Not supported,Supported,?..."
bitfld.quad 0x00 20.--23. "UMI,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,UMAAL,?..."
newline
bitfld.quad 0x00 16.--19. "SMI,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
newline
bitfld.quad 0x00 12.--15. "MI,Multiply Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "II,Multi-Access Interruptible Instructions Support" "Not supported,?..."
newline
bitfld.quad 0x00 4.--7. "MHI,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Reserved,PLD/PLI/PLDW,?..."
newline
bitfld.quad 0x00 0.--3. "LSI,Load and Store Instructions Support" "Reserved,Reserved,Supported,?..."
rgroup.quad spr:0x30023++0x00
line.quad 0x00 "ID_ISAR3_EL1, AArch32 Instruction Set Attribute Register 3"
bitfld.quad 0x00 28.--31. "TEEEI,Thumb-EE Extensions Support" "Not supported,?..."
bitfld.quad 0x00 24.--27. "NOPI,True NOP Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 20.--23. "TCI,Thumb Copy Instructions Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 16.--19. "TBI,Table Branch Instructions Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 12.--15. "SPI,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..."
newline
bitfld.quad 0x00 8.--11. "SVCI,SVC Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 4.--7. "SIMDI,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "SI,Saturate Instructions Support" "Reserved,Supported,?..."
rgroup.quad spr:0x30024++0x00
line.quad 0x00 "ID_ISAR4_EL1, AArch32 Instruction Set Attribute Register 4"
bitfld.quad 0x00 28.--31. "SWP_FRAC,Memory System Locking Support" "Not supported,?..."
bitfld.quad 0x00 24.--27. "PSR_M_I,PSR_M Instructions Support" "Not supported,?..."
bitfld.quad 0x00 20.--23. "SPRI,Synchronization Primitive instructions" "Supported,?..."
newline
bitfld.quad 0x00 16.--19. "BI,Barrier Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 12.--15. "SMCI,SMC Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 8.--11. "WBI,Write-Back Instructions Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 4.--7. "WSI,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "UI,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..."
rgroup.quad spr:0x30025++0x00
line.quad 0x00 "ID_ISAR5_EL1,AArch32 Instruction Set Attribute Register 5"
bitfld.quad 0x00 24.--27. "RDM,Rounding Double Multiply Add/Subtract instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 16.--19. "CRC32,CRC32 Instructions Support" "Reserved,Supported,?..."
bitfld.quad 0x00 12.--15. "SHA2,SHA2 Instructions Support" "Not supported,Supported,?..."
newline
bitfld.quad 0x00 8.--11. "SHA1,SHA1 Instructions Support" "Not supported,Supported,?..."
bitfld.quad 0x00 4.--7. "AES,AES Instructions Support" "Not supported,Reserved,Supported,?..."
bitfld.quad 0x00 0.--3. "SEVL, SEVL Instructions Support" "Reserved,Supported,?..."
rgroup.quad spr:0x30027++0x00
line.quad 0x00 "ID_ISAR6_EL1,AArch32 Instruction Set Attribute Register 6"
bitfld.quad 0x00 4.--7. "DP,UDOT and SDOT instructions support" "Reserved,Supported,?..."
rgroup.quad spr:0x33001++0x00
line.quad 0x00 "CTR_EL0,Cache Type Register"
bitfld.quad 0x0 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
bitfld.quad 0x0 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
newline
bitfld.quad 0x0 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
bitfld.quad 0x0 14.--15. "VIPT,L1 Instruction cache policy" "Reserved,Reserved,VIPT,?..."
newline
bitfld.quad 0x0 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
rgroup.quad spr:0x30005++0x00
line.quad 0x00 "MPIDR_EL1,MPIDR_EL1"
hexmask.quad.byte 0x00 32.--39. 1. "AFF3,Affinity level 3. Highest level affinity field"
bitfld.quad 0x00 30. "U,Uniprocessor" "Multiprocessor,?..."
bitfld.quad 0x00 24. "MT,Indicates whether the lowest level of affinity consists of logical processors that are implemented using a multi-threading type approach" "Reserved,Very inter"
newline
hexmask.quad.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Second highest level affinity field"
bitfld.quad 0x00 8.--10. "AFF1,Third highest level affinity field/Identification number for each CPU in cluster" "CPUID0,CPUID1,CPUID2,CPUID3,CPUID4,CPUID5,CPUID6,CPUID7"
hexmask.quad.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. The level identifies individual threads within a multi-threaded core"
rgroup.quad spr:0x30006++0x00
line.quad 0x00 "REVIDR_EL1,Revision ID register"
rgroup.quad spr:0x33007++0x00
line.quad 0x00 "DCZID_EL0,DCZID_EL0"
bitfld.quad 0x00 4. "DZP,Data Zero prohibited" "Permitted,Prohibited"
bitfld.quad 0x00 0.--3. "BLOCK,Log2 of the block size in words" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
rgroup.quad spr:0x31007++0x00
line.quad 0x00 "AIDR_EL1,Auxiliary ID Register EL1"
group.quad spr:0x34000++0x00
line.quad 0x00 "VPIDR_EL2,Virtualization Processor ID register"
hexmask.quad.byte 0x0 24.--31. 1. "IMPL,Implementer code"
bitfld.quad 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "ARCH, Architecture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,CPUID scheme"
newline
hexmask.quad.word 0x00 4.--15. 1. "PART,Primary Part Number"
bitfld.quad 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
group.quad spr:0x34005++0x00
line.quad 0x00 "VMPIDR_EL2,Virtualization Multiprocessor ID registers"
hexmask.quad.byte 0x00 32.--39. 1. "AFF3,Affinity level 3. Highest level affinity field"
bitfld.quad 0x00 30. "U,Uniprocessor" "Multiprocessor,?..."
bitfld.quad 0x00 24. "MT,Indicates whether the lowest level of affinity consists of logical processors that are implemented using a multi-threading type approach" "Reserved,Very interdependent"
newline
hexmask.quad.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Second highest level affinity field"
bitfld.quad 0x00 8.--11. "AFF1,Third highest level affinity field/Identification number for each CPU in cluster" "CPUID0,CPUID1,CPUID2,CPUID3,CPUID4,CPUID5,CPUID6,CPUID7,?..."
hexmask.quad.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. The level identifies individual threads within a multi-threaded core"
tree.end
tree "System Control and Configuration"
group.quad spr:0x36111++0x00
line.quad 0x00 "SDER32_EL3,Secure Debug Enable Register"
bitfld.quad 0x00 1. "SUNIDEN,Enable non-invasive debug features in Secure User mode" "Disabled,Enabled"
bitfld.quad 0x00 0. "SUIDEN,Enable debug exceptions in Secure User mode" "Disabled,Enabled"
if (CORENAME()=="CORTEXA75")
group.quad spr:0x30100++0x00
line.quad 0x00 "SCTLR_EL1,System Control Register EL1"
bitfld.quad 0x00 26. "UCI,EL0 access in AArch64 for DC CVAU/ DC CIVAC/ DC CVAC and IC IVAU instructions enable" "Disabled,Enabled"
bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big"
newline
bitfld.quad 0x00 24. "E0E,Endianness of explicit data accesses at EL0" "Little,Big"
bitfld.quad 0x00 23. "SPAN,Set PSTATE.PAN bit on taking an exception to the EL1 exception level" "Reserved,Unchanged"
newline
bitfld.quad 0x00 21. "IESB,Implicit Error Synchronization Barrier enable" "Disabled,Enabled"
bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced"
newline
bitfld.quad 0x00 18. "NTWE,WFE instruction executed at EL0" "Executed,Not executed"
bitfld.quad 0x00 16. "NTWI,WFI instruction executed at EL0" "Executed,Not executed"
newline
bitfld.quad 0x00 15. "UCT,EL0 access in AArch64 to the CTR_EL0 enable" "Disabled,Enabled"
bitfld.quad 0x00 14. "DZE,Access to DC ZVA instruction at EL0" "Prohibited,Allowed"
newline
bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled"
bitfld.quad 0x00 9. "UMA,User Mask Access. Controls access to interrupt masks from EL0, when EL0 is using AArch64" "Disabled,Enabled"
newline
bitfld.quad 0x00 8. "SED,SETEND instruction disable" "No,Yes"
bitfld.quad 0x00 7. "ITD,IT Disable" "No,"
newline
bitfld.quad 0x00 5. "CP15BEN,CP15 Barrier Enable" "Disabled,Enabled"
bitfld.quad 0x00 4. "SA0,Stack Alignment Check Enable for EL0" "Disabled,Enabled"
newline
bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled"
bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "A,Alignment Check" "Low,High"
bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x30100++0x00
line.quad 0x00 "SCTLR_EL1,System Control Register EL1"
bitfld.quad 0x00 26. "UCI,EL0 access in AArch64 for DC CVAU/ DC CIVAC/ DC CVAC and IC IVAU instructions enable" "Disabled,Enabled"
bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big"
newline
bitfld.quad 0x00 24. "E0E,Endianness of explicit data accesses at EL0" "Little,Big"
bitfld.quad 0x00 23. "SPAN,Set PSTATE.PAN bit on taking an exception to the EL1 exception level" "Reserved,Unchanged"
newline
bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced"
bitfld.quad 0x00 18. "NTWE,WFE instruction executed at EL0" "Executed,Not executed"
newline
bitfld.quad 0x00 16. "NTWI,WFI instruction executed at EL0" "Executed,Not executed"
bitfld.quad 0x00 15. "UCT,EL0 access in AArch64 to the CTR_EL0 enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 14. "DZE,Access to DC ZVA instruction at EL0" "Prohibited,Allowed"
bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 9. "UMA,User Mask Access. Controls access to interrupt masks from EL0, when EL0 is using AArch64" "Disabled,Enabled"
bitfld.quad 0x00 8. "SED,SETEND instruction disable" "No,Yes"
newline
bitfld.quad 0x00 5. "CP15BEN,CP15 Barrier Enable" "Disabled,Enabled"
bitfld.quad 0x00 4. "SA0,Stack Alignment Check Enable for EL0" "Disabled,Enabled"
newline
bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled"
bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "A,Alignment Check" "Low,High"
bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled"
endif
group.quad spr:0x35100++0x00
line.quad 0x00 "SCTLR_EL12,System Control Register EL12"
bitfld.quad 0x00 26. "UCI,EL0 access in AArch64 for DC CVAU/ DC CIVAC/ DC CVAC and IC IVAU instructions enable" "Disabled,Enabled"
bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big"
newline
bitfld.quad 0x00 24. "E0E,Endianness of explicit data accesses at EL0" "Little,Big"
bitfld.quad 0x00 23. "SPAN,Set PSTATE.PAN bit on taking an exception to the EL1 exception level" "Reserved,Unchanged"
newline
bitfld.quad 0x00 21. "IESB,Implicit Error Synchronization Barrier enable" "Disabled,Enabled"
bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced"
newline
bitfld.quad 0x00 18. "NTWE,WFE instruction executed at EL0" "Executed,Not executed"
bitfld.quad 0x00 16. "NTWI,WFI instruction executed at EL0" "Executed,Not executed"
newline
bitfld.quad 0x00 15. "UCT,EL0 access in AArch64 to the CTR_EL0 enable" "Disabled,Enabled"
bitfld.quad 0x00 14. "DZE,Access to DC ZVA instruction at EL0" "Prohibited,Allowed"
newline
bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled"
bitfld.quad 0x00 9. "UMA,User Mask Access. Controls access to interrupt masks from EL0, when EL0 is using AArch64" "Disabled,Enabled"
newline
bitfld.quad 0x00 8. "SED,SETEND instruction disable" "No,Yes"
bitfld.quad 0x00 7. "ITD,IT Disable" "No,"
newline
bitfld.quad 0x00 5. "CP15BEN,CP15 Barrier Enable" "Disabled,Enabled"
bitfld.quad 0x00 4. "SA0,Stack Alignment Check Enable for EL0" "Disabled,Enabled"
newline
bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled"
bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "A,Alignment Check" "Low,High"
bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled"
group.quad spr:0x34100++0x00
line.quad 0x00 "SCTLR_EL2,System Control Register EL2"
bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big"
bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced"
newline
bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled"
bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled"
bitfld.quad 0x00 1. "A,Alignment Check" "Low,High"
newline
bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled"
group.quad spr:0x36100++0x00
line.quad 0x00 "SCTLR_EL3,System Control Register EL3"
bitfld.quad 0x00 25. "EE,Exception endianness" "Little,Big"
bitfld.quad 0x00 21. "IESB,Implicit Error Synchronization Barrier enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 19. "WXN,Write permission implies XN (Execute Never)" "Not forced,Forced"
bitfld.quad 0x00 12. "I,Instruction Cache enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 3. "SA,Stack Alignment Check Enable" "Disabled,Enabled"
bitfld.quad 0x00 2. "C,Data/Unified Cache enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "A,Alignment Check" "Low,High"
bitfld.quad 0x00 0. "M,MMU Enable" "Disabled,Enabled"
if (CORENAME()=="CORTEXA55")
group.quad spr:0x30F70++0x00
line.quad 0x00 "ATCR_EL1,CPU Auxiliary Control Register"
bitfld.quad 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1"
bitfld.quad 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1"
newline
bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1"
bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1"
newline
bitfld.quad 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
bitfld.quad 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
group.quad spr:0x35F70++0x00
line.quad 0x00 "ATCR_EL12,CPU Auxiliary Control Register"
bitfld.quad 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1"
bitfld.quad 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1"
newline
bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1"
bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1"
newline
bitfld.quad 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
bitfld.quad 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
group.quad spr:0x34F70++0x00
line.quad 0x00 "ATCR_EL2,CPU Auxiliary Control Register"
bitfld.quad 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1"
bitfld.quad 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1"
newline
bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1"
bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1"
newline
bitfld.quad 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
bitfld.quad 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
group.quad spr:0x36F70++0x00
line.quad 0x00 "ATCR_EL3,CPU Auxiliary Control Register"
bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1"
bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1"
newline
bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
group.quad spr:0x34F71++0x00
line.quad 0x00 "AVTCR_EL2,CPU Auxiliary Control Register"
bitfld.quad 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1"
bitfld.quad 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1"
newline
bitfld.quad 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
bitfld.quad 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
endif
group.quad spr:0x30F10++0x00
line.quad 0x00 "CPUACTLR_EL1,CPU Auxiliary Control Register"
if (CORENAME()=="CORTEXA75")
group.quad spr:0x30F11++0x00
line.quad 0x00 "CPUACTLR2_EL1,CPU Auxiliary Control Register 2"
group.quad spr:0x30F14++0x00
line.quad 0x00 "CPUECTLR_EL1,CPU Extended Control Register"
bitfld.quad 0x00 63. "GBPP,Branch prediction structure invalidation" "0,1"
bitfld.quad 0x00 22.--23. "L4_STREAM,Threshold for direct stream to L4 cache on store" "512KB,1024KB,2048KB,Disabled"
newline
bitfld.quad 0x00 20.--21. "L3_STREAM,Threshold for direct stream to L3 cache on store" "64KB,256KB,512KB,Disabled"
bitfld.quad 0x00 18.--19. "L2_STREAM,Threshold for direct stream to L2 cache on store" "16KB,64KB,128KB,Disabled"
newline
bitfld.quad 0x00 10. "L3PF,Enable L3 prefetch requests sent by the stride prefetcher" "Disabled,Enabled"
bitfld.quad 0x00 9. "L2PF,Enable L2 prefetch requests sent by the stride prefetcher" "Disabled,Enabled"
newline
bitfld.quad 0x00 8. "L1PF,Enable L1 prefetch requests sent by the stride prefetcher" "Disabled,Enabled"
bitfld.quad 0x00 7. "RPF,Enable L2 region prefetch requests" "Disabled,Enabled"
newline
bitfld.quad 0x00 6. "MMUPF,Enable MMU prefetch requests" "Disabled,Enabled"
bitfld.quad 0x00 5. "RPF_AGGRO,L2 region prefetcher aggressivity" "Less,More"
newline
bitfld.quad 0x00 1. "RNSD_EXCL,Enables signaling of cacheable Exclusive loads on the internal interface between the core and the DSU" "Disabled,Enabled"
bitfld.quad 0x00 0. "EXTLLC,Type of last-level cache that is present in the system" "Internal,External"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x30F14++0x00
line.quad 0x00 "CPUECTLR_EL1,CPU Extended Control Register"
bitfld.quad 0x00 38.--39. "ATOM,Force most cacheable atomic instructions to be executed far in the L3 cache or beyond and near in the L1 cache" "Near - hit/unique | Far - miss/shared,Near,Far,Near - load | Far - store"
bitfld.quad 0x00 37. "L2FLUSH,L2 cache flush" "Enabled,Disabled"
newline
bitfld.quad 0x00 29.--30. "L3WSCTL,Write streaming no-L3-allocate threshold" "128th line,1024th line,4096th line,Disabled"
bitfld.quad 0x00 27.--28. "L2WSCTL,Write streaming no-L2-allocate threshold" "16th line,128th line,512th line,Disabled"
newline
bitfld.quad 0x00 25.--26. "L1WSCTL,Write streaming no-L1-allocate threshold" "4th line,64th line,128th line,Disabled"
bitfld.quad 0x00 13.--15. "L1PCTL,L1 Data prefetch control. Maximum number of outstanding data prefetches allowed in the L1 memory system" "Disabled,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--12. "L3PCTL,L3 Data prefetch control. Maximum number of outstanding data prefetches allowed that can be sent to the L3 memory system" "16 lines,32 lines,Reserved,Reserved,Disabled,2 lines,4 lines,8 lines"
bitfld.quad 0x00 0. "EXTLLC,Indicates that an external Last-level cache is present in the system" "L3 cache,Present"
group.quad spr:0x36F81++0x00
line.quad 0x00 "CPUPCR_EL3,CPU Private Control Register"
group.quad spr:0x36F83++0x00
line.quad 0x00 "CPUPMR_EL3,CPU Private Mask Register"
group.quad spr:0x36F82++0x00
line.quad 0x00 "CPUPOR_EL3,CPU Private Operation Register"
group.quad spr:0x36F80++0x00
line.quad 0x00 "CPUPSELR_EL3,CPU Private Selection Register"
endif
group.quad spr:0x30101++0x00
line.quad 0x00 "ACTLR_EL1,Auxiliary Control register 1"
if (CORENAME()=="CORTEXA75")
group.quad spr:0x34101++0x00
line.quad 0x00 "ACTLR_EL2,Auxiliary Control register 2"
bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible"
bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 4. "AMEN,Activity Monitor enable" "Trapped,Not trapped"
newline
bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible"
group.quad spr:0x36101++0x00
line.quad 0x00 "ACTLR_EL3,Auxiliary Control register 3"
bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible"
bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 4. "AMEN,Activity Monitor enable" "Trapped,Not trapped"
bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible"
group.quad spr:0x30102++0x00
line.quad 0x00 "CPACR_EL1,Coprocessor Access Control Register 1"
bitfld.quad 0x00 28. "TTA,Traps EL0 and EL1 System register accesses to all implemented trace registers to EL1" "No trap,"
bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap"
group.quad spr:0x35102++0x00
line.quad 0x00 "CPACR_EL12,Coprocessor Access Control Register 1"
bitfld.quad 0x00 28. "TTA,Traps EL0 and EL1 System register accesses to all implemented trace registers to EL1" "No trap,"
bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x34101++0x00
line.quad 0x00 "ACTLR_EL2,Auxiliary Control register 2"
bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible"
bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible"
group.quad spr:0x36101++0x00
line.quad 0x00 "ACTLR_EL3,Auxiliary Control register 3"
bitfld.quad 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible"
bitfld.quad 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible"
bitfld.quad 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible"
newline
bitfld.quad 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible"
group.quad spr:0x30102++0x00
line.quad 0x00 "CPACR_EL1,Coprocessor Access Control Register 1"
bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap"
group.quad spr:0x35102++0x00
line.quad 0x00 "CPACR_EL12,Coprocessor Access Control Register 1"
bitfld.quad 0x00 20.--21. "FPEN,Floating Point and Advanced SIMD execution to trap to EL1 when executed from EL0 or EL1" "EL0/EL1,EL0,EL0/EL1,No trap"
endif
group.quad spr:0x34112++0x00
line.quad 0x00 "CPTR_EL2,Coprocessor Access Control Register 2"
bitfld.quad 0x00 31. "TCPAC,Trap Coprocessor Access Control" "No trap,Trap"
bitfld.quad 0x00 10. "TFP,Trap Floating Point and Advanced SIMD execution" "No trap,Trap"
group.quad spr:0x36112++0x00
line.quad 0x00 "CPTR_EL3,Coprocessor Access Control Register 3"
bitfld.quad 0x00 31. "TCPAC,Trap Coprocessor Access Control" "No trap,Trap"
bitfld.quad 0x00 10. "TFP,Trap Floating Point and Advanced SIMD execution" "No trap,Trap"
group.quad spr:0x36110++0x00
line.quad 0x00 "SCR_EL3,Secure Configuration Register"
bitfld.quad 0x00 15. "TERR,Trap Error record accesses" "No Trap,Trap"
bitfld.quad 0x00 14. "TLOR,Trap access to the LOR Registers from Non-secure EL1 and EL2 to EL3" "No trap,Trap"
newline
bitfld.quad 0x00 13. "TWE,Trap WFE" "No trap,Trap"
bitfld.quad 0x00 12. "TWI,Trap WFI" "No trap,Trap"
newline
bitfld.quad 0x00 11. "ST,Enables Secure EL1 access to the CNTPS_TVAL_EL1 CNTPS_CTL_EL1 CNTPS_CVAL_EL1[63:0] registers" "Disabled,Enabled"
bitfld.quad 0x00 10. "RW,Register width control for lower exception levels" "AArch32,AArch64"
newline
bitfld.quad 0x00 9. "SIF,Secure Instruction Fetch" "Permitted,Not permitted"
bitfld.quad 0x00 8. "HCE,Hypervisor Call enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 7. "SMD,Secure Monitor Call disable at EL1, EL2, or EL3" "No,Yes"
bitfld.quad 0x00 3. "EA,External Abort exceptions handled in Abort mode or Monitor mode" "Abort,Monitor"
newline
bitfld.quad 0x00 2. "FIQ,FIQ exceptions handled in Abort mode or Monitor mode" "FIQ,Monitor"
bitfld.quad 0x00 1. "IRQ,IRQ exceptions handled in Abort mode or Monitor mode" "IRQ,Monitor"
newline
bitfld.quad 0x00 0. "NS,Secure mode " "Secure,Non-secure"
group.quad spr:0x34110++0x00
line.quad 0x00 "HCR_EL2,Hypervisor Configuration Register"
bitfld.quad 0x00 35. "TLOR,Trap access to the LOR Registers from Non-secure EL1 to EL2" "No trap,Trap"
bitfld.quad 0x00 34. "E2H,EL2 Host" "Disabled,Enabled"
newline
bitfld.quad 0x00 33. "ID,Stage 2 Instruction cache disable" "No,Yes"
bitfld.quad 0x00 32. "CD,Stage 2 Data cache disable" "No,Yes"
newline
bitfld.quad 0x00 31. "RW,Register width control for lower exception levels" "AArch32,EL1 is 64-bit"
bitfld.quad 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "No trap,Trap"
newline
bitfld.quad 0x00 28. "TDZ,Trap DC ZVA instruction" "No trap,Trap"
bitfld.quad 0x00 27. "TGE,Trap General Exceptions has an enhanced role when EL2 is using AArch64" "No trap,Trap"
newline
bitfld.quad 0x00 26. "TVM,Trap Virtual Memory controls to EL2" "No trap,Trap"
bitfld.quad 0x00 25. "TTLB,Trap TLB maintenance instructions to EL2" "No trap,Trap"
newline
bitfld.quad 0x00 24. "TPU,Trap Cache maintenance instructions to Point of Unification to EL2" "No trap,Trap"
bitfld.quad 0x00 23. "TPC,Trap Data/Unified Cache maintenance instructions to Point of Coherency to EL2" "No trap,Trap"
newline
bitfld.quad 0x00 22. "TSW,Trap Data/Unified Cache maintenance instructions by Set/Way to EL2" "No trap,Trap"
bitfld.quad 0x00 21. "TACR,Trap Auxiliary Control Register" "No trap,Trap"
newline
bitfld.quad 0x00 20. "TIDCP,Trap Implementation Dependent functionality" "No trap,Trap"
bitfld.quad 0x00 19. "TSC,Trap SMC" "No trap,Trap"
newline
bitfld.quad 0x00 18. "TID3,Trap ID Group 3" "No trap,Trap"
bitfld.quad 0x00 17. "TID2,Trap ID Group 2" "No trap,Trap"
newline
bitfld.quad 0x00 16. "TID1,Trap ID Group 1" "No trap,Trap"
bitfld.quad 0x00 15. "TID0,Trap ID Group 0" "No trap,Trap"
newline
bitfld.quad 0x00 14. "TWE,Trap WFE" "No trap,Trap"
bitfld.quad 0x00 13. "TWI,Trap WFI" "No trap,Trap"
newline
bitfld.quad 0x00 12. "DC,Default Cacheable" "Disabled,Enabled"
bitfld.quad 0x00 10.--11. "BSU,Barrier Shareability upgrade. determines the minimum shareability domain that is applied to any barrier executed from EL1 or EL0" "No effect,Inner Shareable,Outer Shareable,Full System"
newline
bitfld.quad 0x00 9. "FB,Force broadcast" "Not forced,Forced"
bitfld.quad 0x00 8. "VSE,Virtual System Error/Asynchronous Abort:" "Not pending,Pending"
newline
bitfld.quad 0x00 7. "VI,Virtual IRQ Interrupt" "Not pending,Pending"
bitfld.quad 0x00 6. "VF,Virtual FIQ Interrupt" "Not pending,Pending"
newline
bitfld.quad 0x00 5. "AMO,Asynchronous abort and error interrupt routing" "Disabled,Enabled"
bitfld.quad 0x00 4. "IMO,Physical IRQ Routing" "Disabled,Enabled"
newline
bitfld.quad 0x00 3. "FMO,Physical FIQ Routing" "Disabled,Enabled"
bitfld.quad 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled"
newline
bitfld.quad 0x00 0. "VM,Second stage of Translation enable" "Disabled,Enabled"
group.quad spr:0x30510++0x00
line.quad 0x00 "AFSR0_EL1,Auxiliary Fault Status Registers"
group.quad spr:0x35510++0x00
line.quad 0x00 "AFSR0_EL12,Auxiliary Fault Status Registers"
group.quad spr:0x30511++0x00
line.quad 0x00 "AFSR1_EL1,Auxiliary Fault Status Registers"
group.quad spr:0x35511++0x00
line.quad 0x00 "AFSR1_EL12,Auxiliary Fault Status Registers"
group.quad spr:0x34510++0x00
line.quad 0x00 "AFSR0_EL2,Auxiliary Fault Status Registers"
group.quad spr:0x34511++0x00
line.quad 0x00 "AFSR1_EL2,Auxiliary Fault Status Registers"
group.quad spr:0x36510++0x00
line.quad 0x00 "AFSR0_EL3,Auxiliary Fault Status Registers"
group.quad spr:0x36511++0x00
line.quad 0x00 "AFSR1_EL3,Auxiliary Fault Status Registers"
tree.open "Exception Syndrome Registers"
if (((per.q(spr:0x30520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
elif (((per.q(spr:0x30520))&0xFC000000)==0x04000000)
if (((per.q(spr:0x30520))&0x1000000)==0x1000000)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
endif
elif (((per.q(spr:0x30520))&0xFC000000)==(0x0C000000||0x14000000))
if (((per.q(spr:0x30520))&0x1000000)==0x1000000)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x30520))&0xFC000000)==(0x10000000||0x30000000))
if (((per.q(spr:0x30520))&0x1000000)==0x1000000)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x30520))&0xFC000000)==0x18000000)
if (((per.q(spr:0x30520))&0x1000000)==0x1000000)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x30520))&0xFC000000)==0x1C000000)
if (((per.q(spr:0x30520))&0x1000000)==0x1000000)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
endif
elif (((per.q(spr:0x30520))&0xFC000000)==(0x44000000||0x54000000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction"
elif (((per.q(spr:0x30520))&0xFC000000)==0x60000000)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3"
bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
elif (((per.q(spr:0x30520))&0xFC000000)==(0x80000000||0x84000000))
if (((per.q(spr:0x30520))&0x3F)==(0x10))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
endif
elif (((per.q(spr:0x30520))&0xFD000000)==(0x91000000||0x95000000))
if (((per.q(spr:0x30520))&0x3F)==(0x10))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x30520))&0xFD000000)==(0x90000000||0x94000000))
if (((per.q(spr:0x30520))&0x3F)==(0x10))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x30520))&0xFC800000)==(0xA0800000||0xB0800000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred"
elif (((per.q(spr:0x30520))&0xFC800000)==(0xA0000000||0xB0000000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
elif (((per.q(spr:0x30520))&0xFD000000)==0xBD000000)
if (((per.q(spr:0x30520))&0x3F)==0x11)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized"
newline
bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..."
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
endif
elif (((per.q(spr:0x30520))&0xFD000000)==0xBC000000)
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
elif (((per.q(spr:0x30520))&0xFC000000)==(0xC0000000||0xC4000000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
elif (((per.q(spr:0x30520))&0xFC000000)==(0xC8000000||0xCC000000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid"
bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
elif (((per.q(spr:0x30520))&0xFC000000)==(0xD0000000||0xD4000000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,?..."
elif (((per.q(spr:0x30520))&0xFC000000)==(0xE0000000||0xF0000000))
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value"
else
group.quad spr:0x30520++0x00
line.quad 0x00 "ESR_EL1,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
endif
if (((per.q(spr:0x35520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
elif (((per.q(spr:0x35520))&0xFC000000)==0x04000000)
if (((per.q(spr:0x35520))&0x1000000)==0x1000000)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
endif
elif (((per.q(spr:0x35520))&0xFC000000)==(0x0C000000||0x14000000))
if (((per.q(spr:0x35520))&0x1000000)==0x1000000)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x35520))&0xFC000000)==(0x10000000||0x30000000))
if (((per.q(spr:0x35520))&0x1000000)==0x1000000)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x35520))&0xFC000000)==0x18000000)
if (((per.q(spr:0x35520))&0x1000000)==0x1000000)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x35520))&0xFC000000)==0x1C000000)
if (((per.q(spr:0x35520))&0x1000000)==0x1000000)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
endif
elif (((per.q(spr:0x35520))&0xFC000000)==(0x44000000||0x54000000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction"
elif (((per.q(spr:0x35520))&0xFC000000)==0x60000000)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3"
bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
elif (((per.q(spr:0x35520))&0xFC000000)==(0x80000000||0x84000000))
if (((per.q(spr:0x35520))&0x3F)==(0x10))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
endif
elif (((per.q(spr:0x35520))&0xFD000000)==(0x91000000||0x95000000))
if (((per.q(spr:0x35520))&0x3F)==(0x10))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x35520))&0xFD000000)==(0x90000000||0x94000000))
if (((per.q(spr:0x35520))&0x3F)==(0x10))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x35520))&0xFC800000)==(0xA0800000||0xB0800000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred"
elif (((per.q(spr:0x35520))&0xFC800000)==(0xA0000000||0xB0000000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
elif (((per.q(spr:0x35520))&0xFD000000)==0xBD000000)
if (((per.q(spr:0x35520))&0x3F)==0x11)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized"
newline
bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..."
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
endif
elif (((per.q(spr:0x35520))&0xFD000000)==0xBC000000)
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
elif (((per.q(spr:0x35520))&0xFC000000)==(0xC0000000||0xC4000000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
elif (((per.q(spr:0x35520))&0xFC000000)==(0xC8000000||0xCC000000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid"
bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
elif (((per.q(spr:0x35520))&0xFC000000)==(0xD0000000||0xD4000000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,?..."
elif (((per.q(spr:0x35520))&0xFC000000)==(0xE0000000||0xF0000000))
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value"
else
group.quad spr:0x35520++0x00
line.quad 0x00 "ESR_EL12,Exception Syndrome Register (EL1)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
endif
if (((per.q(spr:0x34520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
elif (((per.q(spr:0x34520))&0xFC000000)==0x04000000)
if (((per.q(spr:0x34520))&0x1000000)==0x1000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
endif
elif (((per.q(spr:0x34520))&0xFC000000)==(0x0C000000||0x14000000||0x20000000))
if (((per.q(spr:0x34520))&0x1000000)==0x1000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x34520))&0xFC000000)==(0x10000000||0x30000000))
if (((per.q(spr:0x34520))&0x1000000)==0x1000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x34520))&0xFC000000)==0x18000000)
if (((per.q(spr:0x34520))&0x1000000)==0x1000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x34520))&0xFC000000)==0x1C000000)
if (((per.q(spr:0x34520))&0x1000000)==0x1000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
endif
elif (((per.q(spr:0x34520))&0xFC000000)==(0x44000000||0x48000000||0x54000000||0x58000000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction"
elif (((per.q(spr:0x34520))&0xFC000000)==0x4C000000)
if ((((per.q(spr:0x34520))&0x1000000)==0x1000000)&&(((per.q(spr:0x34520))&0xF0000)==0x80000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional"
elif (((per.q(spr:0x34520))&0xF0000)==0x80000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
newline
bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional"
endif
elif (((per.q(spr:0x34520))&0xFC000000)==0x5C000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the issued SMC instruction"
elif (((per.q(spr:0x34520))&0xFC000000)==0x60000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3"
bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
elif (((per.q(spr:0x34520))&0xFC000000)==(0x80000000||0x84000000))
if (((per.q(spr:0x34520))&0x3F)==(0x10))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
endif
elif (((per.q(spr:0x34520))&0xFD000000)==(0x91000000||0x95000000))
if (((per.q(spr:0x34520))&0x3F)==(0x10))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x34520))&0xFD000000)==(0x90000000||0x94000000))
if (((per.q(spr:0x34520))&0x3F)==(0x10))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x34520))&0xFC800000)==(0xA0800000||0xB0800000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred"
elif (((per.q(spr:0x34520))&0xFC800000)==(0xA0000000||0xB0000000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
elif (((per.q(spr:0x34520))&0xFD000000)==0xBD000000)
if (((per.q(spr:0x34520))&0x3F)==0x11)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized"
newline
bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..."
newline
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
endif
elif (((per.q(spr:0x34520))&0xFD000000)==0xBC000000)
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
elif (((per.q(spr:0x34520))&0xFC000000)==(0xC0000000||0xC4000000||0xE8000000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
elif (((per.q(spr:0x34520))&0xFC000000)==(0xC8000000||0xCC000000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,EX bit valid" "Not valid,Valid"
bitfld.quad 0x00 6. "EX,Exclusive operation" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,Debug exception,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
elif (((per.q(spr:0x34520))&0xFC000000)==(0xD0000000||0xD4000000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 8. "CM,Data came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
newline
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "0,1,2,3,?..."
elif (((per.q(spr:0x34520))&0xFC000000)==(0xE0000000||0xF0000000))
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value"
else
group.quad spr:0x34520++0x00
line.quad 0x00 "ESR_EL2,Exception Syndrome Register (EL2)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
endif
if (((per.q(spr:0x36520))&0xFC000000)==(0x00000000||0x38000000||0x88000000||0x98000000))
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
elif (((per.q(spr:0x36520))&0xFC000000)==0x04000000)
if (((per.q(spr:0x36520))&0x1000000)==0x1000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 0. "TI,Trapped instruction" "WFI,WFE"
endif
elif (((per.q(spr:0x36520))&0xFC000000)==(0x0C000000||0x14000000))
if (((per.q(spr:0x36520))&0x1000000)==0x1000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 17.--19. "OPC2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 14.--16. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x36520))&0xFC000000)==(0x10000000||0x30000000))
if (((per.q(spr:0x36520))&0x1000000)==0x1000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 16.--19. "OPC1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--14. "RT2,Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x36520))&0xFC000000)==0x18000000)
if (((per.q(spr:0x36520))&0x1000000)==0x1000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
hexmask.quad.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.quad 0x00 5.--9. "RN,Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.quad 0x00 1.--3. "AM,Addressing mode" "Immediate unindexed,Immediate post-indexed,Immediate offset,Immediate pre-indexed,Literal unindexed,Reserved,Literal offset,Reserved"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
endif
elif (((per.q(spr:0x36520))&0xFC000000)==0x1C000000)
if (((per.q(spr:0x36520))&0x1000000)==0x1000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
endif
elif (((per.q(spr:0x36520))&0xFC000000)==0x4C000000)
if ((((per.q(spr:0x36520))&0x1000000)==0x1000000)&&(((per.q(spr:0x36520))&0xF0000)==0x80000))
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
bitfld.quad 0x00 20.--23. "COND,Condition code for the trapped instruction" "0b0000,0b0001,0b0010,0b0011,0b0100,0b0101,0b0110,0b0111,0b1000,0b1001,0b1010,0b1011,0b1100,0b1101,0b1110,0b1111"
newline
bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional"
elif (((per.q(spr:0x36520))&0xF0000)==0x80000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "CV,Condition code valid" "Not valid,Valid"
newline
bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,MCR/VMRS to CP10/AArch32,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,HVC in AArch32,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Vector Catch,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
newline
bitfld.quad 0x00 19. "CCKNOWNPASS,Indicates whether the instruction might have failed its condition code check" "Unconditional,Conditional"
endif
elif (((per.q(spr:0x36520))&0xFC000000)==(0x54000000||0x58000000))
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction"
elif (((per.q(spr:0x36520))&0xFC000000)==0x5C000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the issued SMC instruction"
elif (((per.q(spr:0x36520))&0xFC000000)==0x60000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 20.--21. "OP0,Op0 value from the issued instruction" "0,1,2,3"
bitfld.quad 0x00 17.--19. "OP2,Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 14.--16. "OP1,Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 10.--13. "CRN,CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 5.--9. "RT,Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 1.--4. "CRM,CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 0. "DIRECTION,Direction of the trapped instruction" "Write,Read"
elif (((per.q(spr:0x36520))&0xFC000000)==0x7C000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.long 0x00 0.--24. 1. "IMPL_DEF,Implementation defined"
elif (((per.q(spr:0x36520))&0xFC000000)==(0x80000000||0x84000000))
if (((per.q(spr:0x36520))&0x3F)==(0x10))
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
bitfld.quad 0x00 0.--5. "IFSC,Instruction Fault Status Code" "Address size/L0/base register,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
endif
elif (((per.q(spr:0x36520))&0xFD000000)==(0x91000000||0x95000000))
if (((per.q(spr:0x36520))&0x3F)==(0x10))
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
bitfld.quad 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.quad 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.quad 0x00 16.--20. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
bitfld.quad 0x00 15. "SF,Width of the register accessed by the instruction is Sixty-Four" "32-bit,64-bit"
bitfld.quad 0x00 14. "AR,Acquire/Release" "No,Yes"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x36520))&0xFD000000)==(0x90000000||0x94000000))
if (((per.q(spr:0x36520))&0x3F)==(0x10))
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
bitfld.quad 0x00 11.--12. "SET,Synchronous Error Type" "UER,UEO,UC,CE"
newline
bitfld.quad 0x00 10. "FNV,FAR not Valid" "No,Yes"
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "ISV,Instruction syndrome valid" "Not valid,Valid"
newline
newline
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "Not external,External"
newline
bitfld.quad 0x00 8. "CM,Fault came from a Cache Maintenance Instruction other than DC ZVA" "No,Yes"
bitfld.quad 0x00 7. "S1PTW,Fault on the stage 2 translation of an address accessed during a stage 1 translation table walk" "Not stage 2,Stage 2"
newline
bitfld.quad 0x00 6. "WNR,Write not Read" "Read,Write"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Address size/L0/TTBR,Address size/L1,Address size/L2,Address size/L3,Translation/L0,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external abort/not table walk,Reserved,Reserved,Reserved,Sync. external abort/L0,Sync. external abort/L1,Sync. external abort/L2,Sync. external abort/L3,Sync. parity/ECC/not table walk,Reserved,Reserved,Reserved,Sync. parity/ECC/L0,Sync. parity/ECC/L1,Sync. parity/ECC/L2,Sync. parity/ECC/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupported Exclusive/Atomic access,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain,Page Domain,Reserved"
endif
elif (((per.q(spr:0x36520))&0xFC800000)==0xB0800000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 7. "IDF,Input Denormal floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 4. "IXF,Inexact floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 3. "UFF,Underflow floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 2. "OFF,Overflow floating-point exception trapped" "Not occurred,Occurred"
newline
bitfld.quad 0x00 1. "DZF,Divide-by-zero floating-point exception trapped" "Not occurred,Occurred"
bitfld.quad 0x00 0. "IOF,Invalid Operation floating-point exception trapped" "Not occurred,Occurred"
elif (((per.q(spr:0x36520))&0xFC800000)==0xB0000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 23. "TFV,Trapped Fault Valid" "Not valid,Valid"
bitfld.quad 0x00 8.--10. "VECITR,Number of the element that is being reported" "0,1,2,3,4,5,6,7"
elif (((per.q(spr:0x36520))&0xFD000000)==0xBD000000)
if (((per.q(spr:0x36520))&0x3F)==0x11)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
bitfld.quad 0x00 13. "IESB,Implicit Error Synchronization Barrier" "Not synchronized,Synchronized"
newline
bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC,UEU,UEO,UER,CE,?..."
newline
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
newline
newline
bitfld.quad 0x00 9. "EA,External abort type" "No,Yes"
bitfld.quad 0x00 0.--5. "DFSC,Data Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Asynchronous,?..."
endif
elif (((per.q(spr:0x36520))&0xFD000000)==0xBC000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,SVC in AArch32,Reserved,Reserved,Reserved,SVC in AArch64,Reserved,Reserved,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Trapped Floating-point/AArch32,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Breakpoint/lower level,Breakpoint/current level,Software Step/lower level,Software Step/current level,Watchpoint debug/lower level,Watchpoint debug/current level,Reserved,Reserved,Software Breakpoint/AArch32,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
bitfld.quad 0x00 24. "IDS,Implementation defined syndrome" "Not hold,Hold"
elif (((per.q(spr:0x36520))&0xFC000000)==0xF0000000)
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
bitfld.quad 0x00 25. "IL,Instruction Length for synchronous exceptions" "16-bit,32-bit"
newline
hexmask.quad.word 0x00 0.--15. 1. "COMMENT,Set to the instruction comment field value"
else
group.quad spr:0x36520++0x00
line.quad 0x00 "ESR_EL3,Exception Syndrome Register (EL3)"
bitfld.quad 0x00 26.--31. "EC,Exception class" "Unknown reason,WFI/WFE instruction,Reserved,MCR/MRC to CP15/AArch32,MCRR/MRRC to CP15/AArch32,MCR/MRC to CP14/AArch32,LDC/STC to CP14/AArch32,SIMD/Floating point register,Reserved,Reserved,Reserved,Reserved,MCRR/MRRC to CP14/AArch32,Reserved,Illegal execution,Reserved,Reserved,Reserved,Reserved,SMC in AArch32,Reserved,SVC in AArch64,HVC in AArch64,SMC in AArch64,MSR/MRS/System in AArch64,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Implementation defined,Instruction abort,Instruction abort/AArch64,PC alignment fault,Reserved,Data abort,Data abort/Aarch64,SP alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Trapped Floating-point/AArch64,Reserved,Reserved,SError interrupt,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Software Breakpoint/AArch64,Reserved,Reserved,Reserved"
endif
tree.end
newline
if (CORENAME()=="CORTEXA75")
group.quad spr:0x30C11++0x00
line.quad 0x00 "DISR_EL1,Deferred Interrupt Status Register"
bitfld.quad 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,"
newline
bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
bitfld.quad 0x00 0.--5. "DFSC,Fault status code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError,?..."
elif (CORENAME()=="CORTEXA55")
if (((per.q(spr:0x30C11))&0x1000000)==0x00)&&(((per.q(spr:0x30C11))&0x3F)==0x11)
group.quad spr:0x30C11++0x00
line.quad 0x00 "DISR_EL1,Deferred Interrupt Status Register"
bitfld.quad 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,"
newline
bitfld.quad 0x00 10.--12. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
bitfld.quad 0x00 0.--5. "DFSC,Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch32,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch64,?..."
else
group.quad spr:0x30C11++0x00
line.quad 0x00 "DISR_EL1,Deferred Interrupt Status Register"
bitfld.quad 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,"
newline
bitfld.quad 0x00 0.--5. "DFSC,Fault Status Code" "Uncategorized,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch32,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async. SError/AArch64,?..."
endif
endif
if (CORENAME()=="CORTEXA75")
group.quad spr:0x34523++0x00
line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch32"
bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
bitfld.quad 0x00 12. "EXT,External Abort Type" "0,1"
group.quad spr:0x34523++0x00
line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch64"
bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined"
hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information"
if (((per.q(spr:0x34C11))&0x200)==0x00)
group.quad spr:0x34C11++0x00
line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Short-descriptor"
bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred"
bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
newline
bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long"
bitfld.quad 0x00 0.--3. 10. "FS,Fault status code" ",,,,,,,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..."
else
group.quad spr:0x34C11++0x00
line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Long-descriptor"
bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred"
bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
newline
bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long"
bitfld.quad 0x00 0.--5. "STATUS,Fault status code" ",,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..."
endif
group.quad spr:0x34C11++0x00
line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch64"
bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred"
bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined"
newline
hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x34523++0x00
line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch32"
bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
group.quad spr:0x34523++0x00
line.quad 0x00 "VSESR_EL2,Virtual SError Exception Syndrome Register - EL1 using AArch64"
bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined"
hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information"
if (((per.q(spr:0x34C11))&0x200)==0x00)
group.quad spr:0x34C11++0x00
line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Short-descriptor"
bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred"
bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
newline
bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long"
bitfld.quad 0x00 0.--3. 10. "FS,Fault status code" ",,,,,,,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..."
else
group.quad spr:0x34C11++0x00
line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch32 Long-descriptor"
bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred"
bitfld.quad 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
newline
bitfld.quad 0x00 9. "LPAE,Translation table format" "Short,Long"
bitfld.quad 0x00 0.--5. "STATUS,Fault status code" ",,,,,,,,,,,,,,,,,Asynchronous SError interrupt,?..."
endif
group.quad spr:0x34C11++0x00
line.quad 0x00 "VDISR_EL2,Virtual Deferred Interrupt Status Register - EL1 using the AArch64"
bitfld.quad 0x00 31. "A,Indicates when ESB defers a virtual SError interrupt" "Not deferred,Deferred"
bitfld.quad 0x00 24. "IDS,Indicates the type of format the deferred SError interrupt uses" "Architecturally-defined,Implementation-defined"
newline
hexmask.quad.tbyte 0x00 0.--23. 1. "ISS,Syndrome information"
endif
if (((per.q(c15:0x0202))&0x80000000)==0x00000000)
group.quad spr:0x34501++0x00
line.quad 0x00 "IFSR32_EL2,Instruction Fault Status Register"
bitfld.quad 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.quad 0x00 12. "EXT,External abort type" "0,1"
newline
bitfld.quad 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.quad 0x00 0.--3. 10. "FS,Fault Status" "Reserved,Reserved,Debug event,Access flag/section,Reserved,Translation/section,Access flag/page,Translation/page,Sync. external/non-translation,Domain/section,Reserved,Domain/page,Sync. external/on TTW/L1,Permission/section,Sync. external/on TTW/L2,Permission/page,TLB conflict,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/on memory access,Reserved,Reserved,Sync. parity/on TTW/L1,Reserved,Sync. parity/on TTW/L2,?..."
else
group.quad spr:0x34501++0x00
line.quad 0x00 "IFSR32_EL2,Instruction Fault Status Register"
bitfld.quad 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.quad 0x00 12. "EXT,External abort type" "0,1"
newline
bitfld.quad 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.quad 0x00 0.--5. "STATUS,Fault Status" "Reserved,Reserved,Reserved,Reserved,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/L1,Sync. parity/on memory access/on TTW/L2,Sync. parity/on memory access/on TTW/L3,Reserved,Alignment,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
endif
group.quad spr:0x30600++0x00
line.quad 0x00 "FAR_EL1,Fault Address Register"
group.quad spr:0x35600++0x00
line.quad 0x00 "FAR_EL12,Fault Address Register"
group.quad spr:0x34600++0x00
line.quad 0x00 "FAR_EL2,Fault Address Register"
group.quad spr:0x36600++0x00
line.quad 0x00 "FAR_EL3,Fault Address Register"
group.quad spr:0x34604++0x00
line.quad 0x00 "HPFAR_EL2,Hypervisor IPA Fault Address Register"
hexmask.quad 0x00 4.--43. 0x10 "FIPA[51:12],Bits [51:12] of the faulting intermediate physical address"
group.quad spr:0x31F30++0x00
line.quad 0x00 "CBAR_EL1,Configuration Base Address Register EL1"
hexmask.quad.long 0x00 18.--43. 0x4 "PERIPHBASE,Holds the physical base address of the memory-mapped GIC CPU interface registers"
group.quad spr:0x30C00++0x00
line.quad 0x00 "VBAR_EL1,Vector Base Address Register"
hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address"
group.quad spr:0x35C00++0x00
line.quad 0x00 "VBAR_EL12,Vector Base Address Register"
hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address"
group.quad spr:0x34C00++0x00
line.quad 0x00 "VBAR_EL2,Vector Base Address Register"
hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address"
group.quad spr:0x36C00++0x00
line.quad 0x00 "VBAR_EL3,Vector Base Address Register"
hexmask.quad 0x00 11.--63. 0x8 "VBA,Vector Base Address"
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x36C01++0x00
line.quad 0x00 "RVBAR_EL3,Reset Vector Base Address Register"
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x36C01++0x00
line.quad 0x00 "RVBAR_EL3,Reset Vector Base Address Register"
hexmask.quad 0x00 0.--39. 0x1 "RVBA,Reset Vector Base Address"
endif
group.quad spr:0x30C02++0x00
line.quad 0x00 "RMR_EL1,Reset Management Register"
bitfld.quad 0x00 1. "RR,Reset Request" "Not requested,Requested"
bitfld.quad 0x00 0. "AA64,Determines which execution state the processor boots into after a warm reset" "AArch32,AArch64"
group.quad spr:0x36C02++0x00
line.quad 0x00 "RMR_EL3,Reset Management Register"
bitfld.quad 0x00 1. "RR,Reset Request" "Not requested,Requested"
bitfld.quad 0x00 0. "AA64,Determines which execution state the processor boots into after a warm reset" "AArch32,AArch64"
rgroup.quad spr:0x30C10++0x00
line.quad 0x00 "ISR_EL1,Interrupt Status Register"
bitfld.quad 0x00 8. "A,SError interrupt pending bit" "Not pending,Pending"
bitfld.quad 0x00 7. "I,IRQ pending bit" "Not pending,Pending"
newline
bitfld.quad 0x00 6. "F,FIQ pending bit" "Not pending,Pending"
group.quad spr:0x30D01++0x00
line.quad 0x00 "CONTEXTIDR_EL1,Context ID Register"
group.quad spr:0x35D01++0x00
line.quad 0x00 "CONTEXTIDR_EL12,Context ID Register"
group.quad spr:0x34D01++0x00
line.quad 0x00 "CONTEXTIDR_EL2,Context ID Register"
group.quad spr:0x33D02++0x00
line.quad 0x00 "TPIDR_EL0,Software Thread ID registers"
group.quad spr:0x33D03++0x00
line.quad 0x00 "TPIDRRO_EL0,Software Thread ID registers"
group.quad spr:0x30D04++0x00
line.quad 0x00 "TPIDR_EL1,Software Thread ID registers"
group.quad spr:0x34D02++0x00
line.quad 0x00 "TPIDR_EL2,Software Thread ID registers"
group.quad spr:0x36D02++0x00
line.quad 0x00 "TPIDR_EL3,Software Thread ID registers"
tree "System Instructions"
wgroup.quad spr:0x10710++0x00
line.quad 0x00 "IC_IALLUIS,IC_IALLUIS"
wgroup.quad spr:0x10750++0x00
line.quad 0x00 "IC_IALLU,IC_IALLU"
wgroup.quad spr:0x13751++0x00
line.quad 0x00 "IC_IVAU,IC_IVAU"
wgroup.quad spr:0x13741++0x00
line.quad 0x00 "DC_ZVA,DC_ZVA"
wgroup.quad spr:0x10761++0x00
line.quad 0x00 "DC_IVAC,DC_IVAC"
wgroup.quad spr:0x10762++0x00
line.quad 0x00 "DC_ISW,DC_ISW"
wgroup.quad spr:0x137A1++0x00
line.quad 0x00 "DC_CVAC,DC_CVAC"
wgroup.quad spr:0x137C1++0x00
line.quad 0x00 "DC_CVAP,DC CVAP"
wgroup.quad spr:0x107A2++0x00
line.quad 0x00 "DC_CSW,DC_CSW"
wgroup.quad spr:0x137B1++0x00
line.quad 0x00 "DC_CVAU,DC_CVAU"
wgroup.quad spr:0x137E1++0x00
line.quad 0x00 "DC_CIVAC,DC_CIVAC"
wgroup.quad spr:0x107E2++0x00
line.quad 0x00 "DC_CISW,DC_CISW"
wgroup.quad spr:0x10780++0x00
line.quad 0x00 "AT_S1E1R,AT_S1E1R"
wgroup.quad spr:0x10781++0x00
line.quad 0x00 "AT_S1E1W,AT_S1E1W"
wgroup.quad spr:0x10782++0x00
line.quad 0x00 "AT_S1E0R,AT_S1E0R"
wgroup.quad spr:0x10790++0x00
line.quad 0x00 "AT_S1E1RP,AT_S1E1RP"
wgroup.quad spr:0x10791++0x00
line.quad 0x00 "AT_S1E1WP,AT_S1E1WP"
wgroup.quad spr:0x10783++0x00
line.quad 0x00 "AT_S1E0W,AT_S1E0W"
wgroup.quad spr:0x14784++0x00
line.quad 0x00 "AT_S12E1R,AT_S12E1R"
wgroup.quad spr:0x14785++0x00
line.quad 0x00 "AT_S12E1W,AT_S12E1W"
wgroup.quad spr:0x14786++0x00
line.quad 0x00 "AT_S12E0R,AT_S12E0R"
wgroup.quad spr:0x14787++0x00
line.quad 0x00 "AT_S12E0W,AT_S12E0W"
wgroup.quad spr:0x14780++0x00
line.quad 0x00 "AT_S1E2R,AT_S1E2R"
wgroup.quad spr:0x14781++0x00
line.quad 0x00 "AT_S1E2W,AT_S1E2W"
wgroup.quad spr:0x16780++0x00
line.quad 0x00 "AT_S1E3R,AT_S1E3R"
wgroup.quad spr:0x16781++0x00
line.quad 0x00 "AT_S1E3W,AT_S1E3W"
wgroup.quad spr:0x10870++0x00
line.quad 0x00 "TLBI_VMALLE1,TLBI_VMALLE1"
wgroup.quad spr:0x10871++0x00
line.quad 0x00 "TLBI_VAE1,TLBI_VAE1"
wgroup.quad spr:0x10872++0x00
line.quad 0x00 "TLBI_ASIDE1,TLBI_ASIDE1"
wgroup.quad spr:0x10873++0x00
line.quad 0x00 "TLBI_VAAE1,TLBI_VAAE1"
wgroup.quad spr:0x10875++0x00
line.quad 0x00 "TLBI_VALE1,TLBI_VALE1"
wgroup.quad spr:0x10877++0x00
line.quad 0x00 "TLBI_VAALE1,TLBI_VAALE1"
wgroup.quad spr:0x10830++0x00
line.quad 0x00 "TLBI_VMALLE1IS,TLBI_VMALLE1IS"
wgroup.quad spr:0x10831++0x00
line.quad 0x00 "TLBI_VAE1IS,TLBI_VAE1IS"
wgroup.quad spr:0x10832++0x00
line.quad 0x00 "TLBI_ASIDE1IS,TLBI_ASIDE1IS"
wgroup.quad spr:0x10833++0x00
line.quad 0x00 "TLBI_VAAE1IS,TLBI_VAAE1IS"
wgroup.quad spr:0x10835++0x00
line.quad 0x00 "TLBI_VALE1IS,TLBI_VALE1IS"
wgroup.quad spr:0x10837++0x00
line.quad 0x00 "TLBI_VAALE1IS,TLBI_VAALE1IS"
wgroup.quad spr:0x14801++0x00
line.quad 0x00 "TLBI_IPAS2E1IS,TLBI_IPAS2E1IS"
wgroup.quad spr:0x14805++0x00
line.quad 0x00 "TLBI_IPAS2LE1IS,TLBI_IPAS2LE1IS"
wgroup.quad spr:0x14841++0x00
line.quad 0x00 "TLBI_IPAS2E1,TLBI_IPAS2E1"
wgroup.quad spr:0x14845++0x00
line.quad 0x00 "TLBI_IPAS2LE1,TLBI_IPAS2LE1"
wgroup.quad spr:0x14871++0x00
line.quad 0x00 "TLBI_VAE2,TLBI_VAE2"
wgroup.quad spr:0x14875++0x00
line.quad 0x00 "TLBI_VALE2,TLBI_VALE2"
wgroup.quad spr:0x14876++0x00
line.quad 0x00 "TLBI_VMALLS12E1,TLBI_VMALLS12E1"
wgroup.quad spr:0x14831++0x00
line.quad 0x00 "TLBI_VAE2IS,TLBI_VAE2IS"
wgroup.quad spr:0x14835++0x00
line.quad 0x00 "TLBI_VALE2IS,TLBI_VALE2IS"
wgroup.quad spr:0x14836++0x00
line.quad 0x00 "TLBI_VMALLS12E1IS,TLBI_VMALLS12E1IS"
wgroup.quad spr:0x16871++0x00
line.quad 0x00 "TLBI_VAE3,TLBI_VAE3"
wgroup.quad spr:0x16875++0x00
line.quad 0x00 "TLBI_VALE3,TLBI_VALE3"
wgroup.quad spr:0x16831++0x00
line.quad 0x00 "TLBI_VAE3IS,TLBI_VAE3IS"
wgroup.quad spr:0x16835++0x00
line.quad 0x00 "TLBI_VALE3IS,TLBI_VALE3IS"
wgroup.quad spr:0x14870++0x00
line.quad 0x00 "TLBI_ALLE2,TLBI_ALLE2"
wgroup.quad spr:0x14830++0x00
line.quad 0x00 "TLBI_ALLE2IS,TLBI_ALLE2IS"
wgroup.quad spr:0x14874++0x00
line.quad 0x00 "TLBI_ALLE1,TLBI_ALLE1"
wgroup.quad spr:0x14834++0x00
line.quad 0x00 "TLBI_ALLE1IS,TLBI_ALLE1IS"
wgroup.quad spr:0x16870++0x00
line.quad 0x00 "TLBI_ALLE3,TLBI_ALLE3"
wgroup.quad spr:0x16830++0x00
line.quad 0x00 "TLBI_ALLE3IS,TLBI_ALLE3IS"
tree.end
tree.end
tree "Memory Management Unit"
tree.open "Hypervisor Configuration System Registers"
group.quad spr:0x34113++0x00
line.quad 0x00 "HSTR_EL2,Hypervisor System Trap Register"
bitfld.quad 0x00 15. "T15,Trap to Hypervisor mode Non-secure priv 15" "No trap,Trap"
bitfld.quad 0x00 13. "T13,Trap to Hypervisor mode Non-secure priv 13" "No trap,Trap"
bitfld.quad 0x00 12. "T12,Trap to Hypervisor mode Non-secure priv 12" "No trap,Trap"
newline
bitfld.quad 0x00 11. "T11,Trap to Hypervisor mode Non-secure priv 11" "No trap,Trap"
bitfld.quad 0x00 10. "T10,Trap to Hypervisor mode Non-secure priv 10" "No trap,Trap"
bitfld.quad 0x00 9. "T9,Trap to Hypervisor mode Non-secure priv 9" "No trap,Trap"
newline
bitfld.quad 0x00 8. "T8,Trap to Hypervisor mode Non-secure priv 8" "No trap,Trap"
bitfld.quad 0x00 7. "T7,Trap to Hypervisor mode Non-secure priv 7" "No trap,Trap"
bitfld.quad 0x00 6. "T6,Trap to Hypervisor mode Non-secure priv 6" "No trap,Trap"
newline
bitfld.quad 0x00 5. "T5,Trap to Hypervisor mode Non-secure priv 5" "No trap,Trap"
bitfld.quad 0x00 3. "T3,Trap to Hypervisor mode Non-secure priv 3" "No trap,Trap"
bitfld.quad 0x00 2. "T2,Trap to Hypervisor mode Non-secure priv 2" "No trap,Trap"
newline
bitfld.quad 0x00 1. "T1,Trap to Hypervisor mode Non-secure priv 1" "No trap,Trap"
bitfld.quad 0x00 0. "T0,Trap to Hypervisor mode Non-secure priv 0" "No trap,Trap"
group.quad spr:0x34117++0x00
line.quad 0x00 "HACR_EL2,Hypervisor Auxiliary Configuration Register"
tree.end
if (CORENAME()=="CORTEXA75")
group.quad spr:0x30200++0x00
line.quad 0x00 "TTBR0_EL1,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x30201++0x00
line.quad 0x00 "TTBR1_EL1,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x35200++0x00
line.quad 0x00 "TTBR0_EL12,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x35201++0x00
line.quad 0x00 "TTBR1_EL12,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x34200++0x00
line.quad 0x00 "TTBR0_EL2,Translation Table Base Registers"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x34201++0x00
line.quad 0x00 "TTBR1_EL2,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x36200++0x00
line.quad 0x00 "TTBR0_EL3,Translation Table Base Registers"
hexmask.quad 0x00 1.--47. 0x2 "BADDR[47:1],Translation table base address"
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x34210++0x00
line.quad 0x00 "VTTBR_EL2,Virtualization Translation Table Base Register"
hexmask.quad.byte 0x00 48.--55. 1. "VMID,The VMID for the translation table"
hexmask.quad 0x00 4.--47. 0x10 "BADDR[47:4],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x30200++0x00
line.quad 0x00 "TTBR0_EL1,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x30201++0x00
line.quad 0x00 "TTBR1_EL1,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x35200++0x00
line.quad 0x00 "TTBR0_EL12,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x35201++0x00
line.quad 0x00 "TTBR1_EL12,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x34200++0x00
line.quad 0x00 "TTBR0_EL2,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x34201++0x00
line.quad 0x00 "TTBR1_EL2,Translation Table Base Registers"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x36200++0x00
line.quad 0x00 "TTBR0_EL3,Translation Table Base Registers"
hexmask.quad 0x00 2.--47. 0x4 "BADDR[47:2],Translation table base address"
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad spr:0x34210++0x00
line.quad 0x00 "VTTBR_EL2,Virtualization Translation Table Base Register"
hexmask.quad.word 0x00 48.--63. 1. "VMID,The VMID for the translation table"
hexmask.quad 0x00 2.--47. 0x04 "BADDR[47:1],Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,"
endif
group.quad spr:0x30202++0x00
line.quad 0x00 "TCR_EL1,Translation Control Registers"
bitfld.quad 0x00 50. "HWU162,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 49. "HWU161,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 48. "HWU160,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 47. "HWU159,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 46. "HWU062,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 45. "HWU061,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 44. "HWU060,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 43. "HWU059,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 42. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes"
bitfld.quad 0x00 41. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes"
newline
bitfld.quad 0x00 40. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled"
bitfld.quad 0x00 39. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled"
newline
bitfld.quad 0x00 38. "TBI1,Top Byte ignored" "Used,Ignored"
bitfld.quad 0x00 37. "TBI0,Top Byte ignored" "Used,Ignored"
newline
bitfld.quad 0x00 36. "AS,ASID Size" "8 bit,16 bit"
bitfld.quad 0x00 32.--34. "IPS,IPASize" "4GByte,64GByte,1TByte,?..."
newline
bitfld.quad 0x00 30.--31. "TG1,TTBR1_EL1 Granule size" "Reserved,16KByte,4KByte,64KByte"
bitfld.quad 0x00 28.--29. "SH1,Shareability attributes for TTBR1 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 26.--27. "ORGN1,Outer Cacheability attributes for TTBR1 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
bitfld.quad 0x00 24.--25. "IRGN1,Inner Cacheability attributes for TTBR1 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
newline
bitfld.quad 0x00 23. "EPD1,Translation Table walk disable for TTBR1 as described in LPAE" "No,Yes"
bitfld.quad 0x00 22. "A1,ASID definition from TTBR0 or TTBR1" "TTBR0_EL1,TTBR1_EL1"
newline
bitfld.quad 0x00 16.--21. "T1SZ,Size offset of the memory region for TTBR1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..."
newline
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR0 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR0 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
newline
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR0 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
bitfld.quad 0x00 7. "EPD0,Translation Table walk disable for TTBR0 as described in LPAE" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memory region for TTBR0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
group.quad spr:0x35202++0x00
line.quad 0x00 "TCR_EL12,Translation Control Registers"
bitfld.quad 0x00 50. "HWU162,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 49. "HWU161,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 48. "HWU160,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 47. "HWU159,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 46. "HWU062,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 45. "HWU061,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 44. "HWU060,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 43. "HWU059,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 42. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes"
bitfld.quad 0x00 41. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes"
newline
bitfld.quad 0x00 40. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled"
bitfld.quad 0x00 39. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled"
newline
bitfld.quad 0x00 38. "TBI1,Top Byte ignored" "Used,Ignored"
bitfld.quad 0x00 37. "TBI0,Top Byte ignored" "Used,Ignored"
newline
bitfld.quad 0x00 36. "AS,ASID Size" "8 bit,16 bit"
bitfld.quad 0x00 32.--34. "IPS,IPASize" "4GByte,64GByte,1TByte,?..."
newline
bitfld.quad 0x00 30.--31. "TG1,TTBR1_EL1 Granule size" "Reserved,16KByte,4KByte,64KByte"
bitfld.quad 0x00 28.--29. "SH1,Shareability attributes for TTBR1 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 26.--27. "ORGN1,Outer Cacheability attributes for TTBR1 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
bitfld.quad 0x00 24.--25. "IRGN1,Inner Cacheability attributes for TTBR1 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
newline
bitfld.quad 0x00 23. "EPD1,Translation Table walk disable for TTBR1 as described in LPAE" "No,Yes"
bitfld.quad 0x00 22. "A1,ASID definition from TTBR0 or TTBR1" "TTBR0_EL1,TTBR1_EL1"
newline
bitfld.quad 0x00 16.--21. "T1SZ,Size offset of the memory region for TTBR1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..."
newline
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR0 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR0 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
newline
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR0 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
bitfld.quad 0x00 7. "EPD0,Translation Table walk disable for TTBR0 as described in LPAE" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memory region for TTBR0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
if (((per.q(spr:0x34110))&0x400000000)==0x000000000)
group.quad spr:0x34202++0x00
line.quad 0x00 "TCR_EL2,Translation Control Registers"
bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 24. "HPD,Hierarchical Permission Disable" "No,Yes"
bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled"
newline
bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled"
bitfld.quad 0x00 20. "TBI,Top Byte ignored" "Used,Ignored"
newline
bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,?..."
bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..."
newline
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR_ELx as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable"
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR_ELx as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
newline
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR_ELx as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for TTBR_ELx" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
else
group.quad spr:0x34202++0x00
line.quad 0x00 "TCR_EL2,Translation Control Registers"
bitfld.quad 0x00 50. "HWU162,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 49. "HWU161,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 48. "HWU160,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 47. "HWU159,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 46. "HWU062,Hardware use of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 45. "HWU061,Hardware use of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 44. "HWU060,Hardware use of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
bitfld.quad 0x00 43. "HWU059,Hardware use of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0_EL1/EL2" "Not possible,Possible"
newline
bitfld.quad 0x00 42. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes"
bitfld.quad 0x00 41. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes"
newline
bitfld.quad 0x00 40. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled"
bitfld.quad 0x00 39. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled"
newline
bitfld.quad 0x00 38. "TBI1,Top Byte ignored" "Used,Ignored"
bitfld.quad 0x00 37. "TBI0,Top Byte ignored" "Used,Ignored"
newline
bitfld.quad 0x00 36. "AS,ASID Size" "8 bit,16 bit"
bitfld.quad 0x00 32.--34. "IPS,IPASize" "4GByte,64GByte,1TByte,?..."
newline
bitfld.quad 0x00 30.--31. "TG1,TTBR1_EL1 Granule size" "Reserved,16KByte,4KByte,64KByte"
bitfld.quad 0x00 28.--29. "SH1,Shareability attributes for TTBR1 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 26.--27. "ORGN1,Outer Cacheability attributes for TTBR1 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
bitfld.quad 0x00 24.--25. "IRGN1,Inner Cacheability attributes for TTBR1 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
newline
bitfld.quad 0x00 23. "EPD1,Translation Table walk disable for TTBR1 as described in LPAE" "No,Yes"
bitfld.quad 0x00 22. "A1,ASID definition from TTBR0 or TTBR1" "TTBR0_EL1,TTBR1_EL1"
newline
bitfld.quad 0x00 16.--21. "T1SZ,Size offset of the memory region for TTBR1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..."
newline
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR0 as described in LPAE" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR0 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
newline
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR0 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
bitfld.quad 0x00 7. "EPD0,Translation Table walk disable for TTBR0 as described in LPAE" "No,Yes"
newline
bitfld.quad 0x00 0.--5. "T0SZ,Size offset of the memory region for TTBR0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
endif
if (CORENAME()=="CORTEXA75")
group.quad spr:0x36202++0x00
line.quad 0x00 "TCR_EL3,Translation Control Registers"
bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 24. "HPD,Hierarchical Permission Disable" "No,Yes"
bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled"
newline
bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled"
bitfld.quad 0x00 20. "TBI,Top Byte ignored" "Used,Ignored"
newline
bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,4TByte,16TByte,256TB,4PB,?..."
bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..."
newline
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR_ELx as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable"
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR_ELx as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
newline
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR_ELx as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for TTBR_ELx" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x36202++0x00
line.quad 0x00 "TCR_EL3,Translation Control Registers"
bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 24. "HPD,Hierarchical Permission Disable" "No,Yes"
bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 1" "Disabled,Enabled"
newline
bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 1" "Disabled,Enabled"
bitfld.quad 0x00 20. "TBI,Top Byte ignored" "Used,Ignored"
newline
bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,?..."
bitfld.quad 0x00 14.--15. "TG0,TTBR0_ELx Granule size" "4KByte,64KByte,16KByte,?..."
newline
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for TTBR_ELx as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable"
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for TTBR_ELx as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
newline
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for TTBR_ELx as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for TTBR_ELx" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
endif
if (((per.q(spr:0x34212))&0xC000)==0x0)
group.quad spr:0x34212++0x00
line.quad 0x00 "VTCR_EL2,Virtualization Translation Control Register"
bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 2" "Disabled,Enabled"
bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 2" "Disabled,Enabled"
newline
bitfld.quad 0x00 19. "VS,VMID Size" "8-bit,16-bit"
bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,4TByte,16TByte,256TByte,?..."
newline
bitfld.quad 0x00 14.--15. "TG0,VTTBR0_EL2 Granule size" "4KByte,64KByte,16KByte,?..."
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for VTTBR_EL2 as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable"
newline
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for VTTBR_EL2 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for VTTBR_EL2 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
newline
bitfld.quad 0x00 6.--7. "SL0,Starting level of the VTCR_EL2 addressed region" "Level 2,Level 1,Level 0,?..."
bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for VTTBR_EL2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
else
group.quad spr:0x34212++0x00
line.quad 0x00 "VTCR_EL2,Virtualization Translation Control Register"
bitfld.quad 0x00 28. "HWU62,Hardware usage of bit[62] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 27. "HWU61,Hardware usage of bit[61] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 26. "HWU60,Hardware usage of bit[60] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.quad 0x00 25. "HWU59,Hardware usage of bit[59] of the stage 2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.quad 0x00 22. "HD,Hardware Update of the Dirty Bit Enable - Stage 2" "Disabled,Enabled"
bitfld.quad 0x00 21. "HA,Hardware Update of the Access Bit Enable - Stage 2" "Disabled,Enabled"
newline
bitfld.quad 0x00 19. "VS,VMID Size" "8-bit,16-bit"
bitfld.quad 0x00 16.--18. "PS,PASize" "4GByte,64GByte,1TByte,4TByte,16TByte,256TByte,?..."
newline
bitfld.quad 0x00 14.--15. "TG0,VTTBR0_EL2 Granule size" "4KByte,64KByte,16KByte,?..."
bitfld.quad 0x00 12.--13. "SH0,Shareability attributes for VTTBR_EL2 as described in LPAE" "Non-shareable,Reserved,Outer shareable,Inner shareable"
newline
bitfld.quad 0x00 10.--11. "ORGN0,Outer Cacheability attributes for VTTBR_EL2 as described in LPAE" "Outer Non-cacheable,Outer WB WA cacheable,Outer WT cacheable,Outer WB no WA cacheable"
bitfld.quad 0x00 8.--9. "IRGN0,Inner Cacheability attributes for VTTBR_EL2 as described in LPAE" "Inner Non-cacheable,Inner WB WA cacheable,Inner WT cacheable,Inner WB no WA cacheable"
newline
bitfld.quad 0x00 6.--7. "SL0,Starting level of the VTCR_EL2 addressed region" "Level 3,Level 2,Level 1,?..."
bitfld.quad 0x00 0.--5. "T0SZ,Size of virtual address for VTTBR_EL2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63"
endif
if (CORENAME()=="CORTEXA75")
if (((per.q(spr:0x30740))&0xF000000000000001)==0x0000000000000000)
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
newline
bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "Device-nGnRnE memory,---,---,---,Device-nGnRE memory,---,---,---,Device-nGRE memory,---,---,---,Device-GRE memory,---,---,---"
newline
hexmask.quad 0x00 12.--43. 0x10 "PA[47:12],Physical Address"
bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes"
newline
bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
elif ((((per.q(spr:0x30740))&0x01)==0x00)&&(((per.q(spr:0x30740))&0xF000000000000000)==(0x1000000000000000||0x2000000000000000||0x3000000000000000||0x5000000000000000||0x6000000000000000||0x7000000000000000)))
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
newline
newline
hexmask.quad 0x00 12.--43. 0x10 "PA[47:12],Physical Address"
bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes"
newline
bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
elif (((per.q(spr:0x30740))&0x01)==0x00)
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
newline
bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "---,Inner Write-Through Transient W,Inner Write-Through Transient R,Inner Write-Through Transient RW,Inner Non-cacheable,Inner Write-Back Transient R,Inner Write-Back Transient W,Inner Write-Back Transient RW,Inner Write-Through Non-transient,Inner Write-Through Non-transient W,Inner Write-Through Non-transient R,Inner Write-Through Non-transient RW,Inner Write-Back Non-transient,Inner Write-Back Non-transient W,Inner Write-Back Non-transient R,Inner Write-Back Non-transient RW"
newline
hexmask.quad 0x00 12.--43. 0x10 "PA[47:12],Physical Address"
bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes"
newline
bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
else
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 9. "S,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2"
bitfld.quad 0x00 8. "PTW,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes"
newline
bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size fault/zeroth level/TTBR,Address size fault/first level,Address size fault/second level,Address size fault/third level,Translation fault/zeroth level,Translation fault/first level,Translation fault/second level,Translation fault/third level,Reserved,Access flag fault/first level,Access flag fault/second level,Access flag fault/third level,Reserved,Permission fault/first level,Permission fault/second level,Permission fault/third level,Synchronous external abort/not TTBR,Reserved,Reserved,Reserved,Synchronous external abort/TTBR/zeroth level,Synchronous external abort/TTBR/first level,Synchronous external abort/TTBR/second level,Synchronous external abort/TTBR/third level,Synchronous parity/ECC error/not TTBR,Reserved,Reserved,Reserved,Synchronous parity/ECC error/TTBR/zeroth level,Synchronous parity/ECC error/TTBR/first level,Synchronous parity/ECC error/TTBR/second level,Synchronous parity/ECC error/TTBR/third level,Reserved,Alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain fault,Page Domain fault,Reserved"
newline
newline
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
endif
elif (CORENAME()=="CORTEXA55")
if (((per.q(spr:0x30740))&0xF000000000000001)==0x0000000000000000)
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
newline
bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "Device-nGnRnE memory,---,---,---,Device-nGnRE memory,---,---,---,Device-nGRE memory,---,---,---,Device-GRE memory,---,---,---"
newline
hexmask.quad.long 0x00 12.--39. 0x10 "PA[39:12],Physical Address"
bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes"
newline
bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
elif ((((per.q(spr:0x30740))&0x01)==0x00)&&(((per.q(spr:0x30740))&0xF000000000000000)==(0x1000000000000000||0x2000000000000000||0x3000000000000000||0x5000000000000000||0x6000000000000000||0x7000000000000000)))
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
newline
newline
hexmask.quad.long 0x00 12.--39. 0x10 "PA[39:12],Physical Address"
bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes"
newline
bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
elif (((per.q(spr:0x30740))&0x01)==0x00)
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 60.--63. "ATTRH,Device memory or Normal memory plus Outer cacheability [Type/Cacheable/Allocate]" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
newline
bitfld.quad 0x00 56.--59. "ATTRL,Device memory or Normal memory plus Inner cacheability [Type/Cacheable/Allocate]" "---,Inner Write-Through Transient W,Inner Write-Through Transient R,Inner Write-Through Transient RW,Inner Non-cacheable,Inner Write-Back Transient R,Inner Write-Back Transient W,Inner Write-Back Transient RW,Inner Write-Through Non-transient,Inner Write-Through Non-transient W,Inner Write-Through Non-transient R,Inner Write-Through Non-transient RW,Inner Write-Back Non-transient,Inner Write-Back Non-transient W,Inner Write-Back Non-transient R,Inner Write-Back Non-transient RW"
newline
hexmask.quad.long 0x00 12.--39. 0x10 "PA[39:12],Physical Address"
bitfld.quad 0x00 9. "NS,The NS attribute for a translation table entry read from Secure state" "No,Yes"
newline
bitfld.quad 0x00 7.--8. "SHA,Shareable attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
else
group.quad spr:0x30740++0x00
line.quad 0x00 "PAR_EL1,Physical Address Register"
bitfld.quad 0x00 9. "S,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2"
bitfld.quad 0x00 8. "PTW,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes"
newline
bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size fault/zeroth level/TTBR,Address size fault/first level,Address size fault/second level,Address size fault/third level,Translation fault/zeroth level,Translation fault/first level,Translation fault/second level,Translation fault/third level,Reserved,Access flag fault/first level,Access flag fault/second level,Access flag fault/third level,Reserved,Permission fault/first level,Permission fault/second level,Permission fault/third level,Synchronous external abort/not TTBR,Reserved,Reserved,Reserved,Synchronous external abort/TTBR/zeroth level,Synchronous external abort/TTBR/first level,Synchronous external abort/TTBR/second level,Synchronous external abort/TTBR/third level,Synchronous parity/ECC error/not TTBR,Reserved,Reserved,Reserved,Synchronous parity/ECC error/TTBR/zeroth level,Synchronous parity/ECC error/TTBR/first level,Synchronous parity/ECC error/TTBR/second level,Synchronous parity/ECC error/TTBR/third level,Reserved,Alignment fault,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Section Domain fault,Page Domain fault,Reserved"
newline
newline
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
endif
endif
newline
tree.open "Memory Attribute Indirection Registers"
if (CORENAME()=="CORTEXA75")
group.quad spr:0x30A20++0x00
line.quad 0x00 "MAIR_EL1,Memory Attribute Indirection Register (EL1)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.quad spr:0x35A20++0x00
line.quad 0x00 "MAIR_EL12,Memory Attribute Indirection Register (EL12)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.quad spr:0x34A20++0x00
line.quad 0x00 "MAIR_EL2,Memory Attribute Indirection Register (EL2)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.quad spr:0x36A20++0x00
line.quad 0x00 "MAIR_EL3,Memory Attribute Indirection Register (EL3)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x30A20++0x00
line.quad 0x00 "MAIR_EL1,Memory Attribute Indirection Register (EL1)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.quad spr:0x35A20++0x00
line.quad 0x00 "MAIR_EL12,Memory Attribute Indirection Register (EL12)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.quad spr:0x34A20++0x00
line.quad 0x00 "MAIR_EL2,Memory Attribute Indirection Register (EL2)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.quad spr:0x36A20++0x00
line.quad 0x00 "MAIR_EL3,Memory Attribute Indirection Register (EL3)"
bitfld.quad 0x00 60.--63. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 56.--59. "ATTR7L,Attribute 7 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 52.--55. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 48.--51. "ATTR6L,Attribute 6 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 44.--47. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 40.--43. "ATTR5L,Attribute 5 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 36.--39. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 32.--35. "ATTR4L,Attribute 4 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 24.--27. "ATTR3L,Attribute 3 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 16.--19. "ATTR2L,Attribute 2 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 8.--11. "ATTR1L,Attribute 1 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.quad 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.quad 0x00 0.--3. "ATTR0L,Attribute 0 Low (Device mem/Normal mem)" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
endif
group.quad spr:0x30A30++0x00
line.quad 0x00 "AMAIR_EL1,Auxiliary Memory Attribute Indirection Register"
group.quad spr:0x35A30++0x00
line.quad 0x00 "AMAIR_EL12,Auxiliary Memory Attribute Indirection Register"
group.quad spr:0x34A30++0x00
line.quad 0x00 "AMAIR_EL2,Auxiliary Memory Attribute Indirection Register"
group.quad spr:0x36A30++0x00
line.quad 0x00 "AMAIR_EL3,Auxiliary Memory Attribute Indirection Register"
tree.end
newline
group.quad spr:0x34300++0x00
line.quad 0x00 "DACR32_EL2,Domain Access Control Register"
bitfld.quad 0x00 30.--31. "D15,Domain 15 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 28.--29. "D14,Domain 14 access permission" "No access,Client,Reserved,Manager"
newline
bitfld.quad 0x00 26.--27. "D13,Domain 13 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 24.--25. "D12,Domain 12 access permission" "No access,Client,Reserved,Manager"
newline
bitfld.quad 0x00 22.--23. "D11,Domain 11 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 20.--21. "D10,Domain 10 access permission" "No access,Client,Reserved,Manager"
newline
bitfld.quad 0x00 18.--19. "D9,Domain 9 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 16.--17. "D8,Domain 8 access permission" "No access,Client,Reserved,Manager"
newline
bitfld.quad 0x00 14.--15. "D7,Domain 7 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 12.--13. "D6,Domain 6 access permission" "No access,Client,Reserved,Manager"
newline
bitfld.quad 0x00 10.--11. "D5,Domain 5 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 8.--9. "D4,Domain 4 access permission" "No access,Client,Reserved,Manager"
newline
bitfld.quad 0x00 6.--7. "D3,Domain 3 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 4.--5. "D2,Domain 2 access permission" "No access,Client,Reserved,Manager"
newline
bitfld.quad 0x00 2.--3. "D1,Domain 1 access permission" "No access,Client,Reserved,Manager"
bitfld.quad 0x00 0.--1. "D0,Domain 0 access permission" "No access,Client,Reserved,Manager"
tree.end
tree "Virtualization Extensions"
group.quad spr:0x34111++0x00
line.quad 0x00 "MDCR_EL2,Monitor Debug Configuration Register"
bitfld.quad 0x00 17. "HPMD,Hypervisor performance monitors disable" "No,Yes"
newline
bitfld.quad 0x00 14. "TPMS,Trap Performance Monitor Sampling" "No trap,Trap"
newline
bitfld.quad 0x00 11. "TDRA,Trap valid EL1 and EL0 access to debug ROM address registers to EL2" "No trap,Trap"
bitfld.quad 0x00 10. "TDOSA,Trap valid accesses to OS-related debug registers to EL2" "No trap,Trap"
bitfld.quad 0x00 9. "TDA,Trap valid Non-secure accesses to Debug registers to EL2" "No trap,Trap"
newline
bitfld.quad 0x00 8. "TDE,Route debug exceptions from Non-secure EL1 and EL0 to EL2" "Disabled,Enabled"
bitfld.quad 0x00 7. "HPME,Hypervisor Performance Monitors Enable" "Disabled,Enabled"
bitfld.quad 0x00 6. "TPM,Trap Non-secure EL0 and EL1 accesses to Performance Monitors registers that are not UNALLOCATED to EL2" "No trap,Trap"
newline
bitfld.quad 0x00 5. "TPMCR,Trap Non-secure EL0 and EL1 accesses to PMCR_EL0 to EL2" "No trap,Trap"
bitfld.quad 0x00 0.--4. "HPMN,Defines the number of Performance Monitors counters accessible from non-secure EL0/EL1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
group.quad spr:0x36131++0x00
line.quad 0x00 "MDCR_EL3,Monitor Debug Configuration Register"
bitfld.quad 0x00 21. "EPMAD,External debugger to Performance Monitor registers access disable" "No,Yes"
bitfld.quad 0x00 20. "EDAD,External debugger access to breakpoint and watchpoint registers disabled" "No,Yes"
bitfld.quad 0x00 17. "SPME,Secure performance monitors enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 16. "SDD,Secure self-hosted invasive debug disable" "No,Yes"
newline
bitfld.quad 0x00 14.--15. "SPD32,AArch32 secure privileged debug" "Legacy mode,Reserved,Disabled,Enabled"
bitfld.quad 0x00 10. "TDOSA,Trap valid accesses to OS-related debug registers to EL3" "No trap,Trap"
bitfld.quad 0x00 9. "TDA,Trap valid Non-secure accesses to Debug registers to EL3" "No trap,Trap"
newline
bitfld.quad 0x00 6. "TPM,Trap Non-secure EL0/EL1/EL2 accesses to Performance Monitors registers that are not UNALLOCATED or trapped to a lower exception level to EL3" "No trap,Trap"
rgroup.quad spr:0x30012++0x00
line.quad 0x00 "ID_DFR0_EL1,Debug Feature Register"
bitfld.quad 0x00 24.--27. "PMM,Performance Monitor Model Support" "Reserved,Reserved,Reserved,Reserved,Supported/16-bit evtCount,?..."
bitfld.quad 0x00 20.--23. "MDM_MM,Memory-mapped Debug Model for M profile processors Support" "Not supported,?..."
bitfld.quad 0x00 16.--19. "TM_MM,Trace Model (Memory-Mapped) Support" "Reserved,Supported,?..."
newline
bitfld.quad 0x00 12.--15. "CTM_CB,Coprocessor-Based Trace Debug Model Support" "Not supported,?..."
bitfld.quad 0x00 4.--7. "SDM_CB,Secure Debug Model (Coprocessor) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..."
bitfld.quad 0x00 0.--3. "CDM_CB,Coprocessor Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..."
tree.end
tree "Cache Control and Configuration"
rgroup.quad spr:0x30F00++0x00
line.quad 0x00 "CPUCFR_EL1, CPU Configuration Register (EL1)"
bitfld.quad 0x00 2. "SCU,Indicates whether the SCU is present or not" "Present,?..."
bitfld.quad 0x00 0.--1. "ECC,Indicates whether ECC is present or not" "Not present,Present,?..."
if (CORENAME()=="CORTEXA75")
group.quad spr:0x30F27++0x00
line.quad 0x00 "CPUPWRCTLR_EL1,Power Control Register (EL1)"
bitfld.quad 0x00 7.--9. "WFE_RET_CTRL,CPU WFE retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
bitfld.quad 0x00 4.--6. "WFI_RET_CTRL,CPU WFI retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
bitfld.quad 0x00 0. "CORE_PWRDN_EN,Indicates to the power controller if the CPU wants to power down when it enters WFI state" "Not requested,Requested"
elif (CORENAME()=="CORTEXA55")
group.quad spr:0x30F27++0x00
line.quad 0x00 "CPUPWRCTLR_EL1,Power Control Register (EL1)"
bitfld.quad 0x00 10.--12. "SIMD_RET_CTRL,Advanced SIMD and floating-point retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
bitfld.quad 0x00 7.--9. "WFE_RET_CTRL,CPU WFE retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
bitfld.quad 0x00 4.--6. "WFI_RET_CTRL,CPU WFI retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
newline
bitfld.quad 0x00 0. "CORE_PWRDN_EN,Indicates to the power controller if the CPU wants to power down when it enters WFI state" "Not requested,Requested"
endif
rgroup.quad spr:0x33001++0x00
line.quad 0x00 "CTR_EL0,Cache Type Register"
bitfld.quad 0x0 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
bitfld.quad 0x0 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
bitfld.quad 0x0 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
newline
bitfld.quad 0x0 14.--15. "VIPT,Instruction cache policy" "Reserved,Reserved,VIPT,?..."
bitfld.quad 0x0 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
if (((per.q(spr:0x32000))&0xE)==(0x02||0x04))
group.quad spr:0x32000++0x00
line.quad 0x00 "CSSELR_EL1,Cache Size Selection Register"
bitfld.quad 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..."
bitfld.quad 0x00 0. "IND,Instruction/Not Data" "Data/Unified,?..."
else
group.quad spr:0x32000++0x00
line.quad 0x00 "CSSELR_EL1,Cache Size Selection Register"
bitfld.quad 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..."
bitfld.quad 0x00 0. "IND,Instruction/Not Data" "Data/Unified,Instruction"
endif
rgroup.quad spr:0x31000++0x00
line.quad 0x00 "CCSIDR_EL1,Cache Size and ID register"
bitfld.quad 0x00 31. "WT,Indicates whether the selected cache level supports Write-Through" "Not Supported,?..."
bitfld.quad 0x00 30. "WB,Indicates whether the selected cache level supports Write-Back" "Not Supported,Supported"
bitfld.quad 0x00 29. "RA,Indicates whether the selected cache level supports read-allocation" "Not Supported,Supported"
newline
bitfld.quad 0x00 28. "WA,Indicates whether the selected cache level supports write-allocation" "Not Supported,Supported"
hexmask.quad.word 0x00 13.--27. 1. 1. "SETS,Number of Sets"
hexmask.quad.word 0x00 3.--12. 1. 1. "ASSOC,Associativity"
newline
bitfld.quad 0x00 0.--2. "LSIZE,Line Size" "Reserved,Reserved,64 bytes,?..."
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x31001++0x00
line.quad 0x00 "CLIDR_EL1,Cache Level ID register"
bitfld.quad 0x00 30.--32. "ICB,Inner cache boundary" "Reserved,Reserved,L2 highest,L3 highest,?..."
bitfld.quad 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..."
bitfld.quad 0x00 24.--26. "LOC,Level of Coherency" "Reserved,Reserved,No L3 cache,L3 cache,?..."
newline
bitfld.quad 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "No cache,?..."
bitfld.quad 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,Reserved,Reserved,Reserved,Unified,?..."
bitfld.quad 0x00 3.--5. "CTYPE2,Cache type for levels 2" "Reserved,Reserved,Reserved,Reserved,Unified,?..."
newline
bitfld.quad 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate,?..."
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x31001++0x00
line.quad 0x00 "CLIDR_EL1,Cache Level ID register"
bitfld.quad 0x00 30.--32. "ICB,Inner cache boundary" "Reserved,L1 highest,L2 highest,L3 highest,?..."
bitfld.quad 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..."
bitfld.quad 0x00 24.--26. "LOC,Level of Coherency" "Reserved,No cache,L2 or L3 cache,L2 and L3 cache,?..."
newline
bitfld.quad 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Not required,?..."
bitfld.quad 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,Reserved,Reserved,Reserved,L2 and L3 cache,?..."
bitfld.quad 0x00 3.--5. "CTYPE2,Cache type for levels 2" "No cache,Reserved,Reserved,Reserved,Unified,?..."
newline
bitfld.quad 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate,?..."
endif
tree "Level 1 memory system"
rgroup.quad spr:0x36F00++0x00
line.quad 0x00 "CDBGDR0_EL3,Data Register 0"
rgroup.quad spr:0x36F01++0x00
line.quad 0x00 "CDBGDR1_EL3,Data Register 1"
rgroup.quad spr:0x36F02++0x00
line.quad 0x00 "CDBGDR2_EL3,Data Register 2"
wgroup.quad spr:0x16F20++0x00
line.quad 0x00 "CDBGDCT_EL3,Data Cache Tag Read Operation Register"
wgroup.quad spr:0x16F21++0x00
line.quad 0x00 "CDBGICT_EL3,Instruction Cache Tag Read Operation Register"
wgroup.quad spr:0x16F22++0x00
line.quad 0x00 "CDBGTT_EL3,TLB Tag Read Operation Register"
wgroup.quad spr:0x16F40++0x00
line.quad 0x00 "CDBGDCD_EL3,Data Cache Data Read Operation Register"
wgroup.quad spr:0x16F41++0x00
line.quad 0x00 "CDBGICD_EL3,Instruction Cache Data Read Operation Register"
wgroup.quad spr:0x16F42++0x00
line.quad 0x00 "CDBGTD_EL3,TLB Data Read Operation Register"
tree.end
tree.end
tree "System Performance Monitor"
group.quad spr:0x339C0++0x00
line.quad 0x00 "PMCR_EL0,Performance Monitors Control Register"
hexmask.quad.byte 0x00 24.--31. 1. "IMP,Implementer code"
hexmask.quad.byte 0x00 16.--23. 1. "IDCODE,Identification code"
bitfld.quad 0x00 11.--15. "N,Number of counters implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 6. "LC,Long cycle counter enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "DP,Disable CCNT when event counting prohibited" "No,Yes"
bitfld.quad 0x00 4. "X,Export of events Enable" "Disabled,Enabled"
bitfld.quad 0x00 3. "D,Clock Divider" "Every cycle,64th cycle"
bitfld.quad 0x00 2. "C,Clock Counter Reset" "No reset,Reset"
newline
bitfld.quad 0x00 1. "P,Performance Counter Reset" "No reset,Reset"
bitfld.quad 0x00 0. "E,All Counters Enable" "Disabled,Enabled"
group.quad spr:0x339C1++0x00
line.quad 0x00 "PMCNTENSET_EL0,Count Enable Set register"
bitfld.quad 0x00 31. "C,Enables the cycle counter register" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "P5,Event counter PMN 5 enable bit" "Disabled,Enabled"
bitfld.quad 0x00 4. "P4,Event counter PMN 4 enable bit" "Disabled,Enabled"
bitfld.quad 0x00 3. "P3,Event counter PMN 3 enable bit" "Disabled,Enabled"
newline
bitfld.quad 0x00 2. "P2,Event counter PMN 2 enable bit" "Disabled,Enabled"
bitfld.quad 0x00 1. "P1,Event counter PMN 1 enable bit" "Disabled,Enabled"
bitfld.quad 0x00 0. "P0,Event counter PMN 0 enable bit" "Disabled,Enabled"
group.quad spr:0x339C2++0x00
line.quad 0x00 "PMCNTENCLR_EL0,Count Enable Clear register"
bitfld.quad 0x00 31. "C,Disables the cycle counter register" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "P5,PMN5 overflow" "No overflow,Overflow"
bitfld.quad 0x00 4. "P4,PMN4 overflow" "No overflow,Overflow"
bitfld.quad 0x00 3. "P3,PMN3 overflow" "No overflow,Overflow"
newline
bitfld.quad 0x00 2. "P2,PMN2 overflow" "No overflow,Overflow"
bitfld.quad 0x00 1. "P1,PMN1 overflow" "No overflow,Overflow"
bitfld.quad 0x00 0. "P0,PMN0 overflow" "No overflow,Overflow"
group.quad spr:0x339C3++0x00
line.quad 0x00 "PMOVSCLR_EL0,Overflow Status Flags Clear register"
eventfld.quad 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow"
newline
eventfld.quad 0x00 5. "P5,PMN5 overflow" "No overflow,Overflow"
eventfld.quad 0x00 4. "P4,PMN4 overflow" "No overflow,Overflow"
eventfld.quad 0x00 3. "P3,PMN3 overflow" "No overflow,Overflow"
newline
eventfld.quad 0x00 2. "P2,PMN2 overflow" "No overflow,Overflow"
eventfld.quad 0x00 1. "P1,PMN1 overflow" "No overflow,Overflow"
eventfld.quad 0x00 0. "P0,PMN0 overflow" "No overflow,Overflow"
wgroup.quad spr:0x339C4++0x00
line.quad 0x00 "PMSWINC_EL0,Software Increment register"
bitfld.quad 0x00 5. "P5,PMN5 software increment" "Disabled,Enabled"
bitfld.quad 0x00 4. "P4,PMN4 software increment" "Disabled,Enabled"
bitfld.quad 0x00 3. "P3,PMN3 software increment" "Disabled,Enabled"
newline
bitfld.quad 0x00 2. "P2,PMN2 software increment" "Disabled,Enabled"
bitfld.quad 0x00 1. "P1,PMN1 software increment" "Disabled,Enabled"
bitfld.quad 0x00 0. "P0,PMN0 software increment" "Disabled,Enabled"
group.quad spr:0x339C5++0x00
line.quad 0x00 "PMSELR_EL0,Event Counter Selection Register"
bitfld.quad 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
newline
tree.open "Common Event Identification Registers"
rgroup.quad spr:0x339C6++0x00
line.quad 0x00 "PMCEID0_EL0,Common Event Identification register"
bitfld.quad 0x00 31. "L1D_CACHE_ALLOCATE,Level 1 data cache allocate" "Not implemented,Implemented"
bitfld.quad 0x00 30. "CHAIN,Chain" "Not implemented,Implemented"
bitfld.quad 0x00 29. "BUS_CYCLES,Bus cycle" "Not implemented,Implemented"
newline
bitfld.quad 0x00 28. "TTBR_WRITE_RETIRED,TTBR write retired" "Not implemented,Implemented"
bitfld.quad 0x00 27. "INST_SPEC,Instruction speculatively executed" "Not implemented,Implemented"
bitfld.quad 0x00 26. "MEMORY_ERROR,Local memory error" "Not implemented,Implemented"
newline
bitfld.quad 0x00 25. "BUS_ACCESS,Bus access" "Not implemented,Implemented"
bitfld.quad 0x00 24. "L2D_CACHE_WB,Level 2 data cache write-back" "Not implemented,Implemented"
bitfld.quad 0x00 23. "L2D_CACHE_REFILL,Level 2 data cache refill" "Not implemented,Implemented"
newline
bitfld.quad 0x00 22. "L2D_CACHE,Level 2 data cache access" "Not implemented,Implemented"
bitfld.quad 0x00 21. "L1D_CACHE_WB,Level 1 data cache write-back" "Not implemented,Implemented"
bitfld.quad 0x00 20. "L1I_CACHE,Level 1 instruction cache access" "Not implemented,Implemented"
newline
bitfld.quad 0x00 19. "MEM_ACCESS,Data memory access" "Not implemented,Implemented"
bitfld.quad 0x00 18. "BR_PRED,Predictable branch speculatively executed" "Not implemented,Implemented"
bitfld.quad 0x00 17. "CPU_CYCLES,CPU Cycle" "Not implemented,Implemented"
newline
bitfld.quad 0x00 16. "BR_MIS_PRED,Mispredicted or not predicted branch speculatively executed" "Not implemented,Implemented"
bitfld.quad 0x00 15. "UNALIGNED_LDST_RETIRED,UNALIGNED_LDST_RETIRED" "Not implemented,Implemented"
bitfld.quad 0x00 14. "BR_RETURN_RETIRED,Instruction architecturally executed condition check pass procedure return" "Not implemented,Implemented"
newline
bitfld.quad 0x00 13. "BR_IMMED_RETIRED,Instruction architecturally executed immediate branch" "Not implemented,Implemented"
bitfld.quad 0x00 12. "PC_WRITE_RETIRED,Instruction architecturally executed condition check pass software change of the PC" "Not implemented,Implemented"
bitfld.quad 0x00 11. "CID_WRITE_RETIRED,Instruction architecturally executed condition check pass write to CONTEXTIDR" "Not implemented,Implemented"
newline
bitfld.quad 0x00 10. "EXC_RETURN,Instruction architecturally executed condition check pass exception return" "Not implemented,Implemented"
bitfld.quad 0x00 9. "EXC_TAKEN,Exception taken" "Not implemented,Implemented"
bitfld.quad 0x00 8. "INST_RETIRED,Instruction architecturally executed" "Not implemented,Implemented"
newline
bitfld.quad 0x00 7. "ST_RETIRED,Instruction architecturally executed condition check pass store" "Not implemented,Implemented"
bitfld.quad 0x00 6. "LD_RETIRED,Instruction architecturally executed condition check pass load" "Not implemented,Implemented"
bitfld.quad 0x00 5. "L1D_TLB_REFILL,Level 1 data TLB refill" "Not implemented,Implemented"
newline
bitfld.quad 0x00 4. "L1D_CACHE,Level 1 data cache access" "Not implemented,Implemented"
bitfld.quad 0x00 3. "L1D_CACHE_REFILL,Level 1 data cache refill" "Not implemented,Implemented"
bitfld.quad 0x00 2. "L1I_TLB_REFILL,Level 1 instruction TLB refill" "Not implemented,Implemented"
newline
bitfld.quad 0x00 1. "L1I_CACHE_REFILL,Level 1 instruction cache refill" "Not implemented,Implemented"
bitfld.quad 0x00 0. "SW_INCR,Instruction architecturally executed condition check pass software increment" "Not implemented,Implemented"
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x339C7++0x00
line.quad 0x00 "PMCEID1_EL0,Common Event Identification register"
bitfld.quad 0x00 24. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented"
bitfld.quad 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented"
bitfld.quad 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented"
newline
bitfld.quad 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented"
bitfld.quad 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented"
bitfld.quad 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented"
newline
bitfld.quad 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented"
bitfld.quad 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented"
bitfld.quad 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented"
newline
bitfld.quad 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented"
bitfld.quad 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented"
bitfld.quad 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented"
newline
bitfld.quad 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented"
bitfld.quad 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented"
bitfld.quad 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented"
newline
bitfld.quad 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented"
bitfld.quad 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented"
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x339C7++0x00
line.quad 0x00 "PMCEID1_EL0,Common Event Identification register"
bitfld.quad 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented"
bitfld.quad 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented"
bitfld.quad 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented"
newline
bitfld.quad 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented"
bitfld.quad 0x00 17. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented"
bitfld.quad 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented"
newline
bitfld.quad 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented"
bitfld.quad 0x00 14. "L2I_TLB_REFILL,Attributable Level 2 instruction TLB refill" "Not implemented,Implemented"
bitfld.quad 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented"
newline
bitfld.quad 0x00 12. "L3D_CACHE_WB,Attributable Level 3 data or unified cache write-back" "Not implemented,Implemented"
bitfld.quad 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented"
bitfld.quad 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented"
newline
bitfld.quad 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented"
bitfld.quad 0x00 8. "L2I_CACHE_REFILL,Attributable Level 2 instruction cache refill" "Not implemented,Implemented"
bitfld.quad 0x00 7. "L2I_CACHE,Attributable Level 2 instruction cache access" "Not implemented,Implemented"
newline
bitfld.quad 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented"
bitfld.quad 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented"
bitfld.quad 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented"
newline
bitfld.quad 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented"
bitfld.quad 0x00 2. "BR_MIS_PRED_RETIRED,Instruction architecturally executed mispredicted branch" "Not implemented,Implemented"
bitfld.quad 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented"
newline
bitfld.quad 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented"
endif
tree.end
newline
group.quad spr:0x339D0++0x00
line.quad 0x00 "PMCCNTR_EL0,Performance Monitors Cycle Counter"
group.quad spr:0x339D1++0x00
line.quad 0x00 "PMXEVTYPER_EL0,Performance Monitors Selected Event Type Register"
group.quad spr:0x339D2++0x00
line.quad 0x00 "PMXEVCNTR_EL0,Selected Event Counter Register"
group.quad spr:0x339E0++0x00
line.quad 0x00 "PMUSERENR_EL0,User Enable Register"
bitfld.quad 0x00 3. "ER,Event counter read enable" "Disabled,Enabled"
bitfld.quad 0x00 2. "CR,Cycle counter read enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "SW,Software Increment write enable" "Disabled,Enabled"
bitfld.quad 0x00 0. "EN,EL0 access enable bit" "Disabled,Enabled"
group.quad spr:0x309E1++0x00
line.quad 0x00 "PMINTENSET_EL1,Interrupt Enable Set register"
bitfld.quad 0x00 31. "C,CCNT Overflow Interrupt Request Enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled"
group.quad spr:0x309E2++0x00
line.quad 0x00 "PMINTENCLR_EL1,Interrupt Enable Clear register"
eventfld.quad 0x00 31. "C,CCNT Overflow Interrupt Request Enable" "Disabled,Enabled"
newline
eventfld.quad 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled"
eventfld.quad 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled"
eventfld.quad 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled"
newline
eventfld.quad 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled"
eventfld.quad 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled"
eventfld.quad 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled"
group.quad spr:0x339E3++0x00
line.quad 0x00 "PMOVSSET_EL0,Overflow Status Flags Set register"
bitfld.quad 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow"
newline
bitfld.quad 0x00 5. "P5,PMN5 Overflow" "No overflow,Overflow"
bitfld.quad 0x00 4. "P4,PMN4 Overflow" "No overflow,Overflow"
bitfld.quad 0x00 3. "P3,PMN3 Overflow" "No overflow,Overflow"
newline
bitfld.quad 0x00 2. "P2,PMN2 Overflow" "No overflow,Overflow"
bitfld.quad 0x00 1. "P1,PMN1 Overflow" "No overflow,Overflow"
bitfld.quad 0x00 0. "P0,PMN0 Overflow" "No overflow,Overflow"
group.quad spr:(0x33E80+0x0)++0x00
line.quad 0x00 "PMEVCNTR0_EL0,Event Counter Register"
group.quad spr:(0x33E80+0x1)++0x00
line.quad 0x00 "PMEVCNTR1_EL0,Event Counter Register"
group.quad spr:(0x33E80+0x2)++0x00
line.quad 0x00 "PMEVCNTR2_EL0,Event Counter Register"
group.quad spr:(0x33E80+0x3)++0x00
line.quad 0x00 "PMEVCNTR3_EL0,Event Counter Register"
group.quad spr:(0x33E80+0x4)++0x00
line.quad 0x00 "PMEVCNTR4_EL0,Event Counter Register"
group.quad spr:(0x33E80+0x5)++0x00
line.quad 0x00 "PMEVCNTR5_EL0,Event Counter Register"
group.quad spr:(0x33EC0+0x0)++0x00
line.quad 0x00 "PMEVTYPER0_EL0,Event Counter Register"
bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled"
bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled"
bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled"
newline
bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled"
bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled"
newline
hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
group.quad spr:(0x33EC0+0x1)++0x00
line.quad 0x00 "PMEVTYPER1_EL0,Event Counter Register"
bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled"
bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled"
bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled"
newline
bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled"
bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled"
newline
hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
group.quad spr:(0x33EC0+0x2)++0x00
line.quad 0x00 "PMEVTYPER2_EL0,Event Counter Register"
bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled"
bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled"
bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled"
newline
bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled"
bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled"
newline
hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
group.quad spr:(0x33EC0+0x3)++0x00
line.quad 0x00 "PMEVTYPER3_EL0,Event Counter Register"
bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled"
bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled"
bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled"
newline
bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled"
bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled"
newline
hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
group.quad spr:(0x33EC0+0x4)++0x00
line.quad 0x00 "PMEVTYPER4_EL0,Event Counter Register"
bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled"
bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled"
bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled"
newline
bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled"
bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled"
newline
hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
group.quad spr:(0x33EC0+0x5)++0x00
line.quad 0x00 "PMEVTYPER5_EL0,Event Counter Register"
bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled"
bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled"
bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled"
newline
bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled"
bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled"
newline
hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
group.quad spr:0x33EF7++0x00
line.quad 0x00 "PMCCFILTR_EL0,Event Type and Cycle Counter Filter Register"
bitfld.quad 0x00 31. "P,Count events in EL1" "Disabled,Enabled"
bitfld.quad 0x00 30. "U,Count events in EL0" "Disabled,Enabled"
bitfld.quad 0x00 29. "NSK,Count events in non-secure EL1" "Disabled,Enabled"
newline
bitfld.quad 0x00 28. "NSU,Count events in non-secure EL0" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSH,Count events in non-secure EL2" "Disabled,Enabled"
bitfld.quad 0x00 26. "M,Count events in secure EL3" "Disabled,Enabled"
newline
hexmask.quad.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
tree.end
tree "System Timer Registers"
group.quad spr:0x33E00++0x00
line.quad 0x00 "CNTFRQ_EL0,Counter-timer Frequency register"
rgroup.quad spr:0x33E01++0x00
line.quad 0x00 "CNTPCT_EL0,Counter-timer Physical Count register"
rgroup.quad spr:0x33E02++0x00
line.quad 0x00 "CNTVCT_EL0,Counter-timer Virtual Count register"
group.quad spr:0x34E03++0x00
line.quad 0x00 "CNTVOFF_EL2,Counter-timer Virtual Offset register"
if (((per.q(spr:0x34110))&0x408000000)==0x408000000)
group.quad spr:0x30E10++0x00
line.quad 0x00 "CNTKCTL_EL1,Counter-timer Kernel Control register"
bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0"
bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled"
else
group.quad spr:0x30E10++0x00
line.quad 0x00 "CNTKCTL_EL1,Counter-timer Kernel Control register"
bitfld.quad 0x00 9. "EL0PTEN,Controls whether the physical timer registers are accessible from EL0 mode" "Disabled,Enabled"
bitfld.quad 0x00 8. "EL0VTEN,Controls whether the virtual timer registers are accessible from EL0 mode" "Disabled,Enabled"
bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0"
newline
bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled"
bitfld.quad 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled"
bitfld.quad 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled"
endif
if (((per.q(spr:0x34110))&0x408000000)==0x408000000)
group.quad spr:0x35E10++0x00
line.quad 0x00 "CNTKCTL_EL12,Counter-timer Kernel Control register"
bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0"
bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled"
else
group.quad spr:0x35E10++0x00
line.quad 0x00 "CNTKCTL_EL12,Counter-timer Kernel Control register"
bitfld.quad 0x00 9. "EL0PTEN,Controls whether the physical timer registers are accessible from EL0 mode" "Disabled,Enabled"
bitfld.quad 0x00 8. "EL0VTEN,Controls whether the virtual timer registers are accessible from EL0 mode" "Disabled,Enabled"
bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from that counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0"
newline
bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the corresponding counter" "Disabled,Enabled"
bitfld.quad 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled"
bitfld.quad 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled"
endif
if (((per.q(spr:0x34110))&0x400000000)==0x000000000)
group.quad spr:0x34E10++0x00
line.quad 0x00 "CNTHCTL_EL2,Counter-timer Hypervisor Control register"
bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0"
bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled"
bitfld.quad 0x00 1. "EL1PCEN,Controls whether the physical timer registers are accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible"
newline
bitfld.quad 0x00 0. "EL1PCTEN,Controls whether the physical counter, CNTPCT, is accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible"
elif (((per.q(spr:0x34110))&0x408000000)==0x400000000)
group.quad spr:0x34E10++0x00
line.quad 0x00 "CNTHCTL_EL2,Counter-timer Hypervisor Control register"
bitfld.quad 0x00 11. "EL1PTEN,Physical timer register accessing instructions are accessible from Non-secure EL1 and EL0" "Not accessible,Accessible"
bitfld.quad 0x00 10. "EL1PCEN,Physical counter is accessible from Non-secure EL1 and EL0" "Not accessible,Accessible"
newline
bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0"
bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled"
else
group.quad spr:0x34E10++0x00
line.quad 0x00 "CNTHCTL_EL2,Counter-timer Hypervisor Control register"
bitfld.quad 0x00 9. "EL0PTEN,Physical timer register accessing instructions are accessible from Non-secure EL0" "Not accessible,Accessible"
bitfld.quad 0x00 8. "EL0VTEN,Virtual timer register accessing instructions are accessible from Non-secure EL0" "Not accessible,Accessible"
newline
bitfld.quad 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit defined by EVNTI, generates an event when the event stream is enabled" "0 to 1,1 to 0"
bitfld.quad 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled"
bitfld.quad 0x00 1. "EL0VCTEN,Virtual counter register accessing instructions are accessible from Non-secure EL0" "Not accessible,Accessible"
newline
bitfld.quad 0x00 0. "EL0PCTEN,Physical counter is accessible from Non-secure EL0 modes" "Not accessible,Accessible"
endif
group.quad spr:0x33E20++0x00
line.quad 0x00 "CNTP_TVAL_EL0,Counter-timer Physical Timer TimerValue register"
group.quad spr:0x35E20++0x00
line.quad 0x00 "CNTP_TVAL_EL02,Counter-timer Physical Timer TimerValue register"
group.quad spr:0x33E21++0x00
line.quad 0x00 "CNTP_CTL_EL0,Counter-timer Physical Timer Control register"
rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad spr:0x35E21++0x00
line.quad 0x00 "CNTP_CTL_EL02,Counter-timer Physical Timer Control register"
rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad spr:0x33E22++0x00
line.quad 0x00 "CNTP_CVAL_EL0,Counter-timer Physical Timer CompareValue register"
group.quad spr:0x35E22++0x00
line.quad 0x00 "CNTP_CVAL_EL02,Counter-timer Physical Timer CompareValue register"
group.quad spr:0x33E30++0x00
line.quad 0x00 "CNTV_TVAL_EL0,Counter-timer Virtual Timer TimerValue register"
group.quad spr:0x35E30++0x00
line.quad 0x00 "CNTV_TVAL_EL02,Counter-timer Virtual Timer TimerValue register"
group.quad spr:0x33E31++0x00
line.quad 0x00 "CNTV_CTL_EL0,Counter-timer Virtual Timer Control register"
rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad spr:0x35E31++0x00
line.quad 0x00 "CNTV_CTL_EL02,Counter-timer Virtual Timer Control register"
rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad spr:0x33E32++0x00
line.quad 0x00 "CNTV_CVAL_EL0,Counter-timer Virtual Timer CompareValue register"
group.quad spr:0x35E32++0x00
line.quad 0x00 "CNTV_CVAL_EL02,Counter-timer Virtual Timer CompareValue register"
group.quad spr:0x34E20++0x00
line.quad 0x00 "CNTHP_TVAL_EL2,Counter-timer Hypervisor Physical Timer TimerValue register"
group.quad spr:0x34E21++0x00
line.quad 0x00 "CNTHP_CTL_EL2,Counter-timer Hypervisor Physical Timer Control register"
rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad spr:0x34E22++0x00
line.quad 0x00 "CNTHP_CVAL_EL2,Counter-timer Hypervisor Physical Timer CompareValue register"
group.quad spr:0x34E30++0x00
line.quad 0x00 "CNTHV_TVAL_EL2,Counter-timer Hypervisor Virtual Timer Value register"
group.quad spr:0x34E31++0x00
line.quad 0x00 "CNTHV_CTL_EL2,Counter-timer Hypervisor Virtual Timer Control register"
rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad spr:0x34E32++0x00
line.quad 0x00 "CNTHV_CVAL_EL2,Counter-timer Hypervisor Virtual Timer CompareValue register"
group.quad spr:0x37E20++0x00
line.quad 0x00 "CNTPS_TVAL_EL1,Counter-timer Physical Secure Timer TimerValue register"
group.quad spr:0x37E21++0x00
line.quad 0x00 "CNTPS_CTL_EL1,Counter-timer Physical Secure Timer Control register"
rbitfld.quad 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.quad 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad spr:0x37E22++0x00
line.quad 0x00 "CNTPS_CVAL_EL1,Counter-timer Physical Secure Timer CompareValue register"
tree.end
tree "Generic Interrupt Controller System Registers"
tree "AArch64 Physical GIC CPU Interface System Registers"
tree "Active Priorities Registers"
group.quad spr:0x30C84++0x00
line.quad 0x00 "ICC_AP0R0_EL1,Active Priorities 0 Register 0"
bitfld.quad 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.quad 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.quad 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt"
bitfld.quad 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.quad 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt"
bitfld.quad 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.quad 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.quad 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt"
bitfld.quad 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.quad 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt"
bitfld.quad 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.quad 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.quad 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.quad 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.quad 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt"
bitfld.quad 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.quad 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt"
bitfld.quad 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.quad 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.quad 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt"
bitfld.quad 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.quad 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt"
bitfld.quad 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt"
group.quad spr:0x30C90++0x00
line.quad 0x00 "ICC_AP1R0_EL1,Active Priorities 1 Register 0"
bitfld.quad 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.quad 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.quad 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt"
bitfld.quad 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.quad 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt"
bitfld.quad 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.quad 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.quad 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt"
bitfld.quad 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.quad 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt"
bitfld.quad 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.quad 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.quad 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.quad 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.quad 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt"
bitfld.quad 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.quad 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt"
bitfld.quad 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.quad 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.quad 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt"
bitfld.quad 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.quad 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt"
bitfld.quad 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt"
tree.end
newline
if (((per.q(spr:0x30CB6))&0x10000000000)==0x00)
wgroup.quad spr:0x30CB6++0x00
line.quad 0x00 "ICC_ASGI1R_EL1,Interrupt Controller Alias Software Generated Interrupt Group 1 Register"
hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2"
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1"
hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated"
else
wgroup.quad spr:0x30CB6++0x00
line.quad 0x00 "ICC_ASGI1R_EL1,Interrupt Controller Alias Software Generated Interrupt Group 1 Register"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
endif
group.quad spr:0x30C83++0x00
line.quad 0x00 "ICC_BPR0_EL1,Binary Point Register 0"
bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]"
group.quad spr:0x30CC3++0x00
line.quad 0x00 "ICC_BPR1_EL1,Binary Point Register 1"
bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]"
group.quad spr:0x30CC4++0x00
line.quad 0x00 "ICC_CTLR_EL1,Interrupt Control Registers for EL1"
rbitfld.quad 0x00 19. "EXTRANGE,Extended INTID range" "Reserved,Supported"
rbitfld.quad 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255"
newline
rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Valid"
rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported,"
bitfld.quad 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,?..."
rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..."
newline
bitfld.quad 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled"
bitfld.quad 0x00 1. "EOIMODE,Indicates whether ICC_EOIR0 and ICC_EOIR1 provide both priority drop and interrupt deactivation functionality" "Both,Priority drop"
bitfld.quad 0x00 0. "CBPR,Common Binary Point Register" "Separate,Common"
group.quad spr:0x36CC4++0x00
line.quad 0x00 "ICC_CTLR_EL3,Interrupt Control Registers for EL3"
rbitfld.quad 0x00 19. "EXTRANGE,Extended INTID range" "Reserved,Supported"
rbitfld.quad 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255"
newline
rbitfld.quad 0x00 17. "NDS,Disable Security not supported" "Reserved,Not supported"
rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Valid"
rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported,"
newline
bitfld.quad 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,?..."
rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..."
bitfld.quad 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled"
newline
rbitfld.quad 0x00 5. "RM,Routing Modifier" "Normal,"
bitfld.quad 0x00 4. "EOIMODE_EL1NS,EOI mode for interrupts handledat non-secure EL1 and EL2" "0,1"
bitfld.quad 0x00 3. "EOIMODE_EL1S,EOI mode for interrupts handled at secure EL1" "0,1"
newline
bitfld.quad 0x00 2. "EOIMODE_EL3,EOI mode for interrupts handled at EL3" "0,1"
bitfld.quad 0x00 1. "CBPR_EL1NS,Non-secure accesses to GICC_BPR allowed." "Not allowed,Allowed"
bitfld.quad 0x00 0. "CBPR_EL1S,Secure EL1 accesses to ICC_BPR1 allowed" "Not allowed,Allowed"
wgroup.quad spr:0x30CB1++0x00
line.quad 0x00 "ICC_DIR_EL1,Deactivate Interrupt Register"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the interrupt to be deactivated"
wgroup.quad spr:0x30C81++0x00
line.quad 0x00 "ICC_EOIR0_EL1,End Of Interrupt Register 0"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR0_EL1 access"
wgroup.quad spr:0x30CC1++0x00
line.quad 0x00 "ICC_EOIR1_EL1,End Of Interrupt Register 1"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR1_EL1 access"
rgroup.quad spr:0x30C82++0x00
line.quad 0x00 "ICC_HPPIR0_EL1,Highest Priority Pending Interrupt Register 0"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
rgroup.quad spr:0x30CC2++0x00
line.quad 0x00 "ICC_HPPIR1_EL1,Highest Priority Pending Interrupt Register 1"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
newline
hgroup.quad spr:0x30C80++0x00
hide.long 0x00 "ICC_IAR0_EL1,Interrupt Acknowledge Register 0"
in
hgroup.quad spr:0x30CC0++0x00
hide.long 0x00 "ICC_IAR1_EL1,Interrupt Acknowledge Register 1"
in
newline
group.quad spr:0x30CC6++0x00
line.quad 0x00 "ICC_IGRPEN0_EL1,Interrupt Group 0 Enable Register (EL1)"
bitfld.quad 0x00 0. "ENABLE,Enable" "Disabled,Enabled"
group.quad spr:0x30CC7++0x00
line.quad 0x00 "ICC_IGRPEN1_EL1,Interrupt Group 1 Enable Register (EL1)"
bitfld.quad 0x00 0. "ENABLE,Enable" "Disabled,Enabled"
group.quad spr:0x36CC7++0x00
line.quad 0x00 "ICC_IGRPEN1_EL3,Interrupt Group Enable Register 1 (EL3)"
bitfld.quad 0x00 1. "ENABLEGRP1S,Enable Group 1 interrupts for the Secure state" "Disabled,Enabled"
bitfld.quad 0x00 0. "ENABLEGRP1NS,Enable Group 1 interrupts for the Non-secure state" "Disabled,Enabled"
group.quad spr:0x30460++0x00
line.quad 0x00 "ICC_PMR_EL1,Priority Mask Register"
hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface"
rgroup.quad spr:0x30CB3++0x00
line.quad 0x00 "ICC_RPR_EL1,Running Priority Register"
hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface"
if (((per.q(spr:0x30CB7))&0x10000000000)==0x00)
wgroup.quad spr:0x30CB7++0x00
line.quad 0x00 "ICC_SGI0R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register"
hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2"
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1"
hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated."
else
wgroup.quad spr:0x30CB7++0x00
line.quad 0x00 "ICC_SGI0R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
endif
if (((per.q(spr:0x30CB5))&0x10000000000)==0x00)
wgroup.quad spr:0x30CB5++0x00
line.quad 0x00 "ICC_SGI1R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register"
hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2"
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1"
hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated"
else
wgroup.quad spr:0x30CB5++0x00
line.quad 0x00 "ICC_SGI1R_EL1,Interrupt Controller Software Generated Interrupt Group 0 Register"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
endif
group.quad spr:0x30CC5++0x00
line.quad 0x00 "ICC_SRE_EL1,System Register Enable Register for EL1"
bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes"
bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes"
rbitfld.quad 0x00 0. "SRE,System Register Enable" "Reserved,Enabled"
group.quad spr:0x34C95++0x00
line.quad 0x00 "ICC_SRE_EL2,System Register Enable Register for EL2"
rbitfld.quad 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1" "Reserved,Enabled"
bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes"
bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes"
newline
rbitfld.quad 0x00 0. "SRE,System Register Enable" "Reserved,Enabled"
group.quad spr:0x36CC5++0x00
line.quad 0x00 "ICC_SRE_EL3,System Register Enable Register for EL3"
rbitfld.quad 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1 and ICC_SRE_EL2" "Reserved,Enabled"
bitfld.quad 0x00 2. "DIB,Disable IRQ bypass" "No,Yes"
bitfld.quad 0x00 1. "DFB,Disable FIQ bypass" "No,Yes"
newline
rbitfld.quad 0x00 0. "SRE,System Register Enable" "Reserved,Enabled"
tree.end
tree "AArch64 Virtual GIC CPU Interface System Registers"
tree.open "Interrupt Controller Active Priorities Registers"
group.quad spr:0x30C84++0x00
line.quad 0x00 "ICV_AP0R0_EL1,Active Priorities Group 0 Register 0 (EL1)"
bitfld.quad 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.quad 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.quad 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt"
bitfld.quad 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.quad 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt"
bitfld.quad 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.quad 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.quad 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt"
bitfld.quad 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.quad 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt"
bitfld.quad 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.quad 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.quad 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.quad 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.quad 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt"
bitfld.quad 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.quad 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt"
bitfld.quad 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.quad 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.quad 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt"
bitfld.quad 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.quad 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt"
bitfld.quad 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt"
group.quad spr:0x30C90++0x00
line.quad 0x00 "ICV_AP1R0_EL1,Active Priorities Group 1 Register 0 (EL1)"
bitfld.quad 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.quad 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.quad 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt"
bitfld.quad 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.quad 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt"
bitfld.quad 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.quad 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.quad 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt"
bitfld.quad 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.quad 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt"
bitfld.quad 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.quad 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.quad 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.quad 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.quad 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt"
bitfld.quad 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.quad 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt"
bitfld.quad 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.quad 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.quad 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt"
bitfld.quad 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.quad 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt"
bitfld.quad 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt"
tree.end
newline
group.quad spr:0x30C83++0x00
line.quad 0x00 "ICV_BPR0_EL1,Binary Point Register 0 (EL1)"
bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]"
group.quad spr:0x30CC3++0x00
line.quad 0x00 "ICV_BPR1_EL1,Binary Point Register 1 (EL1)"
bitfld.quad 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]"
group.quad spr:0x30CC4++0x00
line.quad 0x00 "ICV_CTLR_EL1,Control Register (EL1)"
rbitfld.quad 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Valid"
rbitfld.quad 0x00 14. "SEIS,SEI Support" "Not supported,"
rbitfld.quad 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..."
newline
rbitfld.quad 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..."
bitfld.quad 0x00 1. "VEOIMODE,Indicates whether ICV_EOIR0 and ICV_EOIR1 provide both priority drop and interrupt deactivation functionality" "Both,Priority drop"
bitfld.quad 0x00 0. "VCBPR,Common Binary Point Register" "Separate,Common"
wgroup.quad spr:0x30CB1++0x00
line.quad 0x00 "ICV_DIR_EL1,Deactivate Interrupt Register"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the virtual interrupt to be deactivated"
wgroup.quad spr:0x30C81++0x00
line.quad 0x00 "ICV_EOIR0_EL1,End Of Interrupt Register 0"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICV_IAR0_EL1 access"
wgroup.quad spr:0x30CC1++0x00
line.quad 0x00 "ICV_EOIR1_EL1,End Of Interrupt Register 1"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICV_IAR1_EL1 access"
rgroup.quad spr:0x30C82++0x00
line.quad 0x00 "ICV_HPPIR0_EL1,Highest Priority Pending Interrupt Register 0"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
rgroup.quad spr:0x30CC2++0x00
line.quad 0x00 "ICV_HPPIR1_EL1,Highest Priority Pending Interrupt Register 1"
hexmask.quad.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
rgroup.quad spr:0x30C80++0x00
line.quad 0x00 "ICV_IAR0_EL1,Interrupt Acknowledge Register 0"
rgroup.quad spr:0x30CC0++0x00
line.quad 0x00 "ICV_IAR1_EL1,Interrupt Acknowledge Register 1"
group.quad spr:0x30CC6++0x00
line.quad 0x00 "ICV_IGRPEN0_EL1,Interrupt Group 0 Enable register"
bitfld.quad 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled"
group.quad spr:0x30CC7++0x00
line.quad 0x00 "ICV_IGRPEN1_EL1,Interrupt Group 1 Enable register"
bitfld.quad 0x00 0. "ENABLE,Enables Group 1 interrupts" "Disabled,Enabled"
group.quad spr:0x30460++0x00
line.quad 0x00 "ICV_PMR_EL1,Priority Mask Register"
hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface"
rgroup.quad spr:0x30CB3++0x00
line.quad 0x00 "ICV_RPR_EL1,Running Priority Register"
hexmask.quad.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface"
tree.end
tree "AArch64 Virtual Interface Control System Registers"
tree.open "Hypervisor Active Priorities Registers"
group.quad spr:0x34C80++0x00
line.quad 0x00 "ICH_AP0R0_EL2,Hypervisor Active Priorities Group 0 Register 0"
bitfld.quad 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.quad 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.quad 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt"
bitfld.quad 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.quad 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt"
bitfld.quad 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.quad 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.quad 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt"
bitfld.quad 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.quad 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt"
bitfld.quad 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.quad 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.quad 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.quad 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.quad 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt"
bitfld.quad 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.quad 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt"
bitfld.quad 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.quad 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.quad 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt"
bitfld.quad 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.quad 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt"
bitfld.quad 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt"
group.quad spr:0x34C90++0x00
line.quad 0x00 "ICH_AP1R0_EL2,Hypervisor Active Priorities Group 1 Register 0"
bitfld.quad 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.quad 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.quad 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt"
bitfld.quad 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.quad 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt"
bitfld.quad 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.quad 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.quad 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt"
bitfld.quad 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.quad 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt"
bitfld.quad 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.quad 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.quad 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.quad 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.quad 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt"
bitfld.quad 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.quad 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt"
bitfld.quad 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.quad 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.quad 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt"
bitfld.quad 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.quad 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt"
bitfld.quad 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt"
tree.end
newline
rgroup.quad spr:0x34CB3++0x00
line.quad 0x00 "ICH_EISR_EL2,End of Interrupt Status Register"
bitfld.quad 0x00 3. "STATUS3,EOI maintenance interrupt status bit for List register ICH_LR3_EL2" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "STATUS2,EOI maintenance interrupt status bit for List register ICH_LR2_EL2" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "STATUS1,EOI maintenance interrupt status bit for List register ICH_LR1_EL2" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "STATUS0,EOI maintenance interrupt status bit for List register ICH_LR0_EL2" "No interrupt,Interrupt"
rgroup.quad spr:0x34CB5++0x00
line.quad 0x00 "ICH_ELRSR_EL2,Empty List Register Status Register"
bitfld.quad 0x00 3. "STATUS3,Status bit for List register ICH_LR3_EL2" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "STATUS2,Status bit for List register ICH_LR2_EL2" "No interrupt,Interrupt"
bitfld.quad 0x00 1. "STATUS1,Status bit for List register ICH_LR1_EL2" "No interrupt,Interrupt"
bitfld.quad 0x00 0. "STATUS0,Status bit for List register ICH_LR0_EL2" "No interrupt,Interrupt"
group.quad spr:0x34CB0++0x00
line.quad 0x00 "ICH_HCR_EL2,Hypervisor Control Register"
bitfld.quad 0x00 27.--31. "EOICOUNT,Incremented whenever a successful write to a virtual EOIR or DIR register would have resulted in a virtual interrupt deactivation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 14. "TDIR,Trap Non-secure EL1 writes to ICC_DIR_EL1 and ICV_DIR" "No trap,Trap"
bitfld.quad 0x00 13. "TSEI,Trap all locally generated SEIs" "No trap,"
newline
bitfld.quad 0x00 12. "TALL1,Trap all Non-secure EL1 accesses to ICC_* and ICV* System registers for Group 1 interrupts to EL2" "No trap,Trap"
bitfld.quad 0x00 11. "TALL0,Trap all Non-secure EL1 accesses to ICC_* and ICV* System registers for Group 0 interrupts to EL2" "No trap,Trap"
bitfld.quad 0x00 10. "TC,Trap all Non-secure EL1 accesses to System registers that are common to Group 0 and Group 1 to EL2" "No trap,Trap"
newline
bitfld.quad 0x00 7. "VGRP1DIE,VM Group 1 Disabled Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 6. "VGRP1EIE,VM Group 1 Enabled Interrupt Enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "VGRP0DIE,VM Group 0 Disabled Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 4. "VGRP0EIE,VM Group 0 Enabled Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 3. "NPIE,No Pending Interrupt Enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 2. "LRENPIE,List Register Entry Not Present Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 1. "UIE,Underflow Interrupt Enable" "Disabled,Enabled"
bitfld.quad 0x00 0. "EN,Global enable bit for the virtual CPU interface" "Disabled,Enabled"
if (((per.q(spr:0x34CC0))&0x2000000000000000)==0x0)
group.quad spr:0x34CC0++0x00
line.quad 0x00 "ICH_LR0_EL2,List Register 0"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
else
group.quad spr:0x34CC0++0x00
line.quad 0x00 "ICH_LR0_EL2,List Register 0"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
endif
if (((per.q(spr:0x34CC1))&0x2000000000000000)==0x0)
group.quad spr:0x34CC1++0x00
line.quad 0x00 "ICH_LR1_EL2,List Register 1"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
else
group.quad spr:0x34CC1++0x00
line.quad 0x00 "ICH_LR1_EL2,List Register 1"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
endif
if (((per.q(spr:0x34CC2))&0x2000000000000000)==0x0)
group.quad spr:0x34CC2++0x00
line.quad 0x00 "ICH_LR2_EL2,List Register 2"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
else
group.quad spr:0x34CC2++0x00
line.quad 0x00 "ICH_LR2_EL2,List Register 2"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
endif
if (((per.q(spr:0x34CC3))&0x2000000000000000)==0x0)
group.quad spr:0x34CC3++0x00
line.quad 0x00 "ICH_LR3_EL2,List Register 3"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
bitfld.quad 0x00 41. "EOI,Maintenance interrupt assertion" "Not asserted,Asserted"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
else
group.quad spr:0x34CC3++0x00
line.quad 0x00 "ICH_LR3_EL2,List Register 3"
bitfld.quad 0x00 62.--63. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending/Active"
bitfld.quad 0x00 61. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt" "Software,Hardware"
bitfld.quad 0x00 60. "GROUP,Indicates the group for this virtual interrupt" "Group 0,Group 1"
hexmask.quad.byte 0x00 48.--55. 1. "PRIORITY,The priority of this interrupt"
newline
hexmask.quad.word 0x00 32.--41. 1. "PINTID,Physical interrupt ID for hardware interrupts"
hexmask.quad.long 0x00 0.--31. 1. "VINTID,Virtual INTID of the interrupt"
endif
rgroup.quad spr:0x34CB2++0x00
line.quad 0x00 "ICH_MISR_EL2,Maintenance Interrupt State Register"
bitfld.quad 0x00 7. "VGRP1D,vPE Group 1 Disabled maintenance interrupt assertion" "Not asserted,Asserted"
bitfld.quad 0x00 6. "VGRP1E,vPE Group 1 Enabled maintenance interrupt assertion" "Not asserted,Asserted"
bitfld.quad 0x00 5. "VGRP0D,vPE Group 0 Disabled maintenance interrupt assertion" "Not asserted,Asserted"
bitfld.quad 0x00 4. "VGRP0E,vPE Group 0 Enabled maintenance interrupt assertion" "Not asserted,Asserted"
newline
bitfld.quad 0x00 3. "NP,No Pending maintenance interrupt assertion" "Not asserted,Asserted"
bitfld.quad 0x00 2. "LRENP,List Register Entry Not Present maintenance interrupt assertion" "Not asserted,Asserted"
bitfld.quad 0x00 1. "U,Underflow maintenance interrupt assertion" "Not asserted,Asserted"
bitfld.quad 0x00 0. "EOI,End of Interrupt maintenance interrupt assertion" "Not asserted,Asserted"
group.quad spr:0x34CB7++0x00
line.quad 0x00 "ICH_VMCR_EL2,Virtual Machine Control Register"
hexmask.quad.byte 0x00 24.--31. 1. "VPMR,Virtual Priority Mask"
bitfld.quad 0x00 21.--23. "VBPR0,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]"
bitfld.quad 0x00 18.--20. "VBPR1,Interrupt Priority Field Control And Interrupt Preemption Control" "Reserved,Reserved,[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]"
bitfld.quad 0x00 9. "VEOIM,Virtual EOI mode. Controls whether a write to an End of Interrupt register also deactivates the virtual interrupt" "Both,Priority drop"
newline
bitfld.quad 0x00 4. "VCBPR,Decides whether both interrupt groups are controlled by ICV_BPR0_EL1" "Separate,Both"
bitfld.quad 0x00 3. "VFIQEN,Virtual FIQ enable" "Reserved,Enabled"
bitfld.quad 0x00 1. "VENG1,Virtual Group 1 interrupt enable" "Disabled,Enabled"
bitfld.quad 0x00 0. "VENG0,Virtual Group 0 interrupt enable" "Disabled,Enabled"
rgroup.quad spr:0x34CB1++0x00
line.quad 0x00 "ICH_VTR_EL2,VGIC Type Register"
bitfld.quad 0x00 29.--31. "PRIBITS,The number of virtual priority bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..."
bitfld.quad 0x00 26.--28. "PREBITS,The number of virtual preemption bits implemented" "Reserved,Reserved,Reserved,Reserved,5,?..."
bitfld.quad 0x00 23.--25. "IDBITS,The number of virtual interrupt identifier bits supported" "16 bits,?..."
bitfld.quad 0x00 22. "SEIS,SEI Support" "Not supported,"
newline
bitfld.quad 0x00 21. "A3V,Affinity 3 Valid" "Reserved,Valid"
bitfld.quad 0x00 20. "NV4,GICv4 direct injection of virtual interrupts not supported" "Supported,"
bitfld.quad 0x00 19. "TDS,Separate trapping of Non-secure EL1 writes to ICV_DIR_EL1 supported" "Reserved,Supported"
bitfld.quad 0x00 0.--4. "LISTREGS,The number of implemented List registers" "Reserved,Reserved,Reserved,4,?..."
tree.end
tree.end
tree "Debug Registers"
rgroup.quad spr:0x23010++0x00
line.quad 0x00 "MDCCSR_EL0,Debug Comms Channel Status Register"
bitfld.quad 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full"
bitfld.quad 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full"
group.quad spr:0x20020++0x00
line.quad 0x00 "MDCCINT_EL1,Debug Comms Channel Interrupt Enable register"
bitfld.quad 0x00 30. "RX,DCC interrupt enable controls" "Disabled,Enabled"
bitfld.quad 0x00 29. "TX,DCC interrupt enable controls" "Disabled,Enabled"
group.quad spr:0x23040++0x00
line.quad 0x00 "DBGDTR_EL0,Half Duplex Data Transfer Register"
rgroup.quad spr:0x23050++0x00
line.quad 0x00 "DBGDTRRX_EL0,Full Duplex Receive Data Transfer Register"
wgroup.quad spr:0x23050++0x00
line.quad 0x00 "DBGDTRTX_EL0,Full Duplex Transmit Data Transfer Register"
group.quad spr:0x24070++0x00
line.quad 0x00 "DBGVCR32_EL2,Vector Catch Register"
bitfld.quad 0x00 31. "NSF,FIQ vector catch enable in Non-secure state" "Disabled,Enabled"
bitfld.quad 0x00 30. "NSI,IRQ vector catch enable in Non-secure state" "Disabled,Enabled"
bitfld.quad 0x00 28. "NSD,Data Abort vector catch enable in Non-secure state" "Disabled,Enabled"
bitfld.quad 0x00 27. "NSP,Prefetch Abort vector catch enable in Non-secure state" "Disabled,Enabled"
newline
bitfld.quad 0x00 26. "NSS,Supervisor Call (SVC) vector catch enable in Non-secure state" "Disabled,Enabled"
bitfld.quad 0x00 25. "NSU,Undefined Instruction vector catch enable in Non-secure state" "Disabled,Enabled"
bitfld.quad 0x00 7. "SF,FIQ vector catch enable in Secure state" "Disabled,Enabled"
bitfld.quad 0x00 6. "SI,IRQ vector catch enable in Secure state" "Disabled,Enabled"
newline
bitfld.quad 0x00 4. "SD,Data Abort vector catch enable in Secure state" "Disabled,Enabled"
bitfld.quad 0x00 3. "SP,Prefetch Abort vector catch enable in Secure state" "Disabled,Enabled"
bitfld.quad 0x00 2. "SS,Supervisor Call (SVC) vector catch enable in Secure state" "Disabled,Enabled"
bitfld.quad 0x00 1. "SU,Undefined Instruction vector catch enable in Secure state" "Disabled,Enabled"
group.quad spr:0x20002++0x00
line.quad 0x00 "OSDTRRX_EL1,OS Lock Data Transfer Register"
if (((per.q(spr:0x20114)&0x02)==0x00))
group.quad spr:0x20022++0x00
line.quad 0x00 "MDSCR_EL1,Monitor Debug System Control Register"
rbitfld.quad 0x00 30. "RXFULL,Save/restore of EDSCR.RXfull" "Empty,Full"
rbitfld.quad 0x00 29. "TXFULL,Save/restore of EDSCR.TXfull" "Empty,Full"
rbitfld.quad 0x00 27. "RXO,Save/restore of EDSCR.RXO" "Low,High"
rbitfld.quad 0x00 26. "TXU,Save/restore of EDSCR.TXU" "Low,High"
newline
rbitfld.quad 0x00 22.--23. "INTDIS,Save/restore of EDSCR.INTDIS" "0,1,2,3"
rbitfld.quad 0x00 21. "TDA,Save/restore of EDSCR.TDA" "Low,High"
bitfld.quad 0x00 15. "MDE,Monitor debug events" "Disabled,Enabled"
rbitfld.quad 0x00 14. "HDE,Save/restore of EDSCR.HDE" "Low,High"
newline
bitfld.quad 0x00 13. "KDE,Local (kernel) debug enable" "Disabled,Enabled"
bitfld.quad 0x00 12. "TDCC,Traps EL0 accesses to the DCC registers to EL1" "No trap,Trap"
rbitfld.quad 0x00 6. "ERR,Save/restore of EDSCR.ERR" "Low,High"
bitfld.quad 0x00 0. "SS,Software step control" "Disabled,Enabled"
else
group.quad spr:0x20022++0x00
line.quad 0x00 "MDSCR_EL1,Monitor Debug System Control Register"
bitfld.quad 0x00 30. "RXFULL,Save/restore of EDSCR.RXfull" "Empty,Full"
bitfld.quad 0x00 29. "TXFULL,Save/restore of EDSCR.TXfull" "Empty,Full"
bitfld.quad 0x00 27. "RXO,Save/restore of EDSCR.RXO" "Low,High"
bitfld.quad 0x00 26. "TXU,Save/restore of EDSCR.TXU" "Low,High"
newline
bitfld.quad 0x00 22.--23. "INTDIS,Save/restore of EDSCR.INTDIS" "0,1,2,3"
bitfld.quad 0x00 21. "TDA,Save/restore of EDSCR.TDA" "Low,High"
bitfld.quad 0x00 15. "MDE,Monitor debug events" "Disabled,Enabled"
bitfld.quad 0x00 14. "HDE,Save/restore of EDSCR.HDE" "Low,High"
newline
bitfld.quad 0x00 13. "KDE,Local (kernel) debug enable" "Disabled,Enabled"
bitfld.quad 0x00 12. "TDCC,Traps EL0 accesses to the DCC registers to EL1" "No trap,Trap"
bitfld.quad 0x00 6. "ERR,Save/restore of EDSCR.ERR" "Low,High"
bitfld.quad 0x00 0. "SS,Software step control" "Disabled,Enabled"
endif
group.quad spr:0x20032++0x00
line.quad 0x00 "OSDTRTX_EL1,OS Lock Data Transfer Register"
group.quad spr:0x20062++0x00
line.quad 0x00 "OSECCR_EL1,OS Lock Exception Catch Control Register"
bitfld.quad 0x00 7. 15. "NS[3],Coarse-grained Non-secure exception catch/return bit NSE[3] and NSR[3]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
bitfld.quad 0x00 6. 14. "NS[2],Coarse-grained Non-secure exception catch/return bit NSE[2] and NSR[2]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
bitfld.quad 0x00 5. 13. "NS[1],Coarse-grained Non-secure exception catch/return bit NSE[1] and NSR[1]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
bitfld.quad 0x00 4. 12. "NS[0],Coarse-grained Non-secure exception catch/return bit NSE[0] and NSR[0]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
newline
bitfld.quad 0x00 3. 11. "S[3],Coarse-grained Secure exception catch/return bit SE[3] and SR[3]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
bitfld.quad 0x00 2. 10. "S[2],Coarse-grained Secure exception catch/return bit SE[2] and SR[2]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
bitfld.quad 0x00 1. 9. "S[1],Coarse-grained Secure exception catch/return bit SE[1] and SR[1]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
bitfld.quad 0x00 0. 8. "S[0],Coarse-grained Secure exception catch/return bit SE[0] and SR[0]" "No action/No action,Halt/Halt,Halt/Halt,Halt/No action"
rgroup.quad spr:0x20100++0x00
line.quad 0x00 "MDRAR_EL1,Debug ROM Address Register"
wgroup.quad spr:0x20104++0x00
line.quad 0x00 "OSLAR_EL1,OS Lock Access Register"
bitfld.quad 0x00 0. "OSLK,OS lock" "Unlock,Lock"
rgroup.quad spr:0x20114++0x00
line.quad 0x00 "OSLSR_EL1,OS Lock Status Register"
bitfld.quad 0x00 2. "NTT,Not 32-bit access" "Low,High"
bitfld.quad 0x00 1. "OSLK,OS lock status" "Not locked,Locked"
bitfld.quad 0x00 0. 3. "OSLM,OS lock model implemented field" "Reserved,Reserved,Implemented,?..."
group.quad spr:0x20134++0x00
line.quad 0x00 "OSDLR_EL1,OS Double-lock Register"
bitfld.quad 0x00 0. "DLK,OS double-lock control" "Not locked,Locked"
group.quad spr:0x20144++0x00
line.quad 0x00 "DBGPRCR_EL1,Debug Power/Reset Control Register"
bitfld.quad 0x00 0. "CORENPDRQ,Core no powerdown request" "Powered down,Emulated"
group.quad spr:0x20786++0x00
line.quad 0x00 "DBGCLAIMSET_EL1,Claim Tag register Set"
bitfld.quad 0x0 7. "CT7,Claim Tag 7 Set" "Not set,Set"
bitfld.quad 0x0 6. "CT6,Claim Tag 6 Set" "Not set,Set"
bitfld.quad 0x0 5. "CT5,Claim Tag 5 Set" "Not set,Set"
bitfld.quad 0x0 4. "CT4,Claim Tag 4 Set" "Not set,Set"
newline
bitfld.quad 0x0 3. "CT3,Claim Tag 3 Set" "Not set,Set"
bitfld.quad 0x0 2. "CT2,Claim Tag 2 Set" "Not set,Set"
bitfld.quad 0x0 1. "CT1,Claim Tag 1 Set" "Not set,Set"
bitfld.quad 0x0 0. "CT0,Claim Tag 0 Set" "Not set,Set"
group.quad spr:0x20796++0x00
line.quad 0x00 "DBGCLAIMCLR_EL1,Claim Tag register Clear"
bitfld.quad 0x0 7. "CT7,Claim Tag 7 Clear" "Not cleared,Cleared"
bitfld.quad 0x0 6. "CT6,Claim Tag 6 Clear" "Not cleared,Cleared"
bitfld.quad 0x0 5. "CT5,Claim Tag 5 Clear" "Not cleared,Cleared"
bitfld.quad 0x0 4. "CT4,Claim Tag 4 Clear" "Not cleared,Cleared"
newline
bitfld.quad 0x0 3. "CT3,Claim Tag 3 Clear" "Not cleared,Cleared"
bitfld.quad 0x0 2. "CT2,Claim Tag 2 Clear" "Not cleared,Cleared"
bitfld.quad 0x0 1. "CT1,Claim Tag 1 Clear" "Not cleared,Cleared"
bitfld.quad 0x0 0. "CT0,Claim Tag 0 Clear" "Not cleared,Cleared"
rgroup.quad spr:0x207e6++0x00
line.quad 0x00 "DBGAUTHSTATUS_EL1,Authentication Status register"
bitfld.quad 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented"
bitfld.quad 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled"
bitfld.quad 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented"
bitfld.quad 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled"
newline
bitfld.quad 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented"
bitfld.quad 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled"
bitfld.quad 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented"
bitfld.quad 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled"
if (((per.q(spr:0x30400))&0x10)==0x10)
group.quad spr:0x30400++0x00
line.quad 0x00 "SPSR_EL1,Saved Program Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
newline
bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
newline
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
newline
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,,Abort,,,Hyp,Undefined,,,,System"
else
group.quad spr:0x30400++0x00
line.quad 0x00 "SPSR_EL1,Saved Program Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
newline
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
newline
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,?..."
endif
if (((per.q(spr:0x34400))&0x10)==0x10)
group.quad spr:0x34400++0x00
line.quad 0x00 "SPSR_EL2,Saved Program Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
newline
bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
newline
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
newline
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,,Abort,,,Hyp,Undefined,,,,System"
else
group.quad spr:0x34400++0x00
line.quad 0x00 "SPSR_EL2,Saved Program Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
newline
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
newline
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,?..."
endif
if (((per.q(spr:0x36400))&0x10)==0x10)
group.quad spr:0x36400++0x00
line.quad 0x00 "SPSR_EL3,Saved Program Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
newline
bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
newline
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
newline
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,,Abort,,,Hyp,Undefined,,,,System"
else
group.quad spr:0x36400++0x00
line.quad 0x00 "SPSR_EL3,Saved Program Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
newline
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
bitfld.quad 0x00 14.--15. 25.--26. "IT[4:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 10.--13. "IT[0:3],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
newline
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,?..."
endif
if (((per.q(spr:0x33450))&0x10)==0x10)
group.quad spr:0x33450++0x00
line.quad 0x00 "DSPSR_EL0,Debug Saved Processor Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
newline
bitfld.quad 0x00 13.--15. "IT[5:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 25.--26. 10.--12. "IT[0:4],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
newline
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
newline
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,Monitor,Abort,,,Hyp,Undefined,,,,System"
else
group.quad spr:0x33450++0x00
line.quad 0x00 "DSPSR_EL0,Debug Saved Processor Status Register"
bitfld.quad 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.quad 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
bitfld.quad 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.quad 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.quad 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.quad 0x00 23. "UAO,User Access Override" "Standard routines,New routines"
bitfld.quad 0x00 22. "PAN,Privileged Access Never" "No,Yes"
bitfld.quad 0x00 21. "SS,Software step" "0,1"
newline
bitfld.quad 0x00 20. "IL,Illegal Execution state" "0,1"
bitfld.quad 0x00 13.--15. "IT[5:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7"
bitfld.quad 0x00 25.--26. 10.--12. "IT[0:4],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 9. "E,Endianness state bit" "Little,Big"
bitfld.quad 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.quad 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
bitfld.quad 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
newline
bitfld.quad 0x00 5. "T,T32 Instruction set state" "A32,T32"
bitfld.quad 0x00 4. "M[4],Execution state that the exception was taken from" "AArch64,AArch32"
bitfld.quad 0x00 0.--3. "M[3:0],Current PE mode" "El0t,,,,EL1t,EL1h,,,EL2t,EL2h,,EL3t,EL3h,?..."
endif
tree.end
tree "Activity Monitors Unit"
if (CORENAME()=="CORTEXA75")
group.quad spr:0x33F97++0x00
line.quad 0x00 "CPUAMCNTENCLR_EL0, Activity Monitors Count Enable Clear Register"
bitfld.quad 0x00 4. "P4,AMEVCNTR4 disable bit [read/write]" "Disabled/No effect,Enabled/Disable"
newline
bitfld.quad 0x00 3. "P3,AMEVCNTR3 disable bit [read/write]" "Disabled/No effect,Enabled/Disable"
bitfld.quad 0x00 2. "P2,AMEVCNTR2 disable bit [read/write]" "Disabled/No effect,Enabled/Disable"
bitfld.quad 0x00 1. "P1,AMEVCNTR1 disable bit [read/write]" "Disabled/No effect,Enabled/Disable"
bitfld.quad 0x00 0. "P0,AMEVCNTR0 disable bit [read/write]" "Disabled/No effect,Enabled/Disable"
group.quad spr:0x33F96++0x00
line.quad 0x00 "CPUAMCNTENSET_EL0,Activity Monitors Count Enable Set Register"
bitfld.quad 0x00 4. "P4,AMEVCNTR4 enable bit" "Disabled,Enabled"
newline
bitfld.quad 0x00 3. "P3,AMEVCNTR3 enable bit" "Disabled,Enabled"
bitfld.quad 0x00 2. "P2,AMEVCNTR2 enable bit" "Disabled,Enabled"
bitfld.quad 0x00 1. "P1,AMEVCNTR1 enable bit" "Disabled,Enabled"
bitfld.quad 0x00 0. "P0,AMEVCNTR0 enable bit" "Disabled,Enabled"
group.quad spr:0x33FA6++0x00
line.quad 0x00 "CPUAMCFGR_EL0, Activity Monitors Configuration Register"
bitfld.quad 0x00 8.--13. "SIZE,Size of counters" "1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63,64"
hexmask.quad.byte 0x00 0.--7. 1. "N,Number of activity counters implemented"
group.quad spr:0x33FA7++0x00
line.quad 0x00 "CPUAMUSERENR_EL0, Activity Monitor EL0 Enable access"
bitfld.quad 0x00 0. "EN,Traps EL0 accesses to the activity monitor registers to EL1" "Trapped,Not trapped"
group.quad spr:0x33F90++0x00
line.quad 0x00 "CPUAMEVCNTR0_EL0,Activity Monitor Event Counter Register 0"
group.quad spr:(0x33F90+0x10)++0x00
line.quad 0x00 "CPUAMEVTYPER0_EL0,Activity Monitor Event Type Register 0"
hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT"
group.quad spr:0x33F91++0x00
line.quad 0x00 "CPUAMEVCNTR1_EL0,Activity Monitor Event Counter Register 1"
group.quad spr:(0x33F91+0x10)++0x00
line.quad 0x00 "CPUAMEVTYPER1_EL0,Activity Monitor Event Type Register 1"
hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT"
group.quad spr:0x33F92++0x00
line.quad 0x00 "CPUAMEVCNTR2_EL0,Activity Monitor Event Counter Register 2"
group.quad spr:(0x33F92+0x10)++0x00
line.quad 0x00 "CPUAMEVTYPER2_EL0,Activity Monitor Event Type Register 2"
hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT"
group.quad spr:0x33F93++0x00
line.quad 0x00 "CPUAMEVCNTR3_EL0,Activity Monitor Event Counter Register 3"
group.quad spr:(0x33F93+0x10)++0x00
line.quad 0x00 "CPUAMEVTYPER3_EL0,Activity Monitor Event Type Register 3"
hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT"
group.quad spr:0x33F94++0x00
line.quad 0x00 "CPUAMEVCNTR4_EL0,Activity Monitor Event Counter Register 4"
group.quad spr:(0x33F94+0x10)++0x00
line.quad 0x00 "CPUAMEVTYPER4_EL0,Activity Monitor Event Type Register 4"
hexmask.quad.word 0x00 0.--9. 1. "EVTCOUNT,EVTCOUNT"
endif
tree.end
tree "Breakpoint Registers"
tree "Breakpoint 0"
if ((((per.q(spr:0x20005+0x0))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x0))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x500000)))
group.quad spr:(0x20004+0x0)++0x00
line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register"
hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison"
elif (((((per.q(spr:0x20005+0x0))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x0))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x0))&0xF00000)>=0xC00000)))
group.quad spr:(0x20004+0x0)++0x00
line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
elif ((((per.q(spr:0x20005+0x0))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0x900000))
group.quad spr:(0x20004+0x0)++0x00
line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
elif ((((per.q(spr:0x20005+0x0))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x0))&0xF00000)<=0xB00000))
group.quad spr:(0x20004+0x0)++0x00
line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
else
rgroup.quad spr:(0x20004+0x0)++0x00
line.quad 0x00 "DBGBVR0_EL1,Breakpoint Value Register"
in
endif
group.quad spr:(0x20005+0x0)++0x00
line.quad 0x00 "DBGBCR0_EL1,Breakpoint Control Register"
bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1"
bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 1"
if ((((per.q(spr:0x20005+0x10))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x10))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x500000)))
group.quad spr:(0x20004+0x10)++0x00
line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register"
hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison"
elif (((((per.q(spr:0x20005+0x10))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x10))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x10))&0xF00000)>=0xC00000)))
group.quad spr:(0x20004+0x10)++0x00
line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
elif ((((per.q(spr:0x20005+0x10))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0x900000))
group.quad spr:(0x20004+0x10)++0x00
line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
elif ((((per.q(spr:0x20005+0x10))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x10))&0xF00000)<=0xB00000))
group.quad spr:(0x20004+0x10)++0x00
line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
else
rgroup.quad spr:(0x20004+0x10)++0x00
line.quad 0x00 "DBGBVR1_EL1,Breakpoint Value Register"
in
endif
group.quad spr:(0x20005+0x10)++0x00
line.quad 0x00 "DBGBCR1_EL1,Breakpoint Control Register"
bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1"
bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 2"
if ((((per.q(spr:0x20005+0x20))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x20))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x500000)))
group.quad spr:(0x20004+0x20)++0x00
line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register"
hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison"
elif (((((per.q(spr:0x20005+0x20))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x20))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x20))&0xF00000)>=0xC00000)))
group.quad spr:(0x20004+0x20)++0x00
line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
elif ((((per.q(spr:0x20005+0x20))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0x900000))
group.quad spr:(0x20004+0x20)++0x00
line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
elif ((((per.q(spr:0x20005+0x20))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x20))&0xF00000)<=0xB00000))
group.quad spr:(0x20004+0x20)++0x00
line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
else
rgroup.quad spr:(0x20004+0x20)++0x00
line.quad 0x00 "DBGBVR2_EL1,Breakpoint Value Register"
in
endif
group.quad spr:(0x20005+0x20)++0x00
line.quad 0x00 "DBGBCR2_EL1,Breakpoint Control Register"
bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1"
bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 3"
if ((((per.q(spr:0x20005+0x30))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x30))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x500000)))
group.quad spr:(0x20004+0x30)++0x00
line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register"
hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison"
elif (((((per.q(spr:0x20005+0x30))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x30))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x30))&0xF00000)>=0xC00000)))
group.quad spr:(0x20004+0x30)++0x00
line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
elif ((((per.q(spr:0x20005+0x30))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0x900000))
group.quad spr:(0x20004+0x30)++0x00
line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
elif ((((per.q(spr:0x20005+0x30))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x30))&0xF00000)<=0xB00000))
group.quad spr:(0x20004+0x30)++0x00
line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
else
rgroup.quad spr:(0x20004+0x30)++0x00
line.quad 0x00 "DBGBVR3_EL1,Breakpoint Value Register"
in
endif
group.quad spr:(0x20005+0x30)++0x00
line.quad 0x00 "DBGBCR3_EL1,Breakpoint Control Register"
bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1"
bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 4"
if ((((per.q(spr:0x20005+0x40))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x40))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x500000)))
group.quad spr:(0x20004+0x40)++0x00
line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register"
hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison"
elif (((((per.q(spr:0x20005+0x40))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x40))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x40))&0xF00000)>=0xC00000)))
group.quad spr:(0x20004+0x40)++0x00
line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
elif ((((per.q(spr:0x20005+0x40))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0x900000))
group.quad spr:(0x20004+0x40)++0x00
line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
elif ((((per.q(spr:0x20005+0x40))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x40))&0xF00000)<=0xB00000))
group.quad spr:(0x20004+0x40)++0x00
line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
else
rgroup.quad spr:(0x20004+0x40)++0x00
line.quad 0x00 "DBGBVR4_EL1,Breakpoint Value Register"
in
endif
group.quad spr:(0x20005+0x40)++0x00
line.quad 0x00 "DBGBCR4_EL1,Breakpoint Control Register"
bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1"
bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 5"
if ((((per.q(spr:0x20005+0x50))&0xF00000)<=0x100000)||((((per.q(spr:0x20005+0x50))&0xF00000)>=0x400000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x500000)))
group.quad spr:(0x20004+0x50)++0x00
line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register"
hexmask.quad 0x00 2.--48. 0x4 "VA,Bits[48:2] of the address value for comparison"
elif (((((per.q(spr:0x20005+0x50))&0xF00000)>=0x200000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x300000))||((((per.q(spr:0x20005+0x50))&0xF00000)>=0x600000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x700000))||((((per.q(spr:0x20005+0x50))&0xF00000)>=0xC00000)))
group.quad spr:(0x20004+0x50)++0x00
line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
elif ((((per.q(spr:0x20005+0x50))&0xF00000)>=0x800000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0x900000))
group.quad spr:(0x20004+0x50)++0x00
line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
elif ((((per.q(spr:0x20005+0x50))&0xF00000)>=0xA00000)&&(((per.q(spr:0x20005+0x50))&0xF00000)<=0xB00000))
group.quad spr:(0x20004+0x50)++0x00
line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register"
hexmask.quad.byte 0x00 32.--39. 1. "VMID,VMID value for comparison"
hexmask.quad.long 0x00 0.--31. 1. "CONTEXTID,Context ID value for comparison"
else
rgroup.quad spr:(0x20004+0x50)++0x00
line.quad 0x00 "DBGBVR5_EL1,Breakpoint Value Register"
in
endif
group.quad spr:(0x20005+0x50)++0x00
line.quad 0x00 "DBGBCR5_EL1,Breakpoint Control Register"
bitfld.quad 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
bitfld.quad 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn_EL1,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2_EL1,Reserved,Reserved,A64/A32/DBGBVRn_EL1"
bitfld.quad 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.quad 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree.end
tree "Watchpoint Registers"
tree "Watchpoint 0"
group.quad spr:(0x20006+0x0)++0x00
line.quad 0x00 "DBGWVR0_EL1,Watchpoint Value Register (AArch64)"
hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address"
group.quad spr:(0x20007+0x0)++0x00
line.quad 0x00 "DBGWCR0_EL1,Watchpoint Control Register"
bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree "Watchpoint 1"
group.quad spr:(0x20006+0x10)++0x00
line.quad 0x00 "DBGWVR1_EL1,Watchpoint Value Register (AArch64)"
hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address"
group.quad spr:(0x20007+0x10)++0x00
line.quad 0x00 "DBGWCR1_EL1,Watchpoint Control Register"
bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree "Watchpoint 2"
group.quad spr:(0x20006+0x20)++0x00
line.quad 0x00 "DBGWVR2_EL1,Watchpoint Value Register (AArch64)"
hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address"
group.quad spr:(0x20007+0x20)++0x00
line.quad 0x00 "DBGWCR2_EL1,Watchpoint Control Register"
bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree "Watchpoint 3"
group.quad spr:(0x20006+0x30)++0x00
line.quad 0x00 "DBGWVR3_EL1,Watchpoint Value Register (AArch64)"
hexmask.quad 0x00 2.--48. 0x4 "ADDRESS,Data address"
group.quad spr:(0x20007+0x30)++0x00
line.quad 0x00 "DBGWCR3_EL1,Watchpoint Control Register"
bitfld.quad 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.quad 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.quad 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.quad 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.quad 0x00 13. "HMC,Hypervisor mode control" "Disabled,Enabled"
hexmask.quad.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.quad 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.quad 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.quad 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree.end
tree "LORegions Registers"
group.quad spr:0x30A40++0x00
line.quad 0x00 "LORSA_EL1,LORegion Start Address"
hexmask.quad.long 0x00 16.--47. 0x1 "SA,Start physical address bits[47:16]"
bitfld.quad 0x00 0. "VALID,Indicates whether the LORegion Descriptor is enabled" "Not valid,Valid"
group.quad spr:0x30A41++0x00
line.quad 0x00 "LOREA_EL1,LORegion End Address"
hexmask.quad.long 0x00 16.--47. 0x1 "EA,End physical address bits[47:16]"
group.quad spr:0x30A42++0x00
line.quad 0x00 "LORN_EL1,LORegion Number Register"
bitfld.quad 0x00 0.--1. "NUM,Indicates the LORegion number" "0,1,2,3"
group.quad spr:0x30A43++0x00
line.quad 0x00 "LORC_EL1,LORegion Control Register"
bitfld.quad 0x00 2.--3. "DS,Descriptor Select" "0,1,2,3"
bitfld.quad 0x00 0. "EN,Enable" "Disabled,Enabled"
rgroup.quad spr:0x30A47++0x00
line.quad 0x00 "LORID_EL1,Limited Order Region Identification Register"
hexmask.quad.byte 0x00 16.--23. 1. "LD,Number of LOR Descriptors supported by the implementation"
hexmask.quad.byte 0x00 0.--7. 1. "LR,Number of LORegions supported by the implementation"
tree.end
tree "DynamIQ Shared Unit"
tree "Cluster Control Registers"
if (((per.q(spr:0x30F30))&0x2000)==0x00)
rgroup.quad spr:0x30F30++0x00
line.long 0x00 "CLUSTERCFR_EL1,Cluster Configuration Register"
bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..."
bitfld.long 0x00 23. "L3_DATA_RAM_DELAY,L3 data RAM write delay" "Not delayed,Delayed"
newline
bitfld.long 0x00 17. "CRSP3,Core 3 register slice present" "Not present,Present"
bitfld.long 0x00 16. "CRSP2,Core 2 register slice present" "Not present,Present"
newline
bitfld.long 0x00 15. "CRSP1,Core 1 register slice present" "Not present,Present"
bitfld.long 0x00 14. "CRSP0,Core 0 register slice present" "Not present,Present"
bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended"
newline
bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present"
bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present"
bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Single 256-bit CHI"
newline
bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC"
bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM register slice present" "Not present,Present"
bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles"
newline
bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles"
bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present"
bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..."
else
rgroup.quad spr:0x30F30++0x00
line.long 0x00 "CLUSTERCFR_EL1,Cluster Configuration Register"
bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..."
bitfld.long 0x00 23. "L3_DATA_RAM_DELAY,L3 data RAM write delay" "Not delayed,Delayed"
newline
bitfld.long 0x00 17. "CRSP3,Core 3 register slice present" "Not present,Present"
bitfld.long 0x00 16. "CRSP2,Core 2 register slice present" "Not present,Present"
newline
bitfld.long 0x00 15. "CRSP1,Core 1 register slice present" "Not present,Present"
bitfld.long 0x00 14. "CRSP0,Core 0 register slice present" "Not present,Present"
bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended"
newline
bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present"
bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present"
bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Dual 256-bit CHI"
newline
bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC"
bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM register slice present" "Not present,Present"
bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles"
newline
bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles"
bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present"
bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..."
endif
rgroup.quad spr:0x30F31++0x00
line.long 0x00 "CLUSTERIDR_EL1,Cluster Main Revision ID"
hexmask.long.byte 0x00 4.--7. 1. "VARIANT,Indicates the variant of the FCM"
hexmask.long.byte 0x00 0.--3. 1. "REVISION,Indicates the minor revision number of the FCM"
rgroup.quad spr:0x30F32++0x00
line.long 0x00 "CLUSTERREVIDR_EL1,Cluster ECO ID"
group.quad spr:0x30F33++0x00
line.long 0x00 "CLUSTERACTLR_EL1,Cluster Auxiliary Control Register"
if (((per.l(spr:0x30F30))&0x600)==(0x00||0x200))
group.quad spr:0x30F34++0x00
line.long 0x00 "CLUSTERECTLR_EL1,Cluster Extended Control Register"
bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled"
bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128"
bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes"
newline
bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported"
bitfld.long 0x00 3. "CTEC,Disables send evict transactions on the ACE/CHI master" "No,Yes"
bitfld.long 0x00 2. "CFUCEC,Disables WriteEvict requests on the ACE/CHI master (Powering down part/All L3 cache)" "No,Yes"
newline
bitfld.long 0x00 0. "DNCWL,Disable the limit on the number of non-cacheable writes that are allowed on the ACE interface" "No,Yes"
else
group.quad spr:0x30F34++0x00
line.long 0x00 "CLUSTERECTLR_EL1,Cluster Extended Control Register"
bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled"
bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128"
bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes"
newline
bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported"
bitfld.long 0x00 3. "CTEC,Disables send evict transactions on the ACE/CHI master" "No,Yes"
bitfld.long 0x00 2. "CFUCEC,Disables WriteEvict requests on the ACE/CHI master (Powering down part/All L3 cache)" "No,Yes"
endif
group.quad spr:0x30F35++0x00
line.long 0x00 "CLUSTERPWRCTLR_EL1,Cluster Power Control Register"
bitfld.long 0x00 4.--7. "CPPR,Cache portion power request" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 0.--2. "L3_DATA_RAM_RC,L3 data RAM retention control [Number of Architectural Timer ticks required before retention entry]" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
group.quad spr:0x30F36++0x00
line.long 0x00 "CLUSTERPWRDN_EL1,Cluster Power Down Register"
bitfld.long 0x00 1. "MRR,Memory retention required" "Not required,Required"
bitfld.long 0x00 0. "CPR,Cluster power required" "Not required,Required"
rgroup.quad spr:0x30F37++0x00
line.long 0x00 "CLUSTERPWRSTAT_EL1,Cluster Power Status Register"
bitfld.long 0x00 4.--7. "CPPS,This bits indicates which cache portions are currently powered up and available" "No ways,Ways 0-3,Reserved,Ways 0-7,Reserved,Reserved,Reserved,Ways 0-11,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Ways 0-15"
bitfld.long 0x00 1. "RWPD,Enabled memory retention when all cores are powered down" "Disabled,Enabled"
bitfld.long 0x00 0. "DCPD,Disabled cluster power down when all cores are powered down" "No,Yes"
group.quad spr:0x30F40++0x00
line.long 0x00 "CLUSTERTHREADSID_EL1,Cluster Thread Scheme ID Register"
bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for current thread" "0,1,2,3,4,5,6,7"
group.quad spr:0x30F41++0x00
line.long 0x00 "CLUSTERACPSID_EL1,Cluster ACP Scheme ID Register"
bitfld.long 0x00 0.--2. "SCHEME_ID_ACP,Scheme ID for ACP transactions" "0,1,2,3,4,5,6,7"
group.quad spr:0x30F42++0x00
line.long 0x00 "CLUSTERSTASHSID_EL1,Cluster Stash Scheme ID Register"
bitfld.long 0x00 0.--2. "SCHEME_ID_SR,Scheme ID for stash requests received from the interconnect" "0,1,2,3,4,5,6,7"
group.quad spr:0x30F43++0x00
line.long 0x00 "CLUSTERPARTCR_EL1,Cluster Partition Control Register"
bitfld.long 0x00 31. "W3_ID7,Way group 3 is assigned as private to scheme ID 7" "Not assigned,Assigned"
bitfld.long 0x00 30. "W2_ID7,Way group 2 is assigned as private to scheme ID 7" "Not assigned,Assigned"
bitfld.long 0x00 29. "W1_ID7,Way group 1 is assigned as private to scheme ID 7" "Not assigned,Assigned"
newline
bitfld.long 0x00 28. "W0_ID7,Way group 0 is assigned as private to scheme ID 7" "Not assigned,Assigned"
bitfld.long 0x00 27. "W3_ID6,Way group 3 is assigned as private to scheme ID 6" "Not assigned,Assigned"
bitfld.long 0x00 26. "W2_ID6,Way group 2 is assigned as private to scheme ID 6" "Not assigned,Assigned"
newline
bitfld.long 0x00 25. "W1_ID6,Way group 1 is assigned as private to scheme ID 6" "Not assigned,Assigned"
bitfld.long 0x00 24. "W0_ID6,Way group 0 is assigned as private to scheme ID 6" "Not assigned,Assigned"
bitfld.long 0x00 23. "W3_ID5,Way group 3 is assigned as private to scheme ID 5" "Not assigned,Assigned"
newline
bitfld.long 0x00 22. "W2_ID5,Way group 2 is assigned as private to scheme ID 5" "Not assigned,Assigned"
bitfld.long 0x00 21. "W1_ID5,Way group 1 is assigned as private to scheme ID 5" "Not assigned,Assigned"
bitfld.long 0x00 20. "W0_ID5,Way group 0 is assigned as private to scheme ID 5" "Not assigned,Assigned"
newline
bitfld.long 0x00 19. "W3_ID4,Way group 3 is assigned as private to scheme ID 4" "Not assigned,Assigned"
bitfld.long 0x00 18. "W2_ID4,Way group 2 is assigned as private to scheme ID 4" "Not assigned,Assigned"
bitfld.long 0x00 17. "W1_ID4,Way group 1 is assigned as private to scheme ID 4" "Not assigned,Assigned"
newline
bitfld.long 0x00 16. "W0_ID4,Way group 0 is assigned as private to scheme ID 4" "Not assigned,Assigned"
bitfld.long 0x00 15. "W3_ID3,Way group 3 is assigned as private to scheme ID 3" "Not assigned,Assigned"
bitfld.long 0x00 14. "W2_ID3,Way group 2 is assigned as private to scheme ID 3" "Not assigned,Assigned"
newline
bitfld.long 0x00 13. "W1_ID3,Way group 1 is assigned as private to scheme ID 3" "Not assigned,Assigned"
bitfld.long 0x00 12. "W0_ID3,Way group 0 is assigned as private to scheme ID 3" "Not assigned,Assigned"
bitfld.long 0x00 11. "W3_ID2,Way group 3 is assigned as private to scheme ID 2" "Not assigned,Assigned"
newline
bitfld.long 0x00 10. "W2_ID2,Way group 2 is assigned as private to scheme ID 2" "Not assigned,Assigned"
bitfld.long 0x00 9. "W1_ID2,Way group 1 is assigned as private to scheme ID 2" "Not assigned,Assigned"
bitfld.long 0x00 8. "W0_ID2,Way group 0 is assigned as private to scheme ID 2" "Not assigned,Assigned"
newline
bitfld.long 0x00 7. "W3_ID1,Way group 3 is assigned as private to scheme ID 1" "Not assigned,Assigned"
bitfld.long 0x00 6. "W2_ID1,Way group 2 is assigned as private to scheme ID 1" "Not assigned,Assigned"
bitfld.long 0x00 5. "W1_ID1,Way group 1 is assigned as private to scheme ID 1" "Not assigned,Assigned"
newline
bitfld.long 0x00 4. "W0_ID1,Way group 0 is assigned as private to scheme ID 1" "Not assigned,Assigned"
bitfld.long 0x00 3. "W3_ID0,Way group 3 is assigned as private to scheme ID 0" "Not assigned,Assigned"
bitfld.long 0x00 2. "W2_ID0,Way group 2 is assigned as private to scheme ID 0" "Not assigned,Assigned"
newline
bitfld.long 0x00 1. "W1_ID0,Way group 1 is assigned as private to scheme ID 0" "Not assigned,Assigned"
bitfld.long 0x00 0. "W0_ID0,Way group 0 is assigned as private to scheme ID 0" "Not assigned,Assigned"
group.quad spr:0x30F44++0x00
line.long 0x00 "CLUSTERBUSQOS_EL1,Cluster Bus QoS Control Register"
bitfld.long 0x00 28.--31. "CHI_BUS_QOS_SCHEME_ID7,Value driven on the CHI bus QoS field for scheme ID 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 24.--27. "CHI_BUS_QOS_SCHEME_ID6,Value driven on the CHI bus QoS field for scheme ID 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 20.--23. "CHI_BUS_QOS_SCHEME_ID5,Value driven on the CHI bus QoS field for scheme ID 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 16.--19. "CHI_BUS_QOS_SCHEME_ID4,Value driven on the CHI bus QoS field for scheme ID 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 12.--15. "CHI_BUS_QOS_SCHEME_ID3,Value driven on the CHI bus QoS field for scheme ID 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 8.--11. "CHI_BUS_QOS_SCHEME_ID2,Value driven on the CHI bus QoS field for scheme ID 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 4.--7. "CHI_BUS_QOS_SCHEME_ID1,Value driven on the CHI bus QoS field for scheme ID 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 0.--3. "CHI_BUS_QOS_SCHEME_ID0,Value driven on the CHI bus QoS field for scheme ID 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
group.quad spr:0x30F45++0x00
line.long 0x00 "CLUSTERL3HIT_EL1,Cluster L3 Hit Counter Register"
group.quad spr:0x30F46++0x00
line.long 0x00 "CLUSTERL3MISS_EL1,Cluster L3 Miss Counter Register"
group.quad spr:0x30F47++0x00
line.long 0x00 "CLUSTERTHREADSIDOVR_EL1,Cluster Thread Scheme ID Override Register"
bitfld.long 0x00 16.--18. "SCHEME_ID_MASK,A bit set in the mask causes the matching bit to be taken from this register rather than from the CLUSTERTHREADSID_EL1 register" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for this thread if masked" "0,1,2,3,4,5,6,7"
tree.end
tree "Error System Registers"
rgroup.quad spr:0x30530++0x00
line.long 0x00 "ERRIDR_EL1,Error ID Register"
hexmask.long.word 0x00 0.--15. 1. "NUM,Number of records that can be accessed through the Error Record system registers"
group.quad spr:0x30531++0x00
line.quad 0x00 "ERRSELR_EL1,Error Record Select Register"
bitfld.quad 0x00 0. "SEL,Selects the record accessed through the ERX registers" "Record 0 - Core,Record 1 - DSU"
if (((per.q(spr:0x30531))&0x01)==0x00)
if (CORENAME()=="CORTEXA75")
rgroup.quad spr:0x30540++0x00
line.quad 0x00 "ERXFR_EL1,Error Record Feature Register"
bitfld.quad 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 4.--5. "UI,Error recovery interrupt for uncorrected errors" "Reserved,Reserved,Implemented,?..."
newline
bitfld.quad 0x00 0.--1. "ED,Error detection and correction" "Reserved,Reserved,Implemented,?..."
group.quad spr:0x30541++0x00
line.quad 0x00 "ERXCTLR_EL1,Selected Error Record Control Register"
bitfld.quad 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "FI,Fault handling interrupt enable" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "UI,Uncorrected error recovery interrupt enable" "No interrupt,Interrupt"
group.quad spr:0x30542++0x00
line.long 0x00 "ERXSTATUS_EL1,Selected Error Record Status Register"
bitfld.long 0x00 31. "AV,Address valid" "Not valid,Valid"
bitfld.long 0x00 30. "V,Status register valid" "Not valid,Valid"
bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error"
newline
bitfld.long 0x00 28. "ER,Error reported" "No error,Error"
bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error"
bitfld.long 0x00 26. "MV,Miscellaneous registers valid" "Not valid,Valid"
newline
bitfld.long 0x00 24.--25. "CE,Corrected errors" "No error,Reserved,>=1 error,?..."
bitfld.long 0x00 23. "DE,Deferred errors" "No error,>=1 error"
bitfld.long 0x00 22. "PN,Poison" "No distinction,?..."
newline
bitfld.long 0x00 20.--21. "UET,Uncorrected error type" "Uncontainable,?..."
newline
abitfld.long 0x00 0.--7. "SERR,Primary error code" "0x00=No error,0x02=ECC/internal data buffer,0x06=ECC/Cache data RAM,0x07=ECC/Cache tag/Dirty RAM,0x08=Parity/TLB data,0x09=Parity/TLB tag,0x15=Deferred not supported"
group.quad spr:0x30543++0x00
line.quad 0x00 "ERXADDR_EL1,Selected Error Record Address Register"
bitfld.quad 0x00 63. "NS,Non-secure attribute" "Secure,Non-secure"
bitfld.quad 0x00 62. "SI,Secure incorrect" "Non secure,Secure"
bitfld.quad 0x00 61. "AI,Address incomplete or incorrect" "Correct,Not correct"
hexmask.quad 0x00 0.--43. 0x01 "PADDR,Physical address"
group.quad spr:0x30550++0x00
line.quad 0x00 "ERXMISC0_EL1,Selected Error Record Miscellaneous Register 0"
hexmask.quad.byte 0x00 32.--47. 1. "CECO,Corrected error count other"
bitfld.quad 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
hexmask.quad.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error"
newline
bitfld.quad 0x00 5. "TLBRAM,Indicates which TLB RAM block the error occurs" "RAM 0,RAM 1"
bitfld.quad 0x00 1.--3. "LVL,Indicates the level that contained the error" "level 1,Level 2,?..."
bitfld.quad 0x00 0. "IND,Indicates the type of cache that contained the error" "L1 data/Unified L2/TLB,L1 instruction"
group.quad spr:0x30551++0x00
line.quad 0x00 "ERXMISC1_EL1,Selected Error Record Miscellaneous Register 1"
group.quad spr:0x30F22++0x00
line.long 0x00 "ERXPFGCDN_EL1,Selected Error Pseudo Fault Generation Count Down Register"
group.quad spr:0x30F21++0x00
line.quad 0x00 "ERXPFGCTL_EL1,Selected Error Pseudo Fault Generation Control Register"
bitfld.quad 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 30. "R,Restart" "Stop,Reloaded"
bitfld.quad 0x00 6. "CE,Corrected error generation enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "DE,Deferred Error generation enable" "Disabled,Enabled"
bitfld.quad 0x00 1. "UC,Uncontainable error generation enable" "Disabled,Enabled"
rgroup.quad spr:0x30F20++0x00
line.quad 0x00 "ERXPFGF_EL1,Selected Pseudo Fault Generation Feature Register"
bitfld.quad 0x00 31. "PFG,Pseudo fault generation" "Reserved,Supported"
bitfld.quad 0x00 30. "R,Error Generation Counter restart mode support" "Reserved,Supported"
newline
bitfld.quad 0x00 6. "CE,Corrected Error generation" "Reserved,Supported"
bitfld.quad 0x00 5. "DE,Deferred error generation" "Reserved,Supported"
newline
bitfld.quad 0x00 4. "UEO,Latent or restartable error generation" "Not supported,?..."
bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation" "Not supported,?..."
newline
bitfld.quad 0x00 2. "UEU,Unrecoverable error generation" "Not supported,?..."
bitfld.quad 0x00 1. "UC,Uncontainable error generation" "Reserved,Supported"
elif (CORENAME()=="CORTEXA55")
rgroup.quad spr:0x30540++0x00
line.quad 0x00 "ERXFR_EL1,Error Record Feature Register"
bitfld.quad 0x00 18.--19. "CEO,Corrected Error Overwrite" "Count CE,?..."
bitfld.quad 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..."
bitfld.quad 0x00 15. "RP,Repeat counter" "Reserved,Implemented"
newline
bitfld.quad 0x00 12.--14. "CEC,Corrected Error Counter" "Reserved,Reserved,8-bit,?..."
bitfld.quad 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 8.--9. "UE,In-band uncorrected error reporting" "Reserved,Implemented,?..."
newline
bitfld.quad 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 4.--5. "UI,Error recovery interrupt for uncorrected errors" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 2.--3. "DE,Defers errors" "Reserved,Enabled,?..."
newline
bitfld.quad 0x00 0.--1. "ED,Error detection and correction" "Reserved,Reserved,Implemented,?..."
group.quad spr:0x30541++0x00
line.quad 0x00 "ERXCTLR_EL1,Selected Error Record Control Register"
bitfld.quad 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "FI,Fault handling interrupt enable" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "UI,Uncorrected error recovery interrupt enable" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 0. "ED,Error detection and correction enable" "Disabled,Enabled"
group.quad spr:0x30542++0x00
line.long 0x00 "ERXSTATUS_EL1,Selected Error Record Status Register"
bitfld.long 0x00 31. "AV,Address valid" "Not valid,?..."
bitfld.long 0x00 30. "V,Status register valid" "Not valid,Valid"
bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error"
newline
bitfld.long 0x00 28. "ER,Error reported" "No error,Error"
bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error"
bitfld.long 0x00 26. "MV,Miscellaneous registers valid" "Not valid,Valid"
newline
bitfld.long 0x00 24.--25. "CE,Corrected errors" "No error,Reserved,>=1 error,?..."
bitfld.long 0x00 23. "DE,Deferred errors" "No error,>=1 error"
bitfld.long 0x00 22. "PN,Poison" "No distinction,?..."
newline
bitfld.long 0x00 20.--21. "UET,Uncorrected error type" "Uncontainable,?..."
newline
abitfld.long 0x00 8.--15. "IERR,Implementation defined error code" "0x00=No error/Other RAMs,0x01=Error/L3 snoop RAM"
abitfld.long 0x00 0.--7. "SERR,Primary error code" "0x00=No error,0x02=ECC/internal data buffer,0x06=ECC/Cache data RAM,0x07=ECC/Cache tag/Dirty RAM,0x08=Parity/TLB data,0x09=Parity/TLB tag,0x15=Deferred not supported"
rgroup.quad spr:0x30543++0x00
line.quad 0x00 "ERXADDR_EL1,Selected Error Record Address Register"
group.quad spr:0x30550++0x00
line.quad 0x00 "ERXMISC0_EL1,Selected Error Record Miscellaneous Register 0"
bitfld.quad 0x00 47. "OFO,Sticky overflow bit other" "No overflow,Overflow"
hexmask.quad.byte 0x00 40.--46. 1. "CECO,Corrected error count other"
bitfld.quad 0x00 39. "OFR,Sticky overflow bit repeat" "No overflow,Overflow"
newline
hexmask.quad.byte 0x00 32.--38. 1. "CECR,Repeat error count"
bitfld.quad 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
hexmask.quad.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error"
newline
bitfld.quad 0x00 1.--3. "LVL,Indicates the level that contained the error" "level 1,Level 2,?..."
bitfld.quad 0x00 0. "IND,Indicates the type of cache that contained the error" "L1 data/Unified L2/TLB,L1 instruction"
group.quad spr:0x30551++0x00
line.quad 0x00 "ERXMISC1_EL1,Selected Error Record Miscellaneous Register 1"
group.quad spr:0x30F22++0x00
line.long 0x00 "ERXPFGCDN_EL1,Selected Error Pseudo Fault Generation Count Down Register"
group.quad spr:0x30F21++0x00
line.quad 0x00 "ERXPFGCTL_EL1,Selected Error Pseudo Fault Generation Control Register"
bitfld.quad 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 30. "R,Restart" "Stop,Reloaded"
bitfld.quad 0x00 6. "CE,Corrected error generation enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "DE,Deferred Error generation enable" "Disabled,Enabled"
bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 1. "UC,Uncontainable error generation enable" "Disabled,Enabled"
rgroup.quad spr:0x30F20++0x00
line.quad 0x00 "ERXPFGF_EL1,Selected Pseudo Fault Generation Feature Register"
bitfld.quad 0x00 31. "PFG,Pseudo fault generation" "Not supported,Supported"
bitfld.quad 0x00 30. "R,Error Generation Counter restart mode support" "Reserved,Supported"
newline
bitfld.quad 0x00 6. "CE,Corrected Error generation" "Not supported,Supported"
bitfld.quad 0x00 5. "DE,Deferred error generation" "Not supported,Supported"
newline
bitfld.quad 0x00 4. "UEO,Latent or restartable error generation" "Not supported,?..."
bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation" "Not supported,Supported"
newline
bitfld.quad 0x00 2. "UEU,Unrecoverable error generation" "Not supported,?..."
bitfld.quad 0x00 1. "UC,Uncontainable error generation" "Not supported,Supported"
endif
else
rgroup.quad spr:0x30540++0x00
line.quad 0x00 "ERXFR_EL1,Error Record Feature Register"
bitfld.quad 0x00 18.--19. "CEO,Corrected Error Overwrite" "Count CE,?..."
bitfld.quad 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..."
bitfld.quad 0x00 15. "RP,Repeat counter" "Reserved,Implemented"
newline
bitfld.quad 0x00 12.--14. "CEC,Corrected Error Counter" "Reserved,Reserved,8-bit,?..."
bitfld.quad 0x00 10.--11. "CFI,Fault handling interrupt for corrected errors" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 8.--9. "UE,In-band uncorrected error reporting" "Reserved,Implemented,?..."
newline
bitfld.quad 0x00 6.--7. "FI,Fault handling interrupt" "Reserved,Reserved,Implemented,?..."
bitfld.quad 0x00 4.--5. "UI,Error recovery interrupt for uncorrected errors" "Reserved,Enabled,Implemented,?..."
bitfld.quad 0x00 2.--3. "DE,Defers errors" "Reserved,Enabled,?..."
newline
bitfld.quad 0x00 0.--1. "ED,Error detection and correction" "Reserved,Enabled,Implemented,?..."
group.quad spr:0x30541++0x00
line.quad 0x00 "ERXCTLR_EL1,Selected Error Record Control Register"
bitfld.quad 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "No interrupt,Interrupt"
bitfld.quad 0x00 3. "FI,Fault handling interrupt enable" "No interrupt,Interrupt"
bitfld.quad 0x00 2. "UI,Uncorrected error recovery interrupt enable" "No interrupt,Interrupt"
newline
bitfld.quad 0x00 0. "ED,Error detection and correction enable" "Disabled,Enabled"
group.quad spr:0x30542++0x00
line.long 0x00 "ERXSTATUS_EL1,Selected Error Record Status Register"
bitfld.long 0x00 31. "AV,Address valid" "Not valid,?..."
bitfld.long 0x00 30. "V,Status register valid" "Not valid,Valid"
bitfld.long 0x00 29. "UE,Uncorrected Error" "No error,>=1 error"
newline
bitfld.long 0x00 28. "ER,Error reported" "No error,?..."
bitfld.long 0x00 27. "OF,Error overflow" "No error,>1 error"
bitfld.long 0x00 26. "MV,Miscellaneous registers valid" "Not valid,Valid"
newline
bitfld.long 0x00 24.--25. "CE,Corrected errors" "No error,Reserved,>=1 error,?..."
bitfld.long 0x00 23. "DE,Deferred errors" "No error,>=1 error"
bitfld.long 0x00 22. "PN,Poison" "No distinction,Earlier"
newline
bitfld.long 0x00 20.--21. "UET,Uncorrected error type" "Uncontainable,?..."
newline
bitfld.long 0x00 8.--15. "IERR,Implementation defined error code" "No error/Other RAMs,Reserved,Error/L3 snoop RAM,?..."
bitfld.long 0x00 0.--7. "SERR,Primary error code" "No error,Reserved,ECC/internal data buffer,Reserved,Reserved,Reserved,ECC/Cache data RAM,ECC/Cache tag/Dirty RAM,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Bus error,?..."
rgroup.quad spr:0x30543++0x00
line.quad 0x00 "ERXADDR_EL1,Selected Error Record Address Register"
group.quad spr:0x30550++0x00
line.quad 0x00 "ERXMISC0_EL1,Selected Error Record Miscellaneous Register 0"
bitfld.quad 0x00 47. "OFO,Sticky overflow bit other" "No overflow,Overflow"
hexmask.quad.byte 0x00 40.--46. 1. "CECO,Corrected error count other"
bitfld.quad 0x00 39. "OFR,Sticky overflow bit repeat" "No overflow,Overflow"
newline
hexmask.quad.byte 0x00 32.--38. 1. "CECR,Repeat error count"
bitfld.quad 0x00 28.--31. "WAY,Indicates the way that contained the error" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
hexmask.quad.word 0x00 6.--18. 1. "INDX,Indicates the index that contained the error"
newline
bitfld.quad 0x00 1.--3. "LVL,Indicates the level that contained the error" "Reserved,Reserved,Level 3,?..."
bitfld.quad 0x00 0. "IND,Indicates the type of cache that contained the error" "L3 cache,?..."
group.quad spr:0x30551++0x00
line.quad 0x00 "ERXMISC1_EL1,Selected Error Record Miscellaneous Register 1"
group.quad spr:0x30F22++0x00
line.long 0x00 "ERXPFGCDN_EL1,Selected Error Pseudo Fault Generation Count Down Register"
group.quad spr:0x30F21++0x00
line.quad 0x00 "ERXPFGCTL_EL1,Selected Error Pseudo Fault Generation Control Register"
bitfld.quad 0x00 31. "CDNEN,Count down enable" "Disabled,Enabled"
newline
bitfld.quad 0x00 30. "R,Restart" "Stop,Reloaded"
bitfld.quad 0x00 6. "CE,Corrected error generation" "Not generated,Generated"
newline
bitfld.quad 0x00 5. "DE,Deferred Error generation enable" "Not generated,Generated"
bitfld.quad 0x00 1. "UC,Signaled or recoverable error generation enable" "Not supported,Controllable"
rgroup.quad spr:0x30F20++0x00
line.quad 0x00 "ERXPFGF_EL1,Selected Pseudo Fault Generation Feature Register"
bitfld.quad 0x00 31. "PFG,Pseudo fault generation" "Not supported,Supported"
bitfld.quad 0x00 30. "R,Error Generation Counter restart mode support" "Reserved,Supported"
newline
bitfld.quad 0x00 6. "CE,Corrected Error generation" "Reserved,Supported"
bitfld.quad 0x00 5. "DE,Deferred error generation" "Reserved,Supported"
newline
bitfld.quad 0x00 4. "UEO,Latent or restartable error generation" "Not supported,?..."
bitfld.quad 0x00 3. "UER,Signaled or recoverable error generation" "Not supported,?..."
newline
bitfld.quad 0x00 2. "UEU,Unrecoverable error generation" "Not supported,?..."
bitfld.quad 0x00 1. "UC,Uncontainable error generation" "Reserved,Supported"
endif
tree.end
tree "Cluster PMU Registers"
group.quad spr:0x30F50++0x00
line.long 0x00 "CLUSTERPMCR_EL1,Cluster Performance Monitors Control Register (EL1)"
hexmask.long.byte 0x00 24.--31. 1. "IMP,Implementer code"
hexmask.long.byte 0x00 16.--23. 1. "IDCODE,Identification code"
bitfld.long 0x00 11.--15. "N,Number of counters implemented" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,6,?..."
newline
bitfld.long 0x00 2. "C,Clock Counter Reset" "No reset,Reset"
bitfld.long 0x00 1. "P,Event Counter Reset" "No reset,Reset"
newline
bitfld.long 0x00 0. "E,All Counters Enable" "Disabled,Enabled"
group.quad spr:0x30F51++0x00
line.long 0x00 "CLUSTERPMCNTENSET_EL1,Cluster Count Enable Set Register (EL1)"
bitfld.long 0x00 31. "C,Enables the cycle counter register [Read/Write]" "Disabled/No effect,Enabled/Enable"
newline
bitfld.long 0x00 5. "P5,Event counter PMN 5 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 4. "P4,Event counter PMN 4 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 3. "P3,Event counter PMN 3 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable"
newline
bitfld.long 0x00 2. "P2,Event counter PMN 2 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 1. "P1,Event counter PMN 1 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 0. "P0,Event counter PMN 0 enable bit [Read/Write]" "Disabled/No effect,Enabled/Enable"
group.quad spr:0x30F52++0x00
line.long 0x00 "CLUSTERPMCNTENCLR_EL1,Cluster Count Enable Clear Register (EL1)"
bitfld.long 0x00 31. "C,Disables the cycle counter register [Read/Write]" "Disabled/No effect,Enabled/Disable"
newline
bitfld.long 0x00 5. "P5,Event counter PMN 5 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable"
bitfld.long 0x00 4. "P4,Event counter PMN 4 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable"
bitfld.long 0x00 3. "P3,Event counter PMN 3 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable"
newline
bitfld.long 0x00 2. "P2,Event counter PMN 2 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable"
bitfld.long 0x00 1. "P1,Event counter PMN 1 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable"
bitfld.long 0x00 0. "P0,Event counter PMN 0 disable bit [Read/Write]" "Disabled/No effect,Enabled/Disable"
group.quad spr:0x30F53++0x00
line.long 0x00 "CLUSTERPMOVSSET_EL1,Cluster Overflow Flag Status Set (EL1)"
bitfld.long 0x00 31. "C,PMCCNTR overflow bit [Read/Write]" "No overflow/No effect,Overflow/Set"
newline
bitfld.long 0x00 5. "P5,PMN5 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set"
bitfld.long 0x00 4. "P4,PMN4 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set"
bitfld.long 0x00 3. "P3,PMN3 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set"
newline
bitfld.long 0x00 2. "P2,PMN2 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set"
bitfld.long 0x00 1. "P1,PMN1 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set"
bitfld.long 0x00 0. "P0,PMN0 Overflow set bit [Read/Write]" "No overflow/No effect,Overflow/Set"
group.quad spr:0x30F54++0x00
line.long 0x00 "CLUSTERPMOVSCLR_EL1,Cluster Overflow Flag Status Clear (EL1)"
eventfld.long 0x00 31. "C,PMCCNTR overflow bit [Read/Write]" "No overflow/No effect,Overflow/Clear"
newline
eventfld.long 0x00 5. "P5,PMN5 overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear"
eventfld.long 0x00 4. "P4,PMN4 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear"
eventfld.long 0x00 3. "P3,PMN3 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear"
newline
eventfld.long 0x00 2. "P2,PMN2 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear"
eventfld.long 0x00 1. "P1,PMN1 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear"
eventfld.long 0x00 0. "P0,PMN0 Overflow clear bit [Read/Write]" "No overflow/No effect,Overflow/Clear"
group.quad spr:0x30F55++0x00
line.long 0x00 "CLUSTERPMSELR_EL1,Cluster Event Counter Selection Register (EL1)"
bitfld.long 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
group.quad spr:0x30F56++0x00
line.long 0x00 "CLUSTERPMINTENSET_EL1,Cluster Interrupt Enable Set Register (EL1)"
bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable"
newline
bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable"
newline
bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable"
bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Request Enable [Read/Write]" "Disabled/No effect,Enabled/Enable"
group.quad spr:0x30F57++0x00
line.long 0x00 "CLUSTERPMINTENCLR_EL1,Cluster Interrupt Enable Clear Register (EL1)"
eventfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Request Disable [Read/Write]" "Disabled/No effect,Enabled/Disable"
newline
eventfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable"
eventfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable"
eventfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable"
newline
eventfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable"
eventfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable"
eventfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Disable [Read/Write]" "Disabled/No effect,Enabled/Disable"
if (((per.l(spr:0x30F55))&0x1F)<=0x05)
if (((per.q(spr:0x30F61))&0x80000000)==0x00)
group.quad spr:0x30F61++0x00
line.long 0x00 "CLUSTERPMXEVTYPER_EL1,Cluster Selected Event Type and Filter Register (EL1)"
bitfld.long 0x00 31. "S,Count events in EL1 disable" "No,Yes"
bitfld.long 0x00 29. "NS,Count events in non-secure EL2 disable" "No,Yes"
newline
hexmask.long.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
else
group.quad spr:0x30F61++0x00
line.long 0x00 "CLUSTERPMXEVTYPER_EL1,Cluster Selected Event Type and Filter Register (EL1)"
bitfld.long 0x00 31. "S,Count events in EL1 disable" "No,Yes"
bitfld.long 0x00 29. "NS,Count events in non-secure EL2 disable" "Yes,No"
newline
hexmask.long.word 0x00 0.--15. 1. "EVTCOUNT,Event number"
endif
else
rgroup.quad spr:0x30F61++0x00
line.long 0x00 "CLUSTERPMXEVTYPER_EL1,Cluster Selected Event Type and Filter Register (EL1)"
endif
group.quad spr:0x30F62++0x00
line.long 0x00 "CLUSTERPMXEVCNTR_EL1,Cluster Selected Event Counter Register (EL1)"
tree.open "Common Event Identification Registers"
rgroup.quad spr:0x30F64++0x00
line.long 0x00 "CLUSTERPMCEID0_EL1,Cluster Common Event Identification ID0 Register (EL1)"
bitfld.long 0x00 30. "CHAIN,Chain" "Reserved,Implemented"
bitfld.long 0x00 29. "BUS_CYCLES,Bus cycle" "Reserved,Implemented"
bitfld.long 0x00 26. "MEMORY_ERROR,Local memory error" "Reserved,Implemented"
newline
bitfld.long 0x00 25. "BUS_ACCESS,Bus access" "Reserved,Implemented"
bitfld.long 0x00 17. "CPU_CYCLES,CPU Cycle" "Reserved,Implemented"
rgroup.quad spr:0x30F65++0x00
line.long 0x00 "CLUSTERPMCEID1_EL1,Cluster Common Event Identification ID1 Register (EL1)"
bitfld.long 0x00 12. "L3D_CACHE_WB,Attributable Level 3 data or unified cache write-back" "Reserved,Implemented"
bitfld.long 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Reserved,Implemented"
bitfld.long 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Reserved,Implemented"
newline
bitfld.long 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Reserved,Implemented"
tree.end
newline
group.quad spr:0x30F66++0x00
line.long 0x00 "CLUSTERPMCLAIMSET_EL1,Cluster Performance Monitor Claim Tag Set Register (EL1)"
bitfld.long 0x00 3. "S[3],Set bit 3 [Read/Write]" "Not implemented/No effect,Implemented/Set"
bitfld.long 0x00 2. "S[2],Set bit 2 [Read/Write]" "Not implemented/No effect,Implemented/Set"
bitfld.long 0x00 1. "S[1],Set bit 1 [Read/Write]" "Not implemented/No effect,Implemented/Set"
bitfld.long 0x00 0. "S[0],Set bit 0 [Read/Write]" "Not implemented/No effect,Implemented/Set"
group.quad spr:0x30F67++0x00
line.long 0x00 "CLUSTERPMCLAIMCLR_EL1,Cluster Performance Monitor Claim Tag Clear Register (EL1)"
bitfld.long 0x00 3. "C[3],Clear bit 3 [Read/Write]" "Not implemented/No effect,Implemented/Set"
bitfld.long 0x00 2. "C[2],Clear bit 2 [Read/Write]" "Not implemented/No effect,Implemented/Set"
bitfld.long 0x00 1. "C[1],Clear bit 1 [Read/Write]" "Not implemented/No effect,Implemented/Set"
bitfld.long 0x00 0. "C[0],Clear bit 0 [Read/Write]" "Not implemented/No effect,Implemented/Set"
tree.end
tree.end
tree.end
tree.open "AArch32"
tree "ID Registers"
rgroup.long c15:0x0010++0x00
line.long 0x00 "ID_PFR0,Processor Feature Register 0"
bitfld.long 0x00 28.--31. "RAS,RAS extension version" "Reserved,Version 1,?..."
newline
bitfld.long 0x00 12.--15. "STATE3,Thumb Execution Environment (Thumb-EE) Support" "Not supported,?..."
bitfld.long 0x00 8.--11. "STATE2,Support for Jazelle extension" "Reserved,Trivial,?..."
bitfld.long 0x00 4.--7. "STATE1,Thumb Encoding Supported by the Processor Type" "Reserved,Reserved,Reserved,After Thumb-2,?..."
newline
bitfld.long 0x00 0.--3. "STATE0,ARM Instruction Set Support" "Reserved,Supported,?..."
rgroup.long c15:0x0110++0x00
line.long 0x00 "ID_PFR1,Processor Feature Register 1"
bitfld.long 0x00 28.--31. "GIC_CPU,GIC CPU Support" "Disabled,Enabled,?..."
newline
bitfld.long 0x00 16.--19. "GT,Generic Timer Support" "Reserved,Supported,?..."
bitfld.long 0x00 12.--15. "VE,Virtualization Extensions Support" "Reserved,Supported,?..."
bitfld.long 0x00 8.--11. "MPM,Microcontroller Programmer's Model Support" "Not supported,?..."
newline
bitfld.long 0x00 4.--7. "SE,Security Extensions Architecture v1 Support" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. "PM,Standard ARMv4 Programmer's Model Support" "Reserved,Supported,?..."
rgroup.long c15:0x0310++0x00
line.long 0x00 "ID_AFR0,Auxiliary Feature Register 0"
rgroup.long c15:0x0410++0x00
line.long 0x00 "ID_MMFR0,Memory Model Feature Register 0"
bitfld.long 0x00 28.--31. "IS,Indicates innermost shareability domain implemented" "Reserved,HW coherency,?..."
bitfld.long 0x00 24.--27. "FCSE,Fast Context Switch Memory Mappings Support" "Not supported,?..."
bitfld.long 0x00 20.--23. "AR,Auxiliary Register Support" "Reserved,Reserved,Control/Fault Status,?..."
newline
bitfld.long 0x00 16.--19. "TCM,TCM and Associated DMA Support" "Not supported,?..."
bitfld.long 0x00 12.--15. "SL,Shareability levels" "Reserved,Implemented 2 levels,?..."
bitfld.long 0x00 8.--11. "OSS,Outer Shareable Support" "Reserved,HW coherency,?..."
newline
bitfld.long 0x00 4.--7. "PMSA,Physical Memory System Architecture (PMSA) Support" "Not supported,?..."
bitfld.long 0x00 0.--3. "VMSA,Virtual Memory System Architecture (VMSA) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,VMSAv7/PXN/L-DESC,?..."
rgroup.long c15:0x0510++0x00
line.long 0x00 "ID_MMFR1,Memory Model Feature Register 1"
bitfld.long 0x00 28.--31. "BTB,Branch Predictor" "Reserved,Reserved,Reserved,Reserved,No flushing,?..."
bitfld.long 0x00 24.--27. "L1TCO,Test and Clean Operations on Data Cache/Harvard/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 20.--23. "L1UCMO,L1 Cache/All Maintenance Operations/Unified Architecture Support" "Not supported,?..."
newline
bitfld.long 0x00 16.--19. "L1HCMO,L1 Cache/All Maintenance Operations/Harvard Architecture Support" "Not supported,?..."
newline
bitfld.long 0x00 12.--15. "L1UCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Unified Architecture Support" "Not supported,?..."
bitfld.long 0x00 8.--11. "L1HCLMOSW,L1 Cache Line Maintenance Operations by Set and Way/Harvard Architecture Support" "Not supported,?..."
newline
bitfld.long 0x00 4.--7. "L1UCLMOMVA,L1 Cache Line Maintenance Operations by VA/Unified Architecture Support" "Not supported,?..."
newline
bitfld.long 0x00 0.--3. "L1HCLMOMVA,L1 Cache Line Maintenance Operations by VA/Harvard Architecture" "Not supported,?..."
rgroup.long c15:0x0610++0x00
line.long 0x00 "ID_MMFR2,Memory Model Feature Register 2"
bitfld.long 0x00 28.--31. "HAF,Hardware Access Flag Support" "Not supported,?..."
bitfld.long 0x00 24.--27. "WFI,Wait for Interrupt Stalling Support" "Reserved,Supported,?..."
bitfld.long 0x00 20.--23. "MBF,Memory Barrier Operations Support" "Reserved,Reserved,DSB/ISB/DMB,?..."
newline
bitfld.long 0x00 16.--19. "UTLBMO,TLB Maintenance Operations/Unified Architecture Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,S2 operations,?..."
newline
bitfld.long 0x00 12.--15. "HTLBMO,TLB Maintenance Operations/Harvard Architecture Support" "Not supported,?..."
bitfld.long 0x00 8.--11. "HL1CMRO,Cache Maintenance Range Operations/Harvard Architecture Support" "Not supported,?..."
bitfld.long 0x00 4.--7. "HL1BPCRO,Background Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
newline
bitfld.long 0x00 0.--3. "HL1FPCRO,Foreground Prefetch Cache Range Operations/Harvard Architecture Support" "Not supported,?..."
rgroup.long c15:0x0710++0x00
line.long 0x00 "ID_MMFR3,Memory Model Feature Register 3"
bitfld.long 0x00 28.--31. "SS,Supersection support" "Supported,?..."
bitfld.long 0x00 24.--27. "CMEMSZ,Cache memory size" "Reserved,Reserved,1TByte,?..."
bitfld.long 0x00 20.--23. "CW,Coherent walk" "Reserved,Not required,?..."
newline
bitfld.long 0x00 16.--19. "PAN,Privileged Access Never Support" "Reserved,Reserved,Extended,?..."
bitfld.long 0x00 12.--15. "MB,Maintenance broadcast Support" "Reserved,Reserved,Shareability/Defined behavior,?..."
bitfld.long 0x00 8.--11. "BPM,Invalidate Branch predictor Support" "Reserved,Reserved,Invalidate All/VA,?..."
newline
bitfld.long 0x00 4.--7. "CMSW,Cache maintenance by set/way" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. "CMMVA,Cache maintenance by MVA" "Reserved,Supported,?..."
rgroup.long c15:0x0620++0x00
line.long 0x00 "ID_MMFR4,Memory Model Feature Register 4"
bitfld.long 0x00 20.--23. "LSM,LSMAOE and NTLSMD bits support" "Not supported,?..."
bitfld.long 0x00 16.--19. "HD,Hierarchical Permission Disables Support" "Reserved,Reserved,Extended,?..."
bitfld.long 0x00 12.--15. "CNP,Common not Private support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 8.--11. "XNX,EL0/EL1 execute control distinction at stage2 bit support" "Reserved,Supported,?..."
bitfld.long 0x00 4.--7. "AC2,Indicates the extension of the HACTLR Register using HACTLR2" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. "SPECSEI,Describes whether the PE can generate SError interrupt exceptions" "Not possible,?..."
rgroup.long c15:0x0020++0x00
line.long 0x00 "ID_ISAR0,ID_ISAR0"
bitfld.long 0x00 24.--27. "DIVI,Divide Instructions Support" "Reserved,Reserved,T32/A32,?..."
bitfld.long 0x00 20.--23. "DEBI,Debug Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. "CI,Coprocessor Instructions Support" "Not supported,?..."
newline
bitfld.long 0x00 12.--15. "CBI,Combined Compare and Branch Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 8.--11. "BI,Bitfield Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 4.--7. "BCI,Bit Counting Instructions Support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 0.--3. "SI,Swap Instructions Support" "Not supported,?..."
rgroup.long c15:0x0120++0x00
line.long 0x00 "ID_ISAR1,ID_ISAR1"
bitfld.long 0x00 28.--31. "JI,Jazelle Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 24.--27. "INTI,Interwork Instructions Support" "Reserved,Reserved,Reserved,A32-BX like,?..."
bitfld.long 0x00 20.--23. "IMMI,Immediate Instructions Support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 16.--19. "ITEI,If Then Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 12.--15. "EXTI,Extend Instructions Support" "Reserved,Reserved,Full support,?..."
bitfld.long 0x00 8.--11. "EARI,Exception A and R Instructions Support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 4.--7. "EXIN,Exception in ARM Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 0.--3. "ENDI,Endian Instructions Support" "Reserved,Supported,?..."
rgroup.long c15:0x0220++0x00
line.long 0x00 "ID_ISAR2,ID_ISAR2"
bitfld.long 0x00 28.--31. "RI,Reversal Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 24.--27. "PSRI,PSR Instructions Support" "Not supported,Supported,?..."
bitfld.long 0x00 20.--23. "UMI,Advanced Unsigned Multiply Instructions Support" "Reserved,Reserved,UMAAL,?..."
newline
bitfld.long 0x00 16.--19. "SMI,Advanced Signed Multiply Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
newline
bitfld.long 0x00 12.--15. "MI,Multiply Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. "II,Multi-Access Interruptible Instructions Support" "Not supported,?..."
newline
bitfld.long 0x00 4.--7. "MHI,Memory Hint Instructions Support" "Reserved,Reserved,Reserved,Reserved,PLD/PLI/PLDW,?..."
bitfld.long 0x00 0.--3. "LSI,Load and Store Instructions Support" "Reserved,Reserved,Supported,?..."
rgroup.long c15:0x0320++0x00
line.long 0x00 "ID_ISAR3,ID_ISAR3"
bitfld.long 0x00 28.--31. "T32EE,Thumb-EE Extensions Support" "Not supported,?..."
bitfld.long 0x00 24.--27. "NOPI,True NOP Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 20.--23. "TCI,Thumb Copy Instructions Support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 16.--19. "TBI,Table Branch Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 12.--15. "SPI,Synchronization Primitive Instructions Support" "Reserved,Reserved,Supported,?..."
bitfld.long 0x00 8.--11. "SVCI,SVC Instructions Support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 4.--7. "SIMDI,Single Instruction Multiple Data (SIMD) Instructions Support" "Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. "SI,Saturate Instructions Support" "Reserved,Supported,?..."
rgroup.long c15:0x0420++0x00
line.long 0x00 "ID_ISAR4,ID_ISAR4"
bitfld.long 0x00 28.--31. "SWP_FRAC,Memory System Locking Support" "Not supported,?..."
bitfld.long 0x00 24.--27. "PSR_M_I,PSR_M Instructions Support" "Not supported,?..."
bitfld.long 0x00 20.--23. "SPRI,Synchronization Primitive instructions" "Supported,?..."
newline
bitfld.long 0x00 16.--19. "BI,Barrier Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 12.--15. "SMCI,SMC Instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 8.--11. "WBI,Write-Back Instructions Support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 4.--7. "WSI,With-Shift Instructions Support" "Reserved,Reserved,Reserved,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. "UI,Unprivileged Instructions Support" "Reserved,Reserved,Supported,?..."
rgroup.long c15:0x0520++0x00
line.long 0x00 "ID_ISAR5,Instruction Set Attribute Register 5"
bitfld.long 0x00 24.--27. "RDM,Rounding Double Multiply Add/Subtract instructions Support" "Reserved,Supported,?..."
bitfld.long 0x00 16.--19. "CRC32,Indicates whether CRC32 instructions are implemented in AArch32 state" "Reserved,Supported,?..."
bitfld.long 0x00 12.--15. "SHA2,Indicates whether SHA2 instructions are implemented in AArch32 state" "Not supported,Supported,?..."
newline
bitfld.long 0x00 8.--11. "SHA1,Indicates whether SHA1 instructions are implemented in AArch32 state" "Not supported,Supported,?..."
bitfld.long 0x00 4.--7. "AES,Indicates whether AES instructions are implemented in AArch32 state" "Not supported,Reserved,Supported,?..."
bitfld.long 0x00 0.--3. "SEVL,Indicates whether SEVL instruction is implemented in AArch32" "Reserved,Supported,?..."
rgroup.long c15:0x0720++0x00
line.long 0x00 "ID_ISAR6,Instruction Set Attribute Register 6"
bitfld.long 0x00 4.--7. "DP,Indicates UDOT and SDOT instructions in AArch32 state" "Reserved,Implemented,?..."
rgroup.long c15:0x0210++0x00
line.long 0x00 "ID_DFR0,Debug Feature Register"
bitfld.long 0x00 24.--27. "PMM,Performance Monitor Model Support" "Reserved,Reserved,Reserved,Reserved,Supported/16bit evtCount,?..."
bitfld.long 0x00 20.--23. "MDM_MM,Memory-mapped Debug Model for M profile processors Support" "Not supported,?..."
bitfld.long 0x00 16.--19. "TM_MM,Trace Model (Memory-Mapped) Support" "Reserved,Supported,?..."
newline
bitfld.long 0x00 12.--15. "CTM_CB,Coprocessor-Based Trace Debug Model Support" "Not supported,?..."
bitfld.long 0x00 4.--7. "SDM_CB,Secure Debug Model (Coprocessor) Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..."
newline
bitfld.long 0x00 0.--3. "CDM_CB,Coprocessor Debug Model Support" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Support v8.2,?..."
rgroup.long c15:0x0000++0x00
line.long 0x00 "MIDR,Main ID Register"
hexmask.long.byte 0x0 24.--31. 1. "IMPL,Implementer code"
bitfld.long 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 16.--19. "ARCH, Architecture" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,CPUID scheme"
newline
hexmask.long.word 0x00 4.--15. 1. "PART,Primary Part Number"
bitfld.long 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
rgroup.long c15:0x0200++0x00
line.long 0x00 "TCMTR,TCM Type Register"
rgroup.long c15:0x0300++0x00
line.long 0x00 "TLBTR,TLB Type Register"
bitfld.long 0x00 0. "NU,Not Unified TLB" "Unified TLB,Separate Instruction and Data TLBs"
rgroup.long c15:0x0500++0x00
line.long 0x00 "MPIDR,Multiprocessor Affinity Register"
bitfld.long 0x00 30. "U,Processor is part of a multiprocessor or uniprocessor system" "Multiprocessor,?..."
bitfld.long 0x00 24. "MT,Lowest level of affinity consist of logical processors" "Not implemented,Implemented"
newline
hexmask.long.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Identifies different clusters within the system"
bitfld.long 0x00 8.--15. "AFF1,Affinity level 1. Identifies individual cores within the local FCM cluster" "CORE0,CORE1,CORE2,CORE3,CORE4,CORE5,CORE6,CORE7,?..."
hexmask.long.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. Identifies individual threads within a multi-threaded core"
rgroup.long c15:0x0600++0x00
line.long 0x00 "REVIDR,Revision ID Register"
rgroup.long c15:0x1700++0x00
line.long 0x00 "AIDR,Auxiliary ID Register"
tree.end
tree "System Control and Configuration"
group.long c15:0x0001++0x00
line.long 0x0 "SCTLR,Control Register"
bitfld.long 0x00 30. "TE,T32 exception enable" "A32,T32"
bitfld.long 0x00 29. "AFE,Access Flag Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 28. "TRE,TEX remap enable" "Disabled,Enabled"
bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big"
newline
bitfld.long 0x00 23. "SPAN,Set Privileged Access Never" "Disabled,Enabled"
bitfld.long 0x00 20. "UWXN,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced"
newline
bitfld.long 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced"
bitfld.long 0x00 18. "NTWE,Not trap WFE" "No,Yes"
newline
bitfld.long 0x00 16. "NTWI,Not trap WFI" "No,Yes"
bitfld.long 0x00 13. "V,Base Location of Exception Registers" "VBAR value,0xFFFF0000"
newline
bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled"
bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes"
newline
bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled"
bitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled"
newline
bitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Reserved,No Trap"
bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled"
bitfld.long 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled"
rgroup.quad c15:0x100F0++0x01
line.quad 0x00 "CPUACTLR,CPU Auxiliary Control Register"
if corename()=="CORTEXA75"
rgroup.quad c15:0x10F11++0x01
line.quad 0x00 "CPUACTLR2,CPU Auxiliary Control Register 2"
endif
if corename()=="CORTEXA75"
group.quad c15:0x140F0++0x01
line.quad 0x00 "CPUECTLR,CPU Extended Control Register"
bitfld.quad 0x00 22.--23. "L4_STREAM,Threshold for direct stream to L4 cache on store" "512KB,1024KB,2048KB,Disabled"
bitfld.quad 0x00 20.--21. "L3_STREAM,Threshold for direct stream to L3 cache on store" "64KB,256KB,512KB,Disabled"
newline
bitfld.quad 0x00 18.--19. "L2_STREAM,Threshold for direct stream to L2 cache on store" "16KB,64KB,128KB,Disabled"
bitfld.quad 0x00 10. "L3PF,Enable L3 prefetch requests sent by the stride prefetcher" "Disabled,Enabled"
newline
bitfld.quad 0x00 9. "L2PF,Enable L2 prefetch requests sent by the stride prefetcher" "Disabled,Enabled"
bitfld.quad 0x00 8. "L1PF,Enable L1 prefetch requests sent by the stride prefetcher" "Disabled,Enabled"
newline
bitfld.quad 0x00 7. "RPF,Enable L2 region prefetch requests" "Disabled,Enabled"
bitfld.quad 0x00 6. "MMUPF,Enable MMU prefetch requests" "Disabled,Enabled"
newline
bitfld.quad 0x00 5. "RPF_AGGRO,L2 region prefetcher aggressivity" "Longer,Shorter"
bitfld.quad 0x00 1. "RNSD_EXCL,Enables signaling of cacheable Exclusive loads on the internal interface between the core and the DSU" "Not use,Use"
newline
bitfld.quad 0x00 0. "EXTLLC,Type of last-level cache that is present in the system" "Internal,External"
elif corename()=="CORTEXA55"
group.quad c15:0x140F0++0x01
line.quad 0x00 "CPUECTLR,CPU Extended Control Register"
bitfld.quad 0x00 38.--39. "ATOM,Force most cacheable atomic instructions to be executed far in the L3 cache or beyond and near in the L1 cache" "Near - hit/unique | Far - miss/shared,Near,Far,Near - load | Far - store"
bitfld.quad 0x00 37. "L2FLUSH,L2 cache flush" "Enabled,Disabled"
newline
bitfld.quad 0x00 29.--30. "L3WSCTL,Write streaming no-L3-allocate threshold" "128th line,1024th line,4096th line,Disabled"
bitfld.quad 0x00 27.--28. "L2WSCTL,Write streaming no-L2-allocate threshold" "16th line,128th line,512th line,Disabled"
newline
bitfld.quad 0x00 25.--26. "L1WSCTL,Write streaming no-L1-allocate threshold" "4th line,64th line,128th line,Disabled"
bitfld.quad 0x00 13.--15. "L1PCTL,L1 Data prefetch control. Maximum number of outstanding data prefetches allowed in the L1 memory system" "Prefetch disabled,1,2,3,4,5,6,7"
newline
bitfld.quad 0x00 10.--12. "L3PCTL,L3 Data prefetch control. Maximum number of outstanding data prefetches allowed that can be sent to the L3 memory system" "16 lines,32 lines,Reserved,Reserved,Disabled,2 lines,4 lines,8 lines"
bitfld.quad 0x00 0. "EXTLLC,Type of last-level cache that is present in the system" "Internal,External"
endif
rgroup.long c15:0x608F++0x00
line.long 0x00 "CPUPSELR,CPU Private Selection Register"
rgroup.quad c15:0x618F++0x01
line.quad 0x00 "CPUPCR,CPU Private Control Register"
rgroup.quad c15:0x638F++0x01
line.quad 0x00 "CPUPMR,CPU Private Mask Register"
rgroup.quad c15:0x628F++0x01
line.quad 0x00 "CPUPOR,CPU Private Operation Register"
group.long c15:0x0101++0x00
line.long 0x00 "ACTLR,Auxiliary Control Register"
bitfld.long 0x00 12. "CLUSTERPMUEN,Performance Management Registers access control" "Not accessible,Accessible"
bitfld.long 0x00 11. "SMEN,Scheme Management Registers access control" "Not accessible,Accessible"
newline
bitfld.long 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible"
bitfld.long 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible"
newline
bitfld.long 0x00 5. "ERXPFGEN,Error Record Registers access control" "Not accessible,Accessible"
bitfld.long 0x00 1. "ECTLREN, Extended Control Registers access control" "Not accessible,Accessible"
newline
bitfld.long 0x00 0. "ACTLREN,Auxiliary Control Registers access control" "Not accessible,Accessible"
rgroup.long c15:0x0301++0x00
line.long 0x00 "ACTLR2,Auxiliary Control Register 2"
group.long c15:0x0201++0x00
line.long 0x00 "CPACR,Coprocessor Access Control Register 1"
bitfld.long 0x00 31. "ASEDIS,Disable Advanced SIMD Extension functionality" "No,Yes"
bitfld.long 0x00 22.--23. "CP11,Coprocessor access control" "Denied,Privileged,Reserved,Full"
newline
bitfld.long 0x00 20.--21. "CP10,Coprocessor access control" "Denied,Privileged,Reserved,Full"
group.long c15:0x0011++0x00
line.long 0x00 "SCR,Secure Configuration Register"
bitfld.long 0x00 13. "TWE,Traps WFE instructions to Monitor mode" "No trap,Trap"
bitfld.long 0x00 12. "TWI,Traps WFI instructions to Monitor mode" "No trap,Trap"
newline
bitfld.long 0x00 9. "SIF,Secure Instruction Fetch" "Permitted,Not permitted"
bitfld.long 0x00 8. "HCE,Hypervisor Call instruction enable" "No,Yes"
newline
bitfld.long 0x00 7. "SCD,Secure Monitor Call disable" "No,Yes"
bitfld.long 0x00 6. "NET,Disables early termination" "Enabled,Disabled"
newline
bitfld.long 0x00 5. "AW,Controls whether the Non-secure world can modify the A-bit in the CPSR" "Not allowed,Allowed"
bitfld.long 0x00 4. "FW,Controls whether the Non-secure world can modify the F-bit in the CPSR" "Not allowed,Allowed"
newline
bitfld.long 0x00 3. "EA,External Abort exceptions handled in Abort mode or Monitor mode" "Abort,Monitor"
bitfld.long 0x00 2. "FIQ,FIQ exceptions handled in Abort mode or Monitor mode" "FIQ,Monitor"
newline
bitfld.long 0x00 1. "IRQ,IRQ exceptions handled in Abort mode or Monitor mode" "IRQ,Monitor"
bitfld.long 0x00 0. "NS,Secure mode " "Secure,Non-secure"
group.long c15:0x0111++0x00
line.long 0x00 "SDER,Secure Debug Enable Register"
bitfld.long 0x00 1. "SUNIDEN,Secure User Non-Invasive Debug Enable" "Disabled,Enabled"
bitfld.long 0x00 0. "SUIDEN,Secure User Invasive Debug Enable" "Disabled,Enabled"
group.long c15:0x0131++0x00
line.long 0x00 "SDCR,Secure Debug Control Register"
bitfld.long 0x00 21. "EPMAD,External debugger access to Performance Monitors Registers disabled" "No,Yes"
bitfld.long 0x00 20. "EDAD,External debugger access to breakpoint and watchpoint Registers disabled" "No,Yes"
newline
bitfld.long 0x00 17. "SPME,Secure performance monitors enable" "Disabled,Enabled"
bitfld.long 0x00 14.--15. "SPD,AArch32 secure privileged debug" "Legacy,Reserved,Disabled,Enabled"
group.long c15:0x0211++0x00
line.long 0x00 "NSACR,Non-Secure Access Control Register"
bitfld.long 0x00 15. "NSASEDIS,Disable Non-secure Advanced SIMD Extension functionality" "No,Yes"
bitfld.long 0x00 11. "CP11,Coprocessor 11 in the Non-secure World Access Permission" "Denied,Permitted"
newline
bitfld.long 0x00 10. "CP10,Coprocessor 10 in the Non-secure World Access Permission" "Denied,Permitted"
group.long c15:0x000C++0x00
line.long 0x00 "VBAR,Vector Base Address Register"
hexmask.long 0x00 5.--31. 0x20 "VBA,Vector Base Address"
group.long c15:0x010C++0x00
line.long 0x00 "MVBAR,Monitor Vector Base Address Register"
hexmask.long 0x00 5.--31. 0x20 "MVBADDR,Monitor Vector Base Address"
rgroup.long c15:0x001C++0x00
line.long 0x00 "ISR,Interrupt Status Register"
bitfld.long 0x00 8. "A,Asynchronous external abort pending bit" "Not pending,Pending"
bitfld.long 0x00 7. "I,IRQ pending bit" "Not pending,Pending"
newline
bitfld.long 0x00 6. "F,FIQ pending bit" "Not pending,Pending"
group.long c15:0x020C++0x00
line.long 0x00 "RMR,Reset Management Register"
bitfld.long 0x00 1. "RR,Reset Request" "Not requested,Requested"
bitfld.long 0x00 0. "AA64,Determines which execution state the processor boots into after a warm reset" "AArch32,AArch64"
if corename()=="CORTEXA75"
rgroup.long c15:0x010C++0x00
line.long 0x00 "RVBAR,Reset Vector Base Address Register"
hexmask.long 0x00 2.--31. 0x4 "RA,Reset Address"
endif
rgroup.long c15:0x0015++0x00
line.long 0x00 "ADFSR,Auxiliary Data Fault Status Register"
rgroup.long c15:0x0115++0x00
line.long 0x00 "AIFSR,Auxiliary Instruction Fault Status Register"
if corename()=="CORTEXA75"
if (((per.l(c15:0x0202))&0x80000000)==0x00000000)
group.long c15:0x0005++0x00
line.long 0x00 "DFSR,Data Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Uncorrected/Unrecoverable,?..."
newline
bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault"
bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 4.--7. "DOMAIN,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15"
newline
bitfld.long 0x00 0.--3. 10. "FS,Generated Exception Type" "Reserved,Alignment,Debug,Access flag/L1,Instruction,Translation/L1,Access flag/L2,Translation/L1,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,SError,Reserved,SError/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..."
group.long c15:0x0105++0x00
line.long 0x00 "IFSR,Instruction Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 0.--3. 10. "FS,Fault status bits" "Reserved,Alignment,Debug,Access flag/L1,Reserved,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..."
else
group.long c15:0x0005++0x00
line.long 0x00 "DFSR,Data Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Uncorrected/Unrecoverable,?..."
newline
bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault"
bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Async. SError,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity error/ECC on TTW/L2,Sync. parity error/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..."
group.long c15:0x0105++0x00
line.long 0x00 "IFSR,Instruction Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
endif
elif corename()=="CORTEXA55"
if (((per.l(c15:0x0202))&0x80000000)==0x00000000)
group.long c15:0x0005++0x00
line.long 0x00 "DFSR,Data Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" ",Uncorrected/Unrecoverable,?..."
newline
bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault"
bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 4.--7. "DOMAIN,Domain Accessed When a Data Fault Occurs" "D0,D1,D2,D3,D4,D5,D6,D7,D8,D9,D10,D11,D12,D13,D14,D15"
newline
bitfld.long 0x00 0.--3. 10. "FS,Fault status bits" "Reserved,Alignment,Debug,Access flag/L1,Reserved,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..."
group.long c15:0x0105++0x00
line.long 0x00 "IFSR,Instruction Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 0.--3. 10. "FS,Fault Status" "Reserved,Reserved,Debug event,Access flag/section,Reserved,Translation/section,Access flag/page,Translation/page,Sync. external/non-translation,Domain/section,Reserved,Domain/page,Sync. external/on TTW/L1,Permission/section,Sync. external/on TTW/L2,Permission/page,TLB conflict,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Sync. parity/on memory access,Reserved,Reserved,Sync. parity/on TTW/L1,Reserved,Sync. parity/on TTW/L2,?..."
else
group.long c15:0x0005++0x00
line.long 0x00 "DFSR,Data Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" ",Uncorrected/Unrecoverable,?..."
newline
bitfld.long 0x00 13. "CM,Cache maintenance fault" "No fault,Fault"
bitfld.long 0x00 11. "WNR,Access Caused an Abort Type" "Read,Write"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Async. SError,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity error/ECC on TTW/L2,Sync. parity error/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..."
group.long c15:0x0105++0x00
line.long 0x00 "IFSR,Instruction Fault Status Register"
bitfld.long 0x00 16. "FNV,FAR not Valid" "Valid,Not valid"
bitfld.long 0x00 12. "EXT,External Abort Qualifier" "0,1"
newline
bitfld.long 0x00 9. "LPAE,Descriptor translation table format" "Short,Long"
bitfld.long 0x00 0.--5. "STATUS,Fault status bits" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC on TTW/L3,Reserved,Alignment,Debug,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
endif
endif
group.long c15:0x0006++0x00
line.long 0x00 "DFAR,Data Fault Address Register"
group.long c15:0x0206++0x00
line.long 0x00 "IFAR,Instruction Fault Address Register"
rgroup.long c15:0x000D++0x00
line.long 0x00 "FCSEIDR,FCSE PID Register"
group.long c15:0x020D++0x00
line.long 0x00 "TPIDRURW,PL0 Read/Write Software Thread ID Register"
group.long c15:0x030D++0x00
line.long 0x00 "TPIDRURO,PL0 Read-Only Software Thread ID Register"
group.long c15:0x040D++0x00
line.long 0x00 "TPIDRPRW,PL1 Software Thread ID Register"
if corename()=="CORTEXA75"
if (((per.l(c15:0x0202))&0x80000000)==0x00000000)
group.long c15:0x011C++0x00
line.long 0x00 "DISR,Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor"
bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Alignment,Debug,Access/L1,Instruction,Translation/L1,Access/L2,Translation/L2,Non-translation/sync. external,Domain/L1,Reserved,Domain/L2,L1/external,Permission/L1,L2/external,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,SError interrupt,Reserved,SError interrupt parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..."
else
group.long c15:0x011C++0x00
line.long 0x00 "DISR,Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor"
bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,SError interrupt,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/on memory access,SError interrupt/a parity or ECC/on memory access,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/L1,Sync. parity/on memory access/on TTW/L2,Sync. parity/on memory access/on TTW/L3,Reserved,Alignment,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..."
endif
elif corename()=="CORTEXA55"
if (((per.l(c15:0x0202))&0x80000000)==0x00000000)
group.long c15:0x011C++0x00
line.long 0x00 "DISR,Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor"
bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Alignment,Debug,Access/L1,Instruction,Translation/L1,Access/L2,Translation/L2,Non-translation/sync. external,Domain/L1,Reserved,Domain/L2,L1/external,Permission/L1,L2/external,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,SError interrupt,Reserved,SError interrupt parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..."
else
group.long c15:0x011C++0x00
line.long 0x00 "DISR,Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor"
bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Address size/TTBR0/TTBR1,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation fault/L2,Translation fault/L3,Reserved,Access flag fault/L1,Access flag fault/L2,Access flag fault/L3,Reserved,Permission fault/L1,Permission fault/L2,Permission fault/L3,Sync. external,SError interrupt,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/on memory access,SError interrupt/parity or ECC/on memory access,Reserved,Reserved,Reserved,Sync. parity/on memory access/on TTW/L1,Sync. parity/on memory access/on TTW/L2,Sync. parity/on memory access/on TTW/L3,Reserved,Alignment,Debug event,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Lockdown,Unsupp exclusive access,?..."
endif
endif
if corename()=="CORTEXA75"
if (((per.l(c15:0x0202))&0x80000000)==0x00000000)
group.long c15:0x411C++0x00
line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor"
bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..."
else
group.long c15:0x411C++0x00
line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "UC/Uncontainable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,Long-descriptor"
bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..."
endif
elif corename()=="CORTEXA55"
if (((per.l(c15:0x0202))&0x80000000)==0x00000000)
group.long c15:0x411C++0x00
line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" "Short-descriptor,?..."
bitfld.long 0x00 0.--3. 10. "FS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..."
else
group.long c15:0x411C++0x00
line.long 0x00 "VDISR,Virtual Deferred Interrupt Status Register"
bitfld.long 0x00 31. "A,Asynchronous SError interrupt deferred" "No,Yes"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
newline
bitfld.long 0x00 9. "LPAE,Format" ",Long-descriptor"
bitfld.long 0x00 0.--5. "STATUS,Fault Status Code" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Async SError interrupt,?..."
endif
endif
group.long c15:0x4325++0x00
line.long 0x00 "VDFSR,Virtual SError Exception Syndrome Register"
bitfld.long 0x00 14.--15. "AET,Asynchronous Error Type" "Reserved,UEU/Unrecoverable,?..."
tree "System Instructions"
wgroup.long c15:0x0068++0x00
line.long 0x00 "DTLBIALL,DTLBIALL"
wgroup.long c15:0x0268++0x00
line.long 0x00 "DTLBIASID,DTLBIASID"
wgroup.long c15:0x0168++0x00
line.long 0x00 "DTLBIMVA,DTLBIMVA"
wgroup.long c15:0x0058++0x00
line.long 0x00 "ITLBIALL,ITLBIALL"
wgroup.long c15:0x0258++0x00
line.long 0x00 "ITLBIASID,ITLBIASID"
wgroup.long c15:0x0158++0x00
line.long 0x00 "ITLBIMVA,ITLBIMVA"
wgroup.long c15:0x05A7++0x00
line.long 0x00 "CP15DMB,CP15DMB"
wgroup.long c15:0x04A7++0x00
line.long 0x00 "CP15DSB,CP15DSB"
wgroup.long c15:0x0457++0x00
line.long 0x00 "CP15ISB,CP15ISB"
wgroup.long c15:0x0657++0x00
line.long 0x00 "BPIALL,BPIALL"
wgroup.long c15:0x0617++0x00
line.long 0x00 "BPIALLIS,BPIALLIS"
wgroup.long c15:0x0757++0x00
line.long 0x00 "BPIMVA,BPIMVA"
wgroup.long c15:0x0017++0x00
line.long 0x00 "ICIALLUIS,ICIALLUIS"
wgroup.long c15:0x0057++0x00
line.long 0x00 "ICIALLU,ICIALLU"
wgroup.long c15:0x0157++0x00
line.long 0x00 "ICIMVAU,ICIMVAU"
wgroup.long c15:0x3147++0x00
line.long 0x00 "DCZVA,DCZVA"
wgroup.long c15:0x0167++0x00
line.long 0x00 "DCIMVAC,DCIMVAC"
wgroup.long c15:0x0267++0x00
line.long 0x00 "DCISW,DCISW"
wgroup.long c15:0x01A7++0x00
line.long 0x00 "DCCMVAC,DCCMVAC"
wgroup.long c15:0x02A7++0x00
line.long 0x00 "DCCSW,DCCSW"
wgroup.long c15:0x01B7++0x00
line.long 0x00 "DCCMVAU,DCCMVAU"
wgroup.long c15:0x01E7++0x00
line.long 0x00 "DCCIMVAC,DCCIMVAC"
wgroup.long c15:0x02E7++0x00
line.long 0x00 "DCCISW,DCCISW"
wgroup.long c15:0x0087++0x00
line.long 0x00 "ATS1CPR,ATS1CPR"
wgroup.long c15:0x0097++0x00
line.long 0x00 "ATS1CPRP,ATS1CPRP"
wgroup.long c15:0x0187++0x00
line.long 0x00 "ATS1CPW,ATS1CPW"
wgroup.long c15:0x0197++0x00
line.long 0x00 "ATS1CPWP,ATS1CPWP"
wgroup.long c15:0x0287++0x00
line.long 0x00 "ATS1CUR,ATS1CUR"
wgroup.long c15:0x0387++0x00
line.long 0x00 "ATS1CUW,ATS1CUW"
wgroup.long c15:0x0487++0x00
line.long 0x00 "ATS12NSOPR,ATS12NSOPR"
wgroup.long c15:0x0587++0x00
line.long 0x00 "ATS12NSOPW,ATS12NSOPW"
wgroup.long c15:0x0687++0x00
line.long 0x00 "ATS12NSOUR,ATS12NSOUR"
wgroup.long c15:0x0787++0x00
line.long 0x00 "ATS12NSOUW,ATS12NSOUW"
wgroup.long c15:0x4087++0x00
line.long 0x00 "ATS1HR,ATS1HR"
wgroup.long c15:0x4187++0x00
line.long 0x00 "ATS1HW,ATS1HW"
; Commented Registers are not described in ARMv8 reference manual (DDI0407A)
; wgroup.long c15:0x6087++0x00
; line.long 0x00 "ATS1E3R,ATS1E3R"
; wgroup.long c15:0x6187++0x00
; line.long 0x00 "ATS1E3W,ATS1E3W"
wgroup.long c15:0x0078++0x00
line.long 0x00 "TLBIALL,TLBIALL"
wgroup.long c15:0x0178++0x00
line.long 0x00 "TLBIMVA,TLBIMVA"
wgroup.long c15:0x4178++0x00
line.long 0x00 "TLBIMVAH,Invalidate Hypervisor unified TLB entry by MVA"
wgroup.long c15:0x0278++0x00
line.long 0x00 "TLBIASID,TLBIASID"
wgroup.long c15:0x0378++0x00
line.long 0x00 "TLBIMVAA,TLBIMVAA"
wgroup.long c15:0x0578++0x00
line.long 0x00 "TLBIMVAL,TLBIMVAL"
wgroup.long c15:0x0778++0x00
line.long 0x00 "TLBIMVAAL,TLBIMVAAL"
wgroup.long c15:0x0038++0x00
line.long 0x00 "TLBIALLIS,TLBIALLIS"
wgroup.long c15:0x0138++0x00
line.long 0x00 "TLBIMVAIS,TLBIMVAIS"
wgroup.long c15:0x0238++0x00
line.long 0x00 "TLBIASIDIS,TLBIASIDIS"
wgroup.long c15:0x0338++0x00
line.long 0x00 "TLBIMVAAIS,TLBIMVAAIS"
wgroup.long c15:0x0538++0x00
line.long 0x00 "TLBIMVALIS,TLBIMVALIS"
wgroup.long c15:0x0738++0x00
line.long 0x00 "TLBIMVAALI,TLBIMVAALI"
wgroup.long c15:0x4108++0x00
line.long 0x00 "TLBIIPAS2IS,TLBIIPAS2IS"
wgroup.long c15:0x4508++0x00
line.long 0x00 "TLBIIPAS2LIS,TLBIIPAS2LIS"
wgroup.long c15:0x4148++0x00
line.long 0x00 "TLBIIPAS2,TLBIIPAS2"
wgroup.long c15:0x4548++0x00
line.long 0x00 "TLBIIPAS2L,TLBIIPAS2L"
wgroup.long c15:0x4178++0x00
line.long 0x00 "TLBIIPAS2L,TLBIIPAS2L"
wgroup.long c15:0x4578++0x00
line.long 0x00 "TLBIMVALH,TLBIMVALH"
; wgroup.long c15:0x4678++0x00
; line.long 0x00 "TLBIVMALLS12E1,TLBIVMALLS12E1"
wgroup.long c15:0x4138++0x00
line.long 0x00 "TLBIMVAHIS,TLBIMVAHIS"
wgroup.long c15:0x4538++0x00
line.long 0x00 "TLBIMVALHIS,TLBIMVALHIS"
; wgroup.long c15:0x4638++0x00
; line.long 0x00 "TLBIVMALLS12E1IS,TLBIVMALLS12E1IS"
; wgroup.long c15:0x6178++0x00
; line.long 0x00 "TLBIVAE3,TLBIVAE3"
; wgroup.long c15:0x6578++0x00
; line.long 0x00 "TLBIVALE3,TLBIVALE3"
; wgroup.long c15:0x6138++0x00
; line.long 0x00 "TLBIVAE3IS,TLBIVAE3IS"
; wgroup.long c15:0x6538++0x00
; line.long 0x00 "TLBIVALE3IS,TLBIVALE3IS"
wgroup.long c15:0x4078++0x00
line.long 0x00 "TLBIALLH,TLBIALLH"
wgroup.long c15:0x4038++0x00
line.long 0x00 "TLBIALLHIS,TLBIALLHIS"
wgroup.long c15:0x4478++0x00
line.long 0x00 "TLBIALLNSNH,TLBIALLNSNH"
wgroup.long c15:0x4438++0x00
line.long 0x00 "TLBIALLNSNHIS,TLBIALLNSNHIS"
; wgroup.long c15:0x6078++0x00
; line.long 0x00 "TLBIALLE3,TLBIALLE3"
; wgroup.long c15:0x6038++0x00
; line.long 0x00 "TLBIALLE3IS,TLBIALLE3IS"
tree.end
tree.end
tree "Memory Management Unit"
group.long c15:0x0001++0x0
line.long 0x0 "SCTLR,Control Register"
bitfld.long 0x00 30. "TE,T32 exception enable" "A32,T32"
bitfld.long 0x00 29. "AFE,Access Flag Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 28. "TRE,TEX remap enable" "Disabled,Enabled"
bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big"
newline
bitfld.long 0x00 23. "SPAN,Set Privileged Access Never" "Disabled,Enabled"
bitfld.long 0x00 20. "UWXN,Unprivileged write permission implies PL1 Execute Never" "Not forced,Forced"
newline
bitfld.long 0x00 19. "WXN,Write permission implies PL1 Execute Never" "Not forced,Forced"
bitfld.long 0x00 18. "NTWE,Not trap WFE" "No,Yes"
newline
bitfld.long 0x00 16. "NTWI,Not trap WFI" "No,Yes"
bitfld.long 0x00 13. "V,Base Location of Exception Registers" "VBAR value,0xFFFF0000"
newline
bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled"
bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes"
newline
bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled"
rbitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled"
newline
rbitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Reserved,No Trap"
bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled"
bitfld.long 0x00 0. "M,MMU or Protection Unit" "Disabled,Enabled"
group.long c15:0x4001++0x0
line.long 0x00 "HSCTLR,Hypervisor System Control Register"
bitfld.long 0x00 30. "TE,Thumb exception enable" "A32,T32"
bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big"
newline
bitfld.long 0x00 19. "WXN,Write permission implies XN" "Not forced,Forced"
bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes"
bitfld.long 0x00 7. "ITD,IT instruction functionality Disabled" "No,?..."
newline
bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled"
rbitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled"
newline
rbitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Trapped,No Trapped"
bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled"
bitfld.long 0x00 0. "M,Enable address translation" "Disabled,Enabled"
if (((per.l(c15:0x0202))&0x80000000)==0x00000000)
group.long c15:0x0002++0x00
line.long 0x00 "TTBR0,Translation Table Base Registers"
hexmask.long 0x00 7.--31. 0x80 "TTB0,Translation table base address"
bitfld.long 0x00 6. 0. "IRGN,Inner region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 5. "NOS,Not Outer Shareable bit" "Outer,Inner"
bitfld.long 0x00 3.--4. "RGN,RGN" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 1. "S,Shareable bit" "Non-shareable,Shareable"
group.long c15:0x0102++0x00
line.long 0x00 "TTBR1,Translation Table Base Registers"
hexmask.long 0x00 7.--31. 0x80 "TTB1,Translation table base address"
bitfld.long 0x00 6. 0. "IRGN,Inner region bits" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 5. "NOS,Not Outer Shareable bit" "Outer,Inner"
bitfld.long 0x00 3.--4. "RGN,RGN" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 1. "S,Shareable" "Non-shareable,Shareable"
group.long c15:0x0202++0x00
line.long 0x00 "TTBCR,Translation Table Base Control Register"
bitfld.long 0x00 31. "EAE,Extended Address Enable" "32-bit,40-bit"
bitfld.long 0x00 5. "PD1,Translation table walk disable for translations using TTBR1" "No,Yes"
newline
bitfld.long 0x00 4. "PD0,Translation table walk disable for translations using TTBR0" "No,Yes"
bitfld.long 0x00 0.--2. "N,Width of the base address held in TTBR0" "0,1,2,3,4,5,6,7"
else
group.quad c15:0x10020++0x01
line.quad 0x00 "TTBR0,Translation Table Base Registers"
hexmask.quad.byte 0x00 48.--55. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 1.--47. 1. "BADDR,Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.quad c15:0x11020++0x01
line.quad 0x00 "TTBR1,Translation Table Base Registers"
hexmask.quad.byte 0x00 48.--55. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 1.--47. 1. "BADDR,Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.long c15:0x0202++0x00
line.long 0x00 "TTBCR,Translation Table Base Control Register"
bitfld.long 0x00 31. "EAE,Extended Address Enable" "32-bit,40-bit"
bitfld.long 0x00 28.--29. "SH1,Shareability attributes for the memory associated with the translation table walks using TTBR1" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.long 0x00 26.--27. "ORGN1,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
bitfld.long 0x00 24.--25. "IRGN1,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR1" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 23. "EPD1,Translation Walk Disable for TTBR1" "No,Yes"
bitfld.long 0x00 22. "A1,Selects whether TTBR0 or TTBR1 defines the ASID" "TTBR0,TTBR1"
newline
bitfld.long 0x00 16.--18. "T1SZ,The Size offset of the TTBR1 addressed region" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 12.--13. "SH0,Shareability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-Shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.long 0x00 10.--11. "ORGN0,Outer Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
bitfld.long 0x00 8.--9. "IRGN0,Inner Cacheability attributes for the memory associated with the translation table walks using TTBR0/HTTBR" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 7. "EPD0,Translation Walk Disable for TTBR0 region" "No,Yes"
bitfld.long 0x00 6. "T2E,TTBCR2 Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 0.--2. "T0SZ,The Size offset of the TTBR0/HTTBR addressed region" "0,1,2,3,4,5,6,7"
endif
if corename()=="CORTEXA55"
group.long c15:0x0302++0x00
line.long 0x00 "TTBCR2,Translation Table Base Control Register 2"
bitfld.long 0x00 18. "HWU162,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible"
bitfld.long 0x00 17. "HWU161,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible"
newline
bitfld.long 0x00 16. "HWU160,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible"
bitfld.long 0x00 15. "HWU159,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR1" "Not possible,Possible"
newline
bitfld.long 0x00 14. "HWU062,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
bitfld.long 0x00 13. "HWU061,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
newline
bitfld.long 0x00 12. "HWU060,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
bitfld.long 0x00 11. "HWU059,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
newline
bitfld.long 0x00 10. "HPD1,Hierarchical Permission Disable for the TTBR1 region" "No,Yes"
bitfld.long 0x00 9. "HPD0,Hierarchical Permission Disable for the TTBR0 region" "No,Yes"
group.long c15:0x007F++0x00
line.long 0x00 "ATTBCR,Auxiliary Translation Table Base Control Register"
bitfld.long 0x00 13. "HWVAL160,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB1 if HWEN160 is set" "0,1"
bitfld.long 0x00 12. "HWVAL159,Indicates the value of PBHA[0] on page table walks memory access targeting the base address defined by TTB1 if HWEN159 is set" "0,1"
newline
bitfld.long 0x00 9. "HWVAL060,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN060 is set" "0,1"
bitfld.long 0x00 8. "HWVAL059,Indicates the value of PBHA[1] on page table walks memory access targeting the base address defined by TTB0 if HWEN059 is set" "0,1"
newline
bitfld.long 0x00 5. "HWEN160,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
bitfld.long 0x00 4. "HWEN159,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB1" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "HWEN060,Enables PBHA[1] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
bitfld.long 0x00 0. "HWEN059,Enables PBHA[0] page table walks memory access targeting the base address defined by TTB0" "Disabled,Enabled"
endif
group.quad c15:0x14020++0x01
line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register"
hexmask.quad 0x00 1.--47. 0x02 "BADDR,Translation table base address"
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.long c15:0x4202++0x00
line.long 0x00 "HTCR,Hypervisor Translation Control Register"
bitfld.long 0x00 28. "HWU062,Hardware usage of bit[62] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
bitfld.long 0x00 27. "HWU061,Hardware usage of bit[61] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
newline
bitfld.long 0x00 26. "HWU060,Hardware usage of bit[60] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
bitfld.long 0x00 25. "HWU059,Hardware usage of bit[59] of the stage1 translation table block or level 3 entry for pages pointed to by TTBR0" "Not possible,Possible"
newline
bitfld.long 0x00 24. "HPD,Hierarchical Permission Disables" "No,Yes"
bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
bitfld.long 0x00 8.--9. "IRGN0,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 0.--2. "T0SZ,The size offset of the memory region addressed by HTTBR" "0,1,2,3,4,5,6,7"
group.long c15:0x0003++0x00
line.long 0x00 "DACR,Domain Access Control Register"
bitfld.long 0x0 30.--31. "D15,Domain Access 15" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 28.--29. "D14,Domain Access 14" "Denied,Client,Reserved,Manager"
newline
bitfld.long 0x0 26.--27. "D13,Domain Access 13" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 24.--25. "D12,Domain Access 12" "Denied,Client,Reserved,Manager"
newline
bitfld.long 0x0 22.--23. "D11,Domain Access 11" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 20.--21. "D10,Domain Access 10" "Denied,Client,Reserved,Manager"
newline
bitfld.long 0x0 18.--19. "D9,Domain Access 9" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 16.--17. "D8,Domain Access 8" "Denied,Client,Reserved,Manager"
newline
bitfld.long 0x0 14.--15. "D7,Domain Access 7" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 12.--13. "D6,Domain Access 6" "Denied,Client,Reserved,Manager"
newline
bitfld.long 0x0 10.--11. "D5,Domain Access 5" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 8.--9. "D4,Domain Access 4" "Denied,Client,Reserved,Manager"
newline
bitfld.long 0x0 6.--7. "D3,Domain Access 3" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 4.--5. "D2,Domain Access 2" "Denied,Client,Reserved,Manager"
newline
bitfld.long 0x0 2.--3. "D1,Domain Access 1" "Denied,Client,Reserved,Manager"
bitfld.long 0x0 0.--1. "D0,Domain Access 0" "Denied,Client,Reserved,Manager"
if corename()=="CORTEXA75"
if ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x0))
group.long c15:0x0047++0x00
line.long 0x00 "PAR,Physical Address Register"
hexmask.long.tbyte 0x00 12.--31. 0x10 "PA,Physical Address"
bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,Long"
newline
bitfld.long 0x00 10. "NOS,Not Outer Shareable attribute for the region" "Outer,?..."
bitfld.long 0x00 9. "NS,Non-secure" "No,Yes"
newline
bitfld.long 0x00 7. "SH,Shareability attribute for the region" "No,Yes"
newline
bitfld.long 0x00 4.--6. "INNER,Inner memory attributes for the region" "Non-cacheable,Device-nGnRnE,Reserved,Device-nGnRE,Reserved,Write-Back/Write-Allocate,Write-Through,Write-Back/No Write-Allocate"
newline
bitfld.long 0x00 2.--3. "OUTER,Outer memory attributes for the region" "Non-cacheable,Write-Back/Write-Allocate,Write-Through/No Write-Allocate,Write-Back/No Write-Allocate"
newline
bitfld.long 0x00 1. "SS,Used to indicate if the result is a Supersection" "No,Yes"
newline
bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
elif ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x1))
group.long c15:0x0047++0x00
line.long 0x00 "PAR,Physical Address Register"
bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,?..."
newline
newline
newline
bitfld.long 0x00 6. "FS[5],Fault status bit [5] - External abort type" "Internal,External"
newline
newline
bitfld.long 0x00 1.--5. "FS[4:0],Fault status bit [4:0] - Abort source" "Reserved,Alignment,Debug,Access flag/L1,Instruction,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp. exclusive access,SError,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..."
newline
bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
elif ((((per.l(c15:0x0202))&0x80000000)==0x80000000)&&(((per.l(c15:0x10070))&0x1)==0x0))
group.quad c15:0x10070++0x01
line.quad 0x00 "PAR,Physical Address Register"
hexmask.quad.byte 0x00 56.--63. 1. "ATTR,Memory attributes for the returned PA"
hexmask.quad.long 0x00 12.--39. 0x10 "PA,Physical Address"
newline
bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long"
newline
bitfld.quad 0x00 9. "NS,Non-secure" "No,Yes"
bitfld.quad 0x00 7.--8. "SH,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
newline
newline
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
else
group.quad c15:0x10070++0x01
line.quad 0x00 "PAR,Physical Address Register"
newline
bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long"
newline
bitfld.quad 0x00 9. "FSTAGE,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2"
bitfld.quad 0x00 8. "S2WLK,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes"
newline
newline
newline
bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size/TTBR,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC/on TTW/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,Aborted"
endif
elif corename()=="CORTEXA55"
if ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x0))
group.long c15:0x0047++0x00
line.long 0x00 "PAR,Physical Address Register"
hexmask.long.tbyte 0x00 12.--31. 0x10 "PA,Physical Address"
newline
bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,?..."
bitfld.long 0x00 10. "NOS,Not Outer Shareable attribute for the region" "Outer,Inner"
newline
bitfld.long 0x00 9. "NS,Non-secure" "No,Yes"
bitfld.long 0x00 7. "SH,Shareability attribute for the region" "No,Yes"
newline
bitfld.long 0x00 4.--6. "INNER,Inner memory attributes for the region" "Non-cacheable,Device-nGnRnE,Reserved,Device-nGnRE,Reserved,Write-Back/Write-Allocate,Write-Through,Write-Back/No Write-Allocate"
newline
bitfld.long 0x00 2.--3. "OUTER,Outer memory attributes for the region" "Non-cacheable,Write-Back/Write-Allocate,Write-Through/No Write-Allocate,Write-Back/No Write-Allocate"
newline
bitfld.long 0x00 1. "SS,Used to indicate if the result is a Supersection" "No,Yes"
newline
bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,?..."
elif ((((per.l(c15:0x0202))&0x80000000)==0x00000000)&&(((per.l(c15:0x0047))&0x1)==0x1))
group.long c15:0x0047++0x00
line.long 0x00 "PAR,Physical Address Register"
newline
bitfld.long 0x00 11. "LPAE,Descriptor translation table format" "Short,?..."
newline
bitfld.long 0x00 6. "FS[5],Fault status bit [5] - External abort type" "Internal,External"
newline
newline
newline
bitfld.long 0x00 1.--5. "FS[4:0],Fault status bit [4:0] - Abort source" "Reserved,Alignment,Debug,Access flag/L1,Instruction,Translation/L1,Access flag/L2,Translation/L2,Sync. external,Domain/L1,Reserved,Domain/L2,Sync. external/on TTW/L1,Permission/L1,Sync. external/on TTW/L2,Permission/L2,TLB conflict,Reserved,Reserved,Reserved,Lockdown,Unsupp. exclusive access,SError,Reserved,Reserved,Sync. parity/ECC on memory access,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Reserved,Sync. parity/ECC on TTW/L2,?..."
newline
bitfld.long 0x00 0. "F,Indicates whether the conversion completed successfully" ",Aborted"
elif ((((per.l(c15:0x0202))&0x80000000)==0x80000000)&&(((per.l(c15:0x10070))&0x1)==0x0))
group.quad c15:0x10070++0x01
line.quad 0x00 "PAR,Physical Address Register"
hexmask.quad.byte 0x00 56.--63. 1. "ATTR,Memory attributes for the returned PA"
hexmask.quad.long 0x00 12.--39. 0x10 "PA,Physical Address"
newline
bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long"
newline
bitfld.quad 0x00 9. "NS,Non-secure" "No,Yes"
bitfld.quad 0x00 7.--8. "SH,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
newline
newline
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" "Successful,?..."
else
group.quad c15:0x10070++0x01
line.quad 0x00 "PAR,Physical Address Register"
newline
bitfld.quad 0x00 11. "LPAE,Descriptor translation table format" ",Long"
newline
bitfld.quad 0x00 9. "FSTAGE,Indicates the translation stage at which the translation aborted" "Stage 1,Stage 2"
bitfld.quad 0x00 8. "S2WLK,Translation aborted because of a stage 2 fault during a stage 1 translation table walk" "No,Yes"
newline
newline
newline
bitfld.quad 0x00 1.--6. "FST,Fault Status Field" "Address size/TTBR,Address size/L1,Address size/L2,Address size/L3,Reserved,Translation/L1,Translation/L2,Translation/L3,Reserved,Access flag/L1,Access flag/L2,Access flag/L3,Reserved,Permission/L1,Permission/L2,Permission/L3,Sync. external,Reserved,Reserved,Reserved,Reserved,Sync. external/on TTW/L1,Sync. external/on TTW/L2,Sync. external/on TTW/L3,Sync. parity/ECC on memory access,Sync. parity/ECC on memory access,Reserved,Reserved,Reserved,Sync. parity/ECC on TTW/L1,Sync. parity/ECC on TTW/L2,Sync. parity/ECC/on TTW/L3,Reserved,Alignment,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict,?..."
newline
bitfld.quad 0x00 0. "F,Indicates whether the conversion completed successfully" ",Aborted"
endif
endif
tree.open "Memory Attribute Indirection Registers"
rgroup.long c15:0x003A++0x00
line.long 0x00 "AMAIR0,Auxiliary Memory Attribute Indirection Register 0"
rgroup.long c15:0x013A++0x00
line.long 0x00 "AMAIR1,Auxiliary Memory Attribute Indirection Register 1"
if corename()=="CORTEXA75"
if (((per.l(c15:0x0202))&0x80000000)==0x80000000)
group.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
else
rgroup.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
rgroup.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
endif
elif corename()=="CORTEXA55"
if (((per.l(c15:0x0202))&0x80000000)==0x80000000)
group.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
else
rgroup.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
rgroup.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
endif
endif
rgroup.long c15:0x403A++0x00
line.long 0x00 "HAMAIR0,Hypervisor Auxiliary Memory Attribute Indirection Register 0"
rgroup.long c15:0x413A++0x00
line.long 0x00 "HAMAIR1,Hypervisor Auxiliary Memory Attribute Indirection Register 1"
if corename()=="CORTEXA75"
if (((per.l(c15:0x0202))&0x80000000)==0x80000000)
group.long c15:0x002A++0x00
line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0"
bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x012A++0x00
line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1"
bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x010D++0x00
line.long 0x00 "CONTEXTIDR,Context ID Register"
else
group.long c15:0x002A++0x0
line.long 0x00 "PRRR,Primary Region Remap Register"
bitfld.long 0x00 31. "NOS7,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 30. "NOS6,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 29. "NOS5,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 28. "NOS4,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 27. "NOS3,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 26. "NOS2,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 25. "NOS1,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 24. "NOS0,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 19. "NS1,Mapping of S = 1 attribute for Normal memory" "Not shareable,Shareable"
bitfld.long 0x00 18. "NS0,Mapping of S = 0 attribute for Normal memory" "Not shareable,Shareable"
newline
bitfld.long 0x00 17. "DS1,Mapping of S = 1 attribute for Device memory" "Not shareable,Shareable"
bitfld.long 0x00 16. "DS0,Mapping of S = 0 attribute for Device memory" "Not shareable,Shareable"
newline
bitfld.long 0x00 14.--15. "TR7,{TEX[0] C B} = b111 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 12.--13. "TR6,{TEX[0] C B} = b110 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
newline
bitfld.long 0x00 10.--11. "TR5,{TEX[0] C B} = b101 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 8.--9. "TR4,{TEX[0] C B} = b100 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
newline
bitfld.long 0x00 6.--7. "TR3,{TEX[0] C B} = b011 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 4.--5. "TR2,{TEX[0] C B} = b010 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
newline
bitfld.long 0x00 2.--3. "TR1,{TEX[0] C B} = b001 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 0.--1. "TR0,{TEX[0] C B} = b000 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
group.long c15:0x012A++0x0
line.long 0x00 "NMRR,Normal Memory Remap Register"
bitfld.long 0x00 30.--31. "OR7,Outer Attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 28.--29. "OR6,Outer Attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 26.--27. "OR5,Outer Attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 24.--25. "OR4,Outer Attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 22.--23. "OR3,Outer Attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 20.--21. "OR2,Outer Attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 18.--19. "OR1,Outer Attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 16.--17. "OR0,Outer Attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 14.--15. "IR7,Inner attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 12.--13. "IR6,Inner attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 10.--11. "IR5,Inner attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 8.--9. "IR4,Inner attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 6.--7. "IR3,Inner attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 4.--5. "IR2,Inner attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 2.--3. "IR1,Inner attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 0.--1. "IR0,Inner attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
group.long c15:0x010D++0x00
line.long 0x00 "CONTEXTIDR,Context ID Register"
hexmask.long.tbyte 0x00 8.--31. 1. "PROCID,Process Identifier"
hexmask.long.byte 0x00 0.--7. 1. "ASID,Address Space Identifier"
endif
elif corename()=="CORTEXA55"
if (((per.l(c15:0x0202))&0x80000000)==0x80000000)
group.long c15:0x002A++0x00
line.long 0x00 "MAIR0,Memory Attribute Indirection Register 0"
bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x012A++0x00
line.long 0x00 "MAIR1,Memory Attribute Indirection Register 1"
bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x010D++0x00
line.long 0x00 "CONTEXTIDR,Context ID Register"
else
group.long c15:0x002A++0x0
line.long 0x00 "PRRR,Primary Region Remap Register"
bitfld.long 0x00 31. "NOS7,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 30. "NOS6,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 29. "NOS5,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 28. "NOS4,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 27. "NOS3,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 26. "NOS2,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 25. "NOS1,Outer Shareable property mapping for memory attributes" "Outer,Inner"
bitfld.long 0x00 24. "NOS0,Outer Shareable property mapping for memory attributes" "Outer,Inner"
newline
bitfld.long 0x00 19. "NS1,Mapping of S = 1 attribute for Normal memory" "Not shareable,Shareable"
bitfld.long 0x00 18. "NS0,Mapping of S = 0 attribute for Normal memory" "Not shareable,Shareable"
newline
bitfld.long 0x00 17. "DS1,Mapping of S = 1 attribute for Device memory" "Not shareable,Shareable"
bitfld.long 0x00 16. "DS0,Mapping of S = 0 attribute for Device memory" "Not shareable,Shareable"
newline
bitfld.long 0x00 14.--15. "TR7,{TEX[0] C B} = b111 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 12.--13. "TR6,{TEX[0] C B} = b110 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
newline
bitfld.long 0x00 10.--11. "TR5,{TEX[0] C B} = b101 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 8.--9. "TR4,{TEX[0] C B} = b100 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
newline
bitfld.long 0x00 6.--7. "TR3,{TEX[0] C B} = b011 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 4.--5. "TR2,{TEX[0] C B} = b010 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
newline
bitfld.long 0x00 2.--3. "TR1,{TEX[0] C B} = b001 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
bitfld.long 0x00 0.--1. "TR0,{TEX[0] C B} = b000 Remap" "Device (nGnRnE),Device (not nGnRnE),Normal,?..."
group.long c15:0x012A++0x0
line.long 0x00 "NMRR,Normal Memory Remap Register"
bitfld.long 0x00 30.--31. "OR7,Outer Attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 28.--29. "OR6,Outer Attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 26.--27. "OR5,Outer Attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 24.--25. "OR4,Outer Attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 22.--23. "OR3,Outer Attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 20.--21. "OR2,Outer Attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 18.--19. "OR1,Outer Attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 16.--17. "OR0,Outer Attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 14.--15. "IR7,Inner attribute for {TEX[0] C B} = b111 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 12.--13. "IR6,Inner attribute for {TEX[0] C B} = b110 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 10.--11. "IR5,Inner attribute for {TEX[0] C B} = b101 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 8.--9. "IR4,Inner attribute for {TEX[0] C B} = b100 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 6.--7. "IR3,Inner attribute for {TEX[0] C B} = b011 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 4.--5. "IR2,Inner attribute for {TEX[0] C B} = b010 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
newline
bitfld.long 0x00 2.--3. "IR1,Inner attribute for {TEX[0] C B} = b001 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
bitfld.long 0x00 0.--1. "IR0,Inner attribute for {TEX[0] C B} = b000 Remap" "Non-cacheable,Write-Back Allocate,Write-Through,Write-Back no Allocate"
group.long c15:0x010D++0x00
line.long 0x00 "CONTEXTIDR,Context ID Register"
hexmask.long.tbyte 0x00 8.--31. 1. "PROCID,Process Identifier"
hexmask.long.byte 0x00 0.--7. 1. "ASID,Address Space Identifier"
endif
endif
tree.end
tree.end
tree "Virtualization Extensions"
group.long c15:0x4000++0x00
line.long 0x00 "VPIDR,Virtualization Processor ID Register"
hexmask.long.byte 0x0 24.--31. 0x1 "IMPL,Implementer code"
bitfld.long 0x00 20.--23. "VAR,Variant" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 16.--19. "ARCH, Architecture" "0,ARMv4,ARMv4T,ARMv5,ARMv5T,ARMv5TE,ARMv5TEJ,ARMv6,8,9,10,11,12,13,14,CPUID scheme"
hexmask.long.word 0x00 4.--15. 1. "PART,Primary Part Number"
newline
bitfld.long 0x00 0.--3. "REV,Revision Number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
group.long c15:0x4500++0x00
line.long 0x00 "VMPIDR,Virtualization Multiprocessor ID Registers"
bitfld.long 0x00 31. "M,Multiprocessing Extensions Register format" "Reserved,Supported"
bitfld.long 0x00 30. "U,Processor is part of a multiprocessor or uniprocessor system" "Multiprocessor,Uniprocessor"
newline
bitfld.long 0x00 24. "MT,Lowest level of affinity consist of logical processors" "Not implemented,Implemented"
hexmask.long.byte 0x00 16.--23. 1. "AFF2,Affinity level 2. Identifies different clusters within the system"
newline
bitfld.long 0x00 8.--15. "AFF1,Affinity level 1. Identifies individual cores within the local FCM cluster" "CORE0,CORE1,CORE2,CORE3,CORE4,CORE5,CORE6,CORE7,?..."
hexmask.long.byte 0x00 0.--7. 1. "AFF0,Affinity level 0. Identifies individual threads within a multi-threaded core"
group.long c15:0x420D++0x00
line.long 0x00 "HTPIDR,Hypervisor Software Thread ID Register"
group.long c15:0x4001++0x0
line.long 0x00 "HSCTLR,Hypervisor System Control Register"
bitfld.long 0x00 30. "TE,Thumb exception enable" "A32,T32"
bitfld.long 0x00 25. "EE,Exception endianness" "Little,Big"
newline
bitfld.long 0x00 19. "WXN,Write permission implies XN" "Not forced,Forced"
bitfld.long 0x00 12. "I,Instruction Cache Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 8. "SED,SETEND Disable" "No,Yes"
bitfld.long 0x00 7. "ITD,IT instruction functionality Disabled" "No,?..."
newline
bitfld.long 0x00 5. "CP15BEN,C15 Barrier Enable" "Disabled,Enabled"
bitfld.long 0x00 4. "LSMAOE,Load/Store Multiple Atomicity and Ordering Enable" "Reserved,Enabled"
newline
bitfld.long 0x00 3. "NTLSMD,No Trap Load/Store Multiple to Device-nGRE/Device-nGnRE/Device-nGnRnE memory" "Reserved,No Trap"
bitfld.long 0x00 2. "C,Enable unified cache or data cache" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "A,Strict Alignment" "Disabled,Enabled"
bitfld.long 0x00 0. "M,Enable address translation" "Disabled,Enabled"
group.long c15:0x4101++0x00
line.long 0x00 "HACTLR,Hypervisor Auxiliary Control Register"
bitfld.long 0x00 12. "CLUSTERPMUEN,Performance Management Registers write access control" "Not accessible,Accessible"
bitfld.long 0x00 11. "SMEN,Scheme Management Registers write access control" "Not accessible,Accessible"
newline
bitfld.long 0x00 10. "TSIDEN,Thread Scheme ID Register enable" "Not accessible,Accessible"
bitfld.long 0x00 7. "PWREN,Power Control Registers access control" "Not accessible,Accessible"
newline
bitfld.long 0x00 5. "ERXPFGEN,Error Record Registers write access control" "Not accessible,Accessible"
bitfld.long 0x00 1. "ECTLREN,Extended Control Registers write access control" "Not accessible,Accessible"
newline
bitfld.long 0x00 0. "ACTLREN,Auxiliary Control Registers write access control" "Not accessible,Accessible"
rgroup.long c15:0x4301++0x00
line.long 0x00 "HACTLR2,Hypervisor Auxiliary Control Register 2"
rgroup.long c15:0x4711++0x00
line.long 0x00 "HACR,Hypervisor Auxiliary Configuration Register"
group.long c15:0x4011++0x00
line.long 0x00 "HCR,Hypervisor Configuration Register"
bitfld.long 0x00 30. "TRVM,Trap Read of Virtual Memory controls" "No trap,Trap"
bitfld.long 0x00 27. "TGE,Trap General Exceptions from Non-secure EL0" "No trap,Trap"
newline
bitfld.long 0x00 26. "TVM,Trap Virtual Memory controls" "No trap,Trap"
bitfld.long 0x00 25. "TTLB,Trap TLB maintenance instructions" "No trap,Trap"
newline
bitfld.long 0x00 24. "TPU,Trap Cache maintenance instructions to Point of Unification" "No trap,Trap"
bitfld.long 0x00 23. "TPC,Trap Data/Unified Cache maintenance instructions to Point of Coherency" "No trap,Trap"
newline
bitfld.long 0x00 22. "TSW,Trap Data/Unified Cache maintenance instructions by Set/Way" "No trap,Trap"
bitfld.long 0x00 21. "TAC,Trap Auxiliary Control Register" "No trap,Trap"
newline
bitfld.long 0x00 20. "TIDCP,Trap Implementation Dependent functionality" "No trap,Trap"
bitfld.long 0x00 19. "TSC,Trap SMC" "No trap,Trap"
newline
bitfld.long 0x00 18. "TID3,Trap ID Group 3" "No trap,Trap"
bitfld.long 0x00 17. "TID2,Trap ID Group 2" "No trap,Trap"
newline
bitfld.long 0x00 16. "TID1,Trap ID Group 1" "No trap,Trap"
bitfld.long 0x00 15. "TID0,Trap ID Group 0" "No trap,Trap"
newline
bitfld.long 0x00 14. "TWE,Trap WFE" "No trap,Trap"
bitfld.long 0x00 13. "TWI,Trap WFI" "No trap,Trap"
newline
bitfld.long 0x00 12. "DC,Default Cacheable" "Disabled,Enabled"
bitfld.long 0x00 10.--11. "BSU,Barrier Shareability upgrade" "No effect,Inner Shareable,Outer Shareable,Full System"
newline
bitfld.long 0x00 9. "FB,Force broadcast" "Not forced,Forced"
bitfld.long 0x00 8. "VA,Virtual Asynchronous Abort exception" "Not pending,Pending"
newline
bitfld.long 0x00 7. "VI,Virtual IRQ Interrupt" "Not pending,Pending"
bitfld.long 0x00 6. "VF,Virtual FIQ Interrupt" "Not pending,Pending"
newline
bitfld.long 0x00 5. "AMO,Asynchronous Abort Mask Override" "Disabled,Enabled"
bitfld.long 0x00 4. "IMO,Physical IRQ Routing" "Disabled,Enabled"
newline
bitfld.long 0x00 3. "FMO,Physical FIQ Routing" "Disabled,Enabled"
bitfld.long 0x00 2. "PTW,Protected Table Walk" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "SWIO,Set/Way Invalidation Override" "Disabled,Enabled"
bitfld.long 0x00 0. "VM,Second stage of Translation enable" "Disabled,Enabled"
group.long c15:0x4411++0x00
line.long 0x00 "HCR2,Hypervisor Configuration Register"
bitfld.long 0x00 5. "TEA, Route synchronous external aborts to EL2" "Not routed,Routed"
bitfld.long 0x00 4. "TERR, Trap Error record accesses" "No trap,Trap"
newline
bitfld.long 0x00 1. "ID,Stage 2 Instruction cache disable" "No,Yes"
bitfld.long 0x00 0. "CD,Stage 2 Data cache disable" "No,Yes"
group.long c15:0x3054++0x00
line.long 0x00 "DSPSR,Debug Saved Program Status Register"
bitfld.long 0x00 31. "N,Negative condition flag" "Not negative,Negative"
bitfld.long 0x00 30. "Z,Zero condition flag" "Not zero,Zero"
newline
bitfld.long 0x00 29. "C,Carry condition flag" "Not carry,Carry"
bitfld.long 0x00 28. "V,Overflow condition flag" "No overflow,Overflow"
newline
bitfld.long 0x00 27. "Q,Cumulative condition flag" "Not occurred,Occurred"
bitfld.long 0x00 22. "PAN,Privileged Access Never" "No,Yes"
newline
bitfld.long 0x00 21. "SS,Software step" "0,1"
bitfld.long 0x00 20. "IL,Illegal Execution state" "0,1"
newline
bitfld.long 0x00 16.--19. "GE,Greater than or Equal flags" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 13.--15. "IT[5:7],IT block state bits for the T32 IT (If-Then) instruction - base condition for the IT block" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x00 25.--26. 10.--12. "IT[0:4],IT block state bits for the T32 IT (If-Then) instruction - size of the IT block" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 9. "E,Endianness state bit" "Little,Big"
newline
bitfld.long 0x00 8. "A,Asynchronous data abort mask bit" "Not masked,Masked"
bitfld.long 0x00 7. "I,IRQ mask bit" "Not masked,Masked"
newline
bitfld.long 0x00 6. "F,FIQ mask bit" "Not masked,Masked"
bitfld.long 0x00 5. "T,T32 Instruction set state" "A32,T32"
newline
bitfld.long 0x00 4. "M[4],Execution state that the exception was taken from" ",AArch32"
bitfld.long 0x00 0.--3. "M[3:0],Current PE mode" "User,FIQ,IRQ,Supervisor,,,Monitor,Abort,,,Hyp,Undefined,,,,System"
group.long c15:0x4111++0x00
line.long 0x00 "HDCR,Hypervisor Debug Control Register"
bitfld.long 0x00 17. "HPMD,Guest Performance Monitors Disable" "Allowed,Prohibited"
bitfld.long 0x00 11. "TDRA,Trap Debug ROM Access" "No trap,Trap"
newline
bitfld.long 0x00 10. "TDOSA,Trap Debug OS-related Register Access" "No trap,Trap"
bitfld.long 0x00 9. "TDA,Trap Debug Access" "No trap,Trap"
newline
bitfld.long 0x00 8. "TDE,Trap Debug Exceptions" "No trap,Trap"
bitfld.long 0x00 7. "HPME,Hypervisor Performance Monitors Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 6. "TPM,Trap Performance Monitors accesses" "No trap,Trap"
bitfld.long 0x00 5. "TPMCR,Trap Performance Monitor Control Register accesses" "No trap,Trap"
newline
bitfld.long 0x00 0.--4. "HPMN,Defines the number of Performance Monitors counters" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
group.long c15:0x4211++0x00
line.long 0x00 "HCPTR,Hypervisor Coprocessor Trap Register"
bitfld.long 0x0 31. "TCPAC,Trap Coprocessor Access Control" "No trap,Trap"
bitfld.long 0x0 20. "TTA,Traps Non-secure System Register accesses to all implemented trace Registers to Hypervisor mode" "No trap,Trap"
newline
bitfld.long 0x0 15. "TASE,Trap Advanced SIMD extensions" "No trap,Trap"
bitfld.long 0x0 11. "TCP11,Trap coprocessor 11" "No trap,Trap"
newline
bitfld.long 0x0 10. "TCP10,Trap coprocessor 10" "No trap,Trap"
group.long c15:0x4311++0x00
line.long 0x00 "HSTR,Hypervisor System Trap Register"
bitfld.long 0x00 15. "T15,Trap to Hypervisor mode Non-secure priv 15" "No trap,Trap"
bitfld.long 0x00 13. "T13,Trap to Hypervisor mode Non-secure priv 13" "No trap,Trap"
newline
bitfld.long 0x00 12. "T12,Trap to Hypervisor mode Non-secure priv 12" "No trap,Trap"
bitfld.long 0x00 11. "T11,Trap to Hypervisor mode Non-secure priv 11" "No trap,Trap"
newline
bitfld.long 0x00 10. "T10,Trap to Hypervisor mode Non-secure priv 10" "No trap,Trap"
bitfld.long 0x00 9. "T9,Trap to Hypervisor mode Non-secure priv 9" "No trap,Trap"
newline
bitfld.long 0x00 8. "T8,Trap to Hypervisor mode Non-secure priv 8" "No trap,Trap"
bitfld.long 0x00 7. "T7,Trap to Hypervisor mode Non-secure priv 7" "No trap,Trap"
newline
bitfld.long 0x00 6. "T6,Trap to Hypervisor mode Non-secure priv 6" "No trap,Trap"
bitfld.long 0x00 5. "T5,Trap to Hypervisor mode Non-secure priv 5" "No trap,Trap"
newline
bitfld.long 0x00 3. "T3,Trap to Hypervisor mode Non-secure priv 3" "No trap,Trap"
bitfld.long 0x00 2. "T2,Trap to Hypervisor mode Non-secure priv 2" "No trap,Trap"
newline
bitfld.long 0x00 1. "T1,Trap to Hypervisor mode Non-secure priv 1" "No trap,Trap"
bitfld.long 0x00 0. "T0,Trap to Hypervisor mode Non-secure priv 0" "No trap,Trap"
group.quad c15:0x14020++0x01
line.quad 0x00 "HTTBR,Hypervisor Translation Table Base Register"
hexmask.quad 0x00 1.--47. 0x02 "BADDR,Translation table base address"
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
group.long c15:0x4202++0x00
line.long 0x00 "HTCR,Hypervisor Translation Control Register"
bitfld.long 0x00 28. "HWU62,Hardware usage of bit[62] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.long 0x00 27. "HWU61,Hardware usage of bit[61] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.long 0x00 26. "HWU60,Hardware usage of bit[60] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.long 0x00 25. "HWU59,Hardware usage of bit[59] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.long 0x00 24. "HPD,Hierarchical Permission Disables" "No,Yes"
bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
newline
bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
bitfld.long 0x00 8.--9. "IRGN0, ,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 0.--2. "T0SZ,The size offset of the memory region addressed by HTTBR" "0,1,2,3,4,5,6,7"
if corename()=="CORTEXA75"
group.quad c15:0x16020++0x01
line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register"
hexmask.quad.word 0x00 48.--63. 1. "VMID,VMID for the translation table"
hexmask.quad 0x00 4.--47. 0x10 "BADDR,Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,Supported"
elif corename()=="CORTEXA55"
group.long c15:0x407F++0x00
line.long 0x00 "AHTCR,Auxiliary Hypervisor Translation Control Register"
bitfld.long 0x00 9. "HWVAL60,Indicates the value of PBHA[1] page table walks memory access if HWEN60 is set" "0,1"
bitfld.long 0x00 8. "HWVAL59,Indicates the value of PBHA[1] page table walks memory access if HWEN59 is set" "0,1"
newline
bitfld.long 0x00 1. "HWEN60,Enables PBHA[1] page table walks memory access" "Disabled,Enabled"
bitfld.long 0x00 0. "HWEN59,Enables PBHA[0] page table walks memory access" "Disabled,Enabled"
group.quad c15:0x16020++0x01
line.quad 0x00 "VTTBR,Virtualization Translation Table Base Register"
hexmask.quad.word 0x00 48.--63. 1. "ASID,ASID for the translation table base address"
hexmask.quad 0x00 2.--47. 0x04 "BADDR,Translation table base address"
newline
bitfld.quad 0x00 0. "CNP,Common not Private" "Not supported,?..."
endif
if corename()=="CORTEXA75"
group.long c15:0x4212++0x00
line.long 0x00 "VTCR,Virtualization Translation Control Register"
bitfld.long 0x00 28. "HWU62,Hardware usage of bit[62] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.long 0x00 27. "HWU61,Hardware usage of bit[61] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.long 0x00 26. "HWU60,Hardware usage of bit[60] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
bitfld.long 0x00 25. "HWU59,Hardware usage of bit[59] of the stage2 translation table block or level 3 entry" "Not possible,Possible"
newline
bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 8.--9. "IRGN0,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
bitfld.long 0x00 6.--7. "SL0,Starting level for translation table walks using VTTBR" "L2,L1,?..."
newline
bitfld.long 0x00 4. "S,Sign extension bit" "0,1"
bitfld.long 0x00 0.--3. "T0SZ,Size offset of the memory region addressed by TTBR0" "0,1,2,3,4,5,6,7,-8,-7,-6,-5,-4,-3,-2,-1"
elif corename()=="CORTEXA55"
group.long c15:0x4212++0x00
line.long 0x00 "VTCR,Virtualization Translation Control Register"
bitfld.long 0x00 12.--13. "SH0,Shareability attribute" "Non-shareable,Reserved,Outer Shareable,Inner Shareable"
bitfld.long 0x00 10.--11. "ORGN0,Outer cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
newline
bitfld.long 0x00 8.--9. "IRGN0,Inner cacheability attribute, Normal memory" "Non-cacheable,Write-Back Write-Allocate Cacheable,Write-Through Cacheable,Write-Back no Write-Allocate Cacheable"
bitfld.long 0x00 6.--7. "SL0,Starting level for translation table walks using VTTBR" "L2,L1,?..."
newline
bitfld.long 0x00 4. "S,Sign extension bit" "0,1"
bitfld.long 0x00 0.--3. "T0SZ,Size offset of the memory region addressed by TTBR0" "0,1,2,3,4,5,6,7,-8,-7,-6,-5,-4,-3,-2,-1"
group.long c15:0x417F++0x00
line.long 0x00 "AVTCR,Auxiliary Virtualized Translation Control Register"
bitfld.long 0x00 9. "HWVAL60,Indicates the value of PBHA[1] page table walks memory access if HWEN60 is set" "0,1"
bitfld.long 0x00 8. "HWVAL59,Indicates the value of PBHA[1] page table walks memory access if HWEN59 is set" "0,1"
newline
bitfld.long 0x00 1. "HWEN60,Enables PBHA[1] page table walks memory access" "Disabled,Enabled"
bitfld.long 0x00 0. "HWEN59,Enables PBHA[0] page table walks memory access" "Disabled,Enabled"
endif
rgroup.long c15:0x4015++0x00
line.long 0x00 "HADFSR,Hypervisor Auxiliary Data Fault Status Syndrome Register"
rgroup.long c15:0x4115++0x00
line.long 0x00 "HAIFSR,Hypervisor Auxiliary Instruction Fault Status Register"
group.long c15:0x4006++0x00
line.long 0x00 "HDFAR,Hypervisor Data Fault Address Register"
group.long c15:0x3154++0x00
line.long 0x00 "DLR,Debug Link Register"
if (((per.l(c15:0x4025))&0xFC000000)==0x0)
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
elif (((per.l(c15:0x4025))&0xFC000000)==0x4000000)
if (((per.l(c15:0x4025))&0x1000000)==0x1000000)
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 0. "TI,Trapped instruction" "WFI,WFE"
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 0. "TI,Trapped instruction" "WFI,WFE"
endif
elif (((per.l(c15:0x4025))&0xFC000000)==(0xC000000||0x20000000||0x14000000))
if (((per.l(c15:0x4025))&0x1000000)==0x1000000)
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 17.--19. "OPC2,The Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 14.--16. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x00 10.--13. "CRN,The CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCR,MRC/VMRS"
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 17.--19. "OPC2,The Opc2 value from the issued instruction" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x00 14.--16. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 10.--13. "CRN,The CRn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCR,MRC/VMRS"
endif
elif (((per.l(c15:0x4025))&0xFC000000)==(0x10000000||0x30000000))
if (((per.l(c15:0x4025))&0x1000000)==0x1000000)
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 16.--19. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 10.--13. "RT2,The Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCRR,MRRC"
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 16.--19. "OPC1,The Opc1 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 10.--13. "RT2,The Rt2 value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 5.--8. "RT,The Rt value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 1.--4. "CRM,The CRm value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "MCRR,MRRC"
endif
elif (((per.l(c15:0x4025))&0xFC000000)==0x18000000)
if (((per.l(c15:0x4025))&0x1000000)==0x1000000)
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
hexmask.long.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
bitfld.long 0x00 5.--8. "RN,The Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
bitfld.long 0x00 1.--3. "AM,Addressing mode" "Imm unindexed,Imm post-indexed,Imm offset,Imm pre-indexed,Literal unindexed (A32),Reserved,Literal offset (A32),?..."
newline
bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "STC,LDC"
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
hexmask.long.byte 0x00 12.--19. 1. "IMM8,The immediate value from the issued instruction"
newline
bitfld.long 0x00 5.--8. "RN,The Rn value from the issued instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 4. "OFFSET,Indicates whether the offset is added or subtracted" "Subtracted,Added"
newline
bitfld.long 0x00 1.--3. "AM,Addressing mode" "Imm unindexed,Imm post-indexed,Imm offset,Imm pre-indexed,Literal unindexed (A32),Reserved,Literal offset (A32),?..."
bitfld.long 0x00 0. "DIRECTION,Indicates the direction of the trapped instruction" "STC,LDC"
endif
elif (((per.l(c15:0x4025))&0xFC000000)==0x1C000000)
if (((per.l(c15:0x4025))&0x1000000)==0x1000000)
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 5. "TA,Indicates trapped use of Advanced SIMD functionality" "Not occurred,Occurred"
bitfld.long 0x00 0.--3. "COPROC,The number of the coprocessor accessed by the trapped operation" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,CP10,?..."
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 5. "TA,Indicates trapped use of Advanced SIMD functionality" "Not occurred,Occurred"
newline
bitfld.long 0x00 0.--3. "COPROC,The number of the coprocessor accessed by the trapped operation" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,CP10,?..."
endif
elif (((per.l(c15:0x4025))&0xFC000000)==(0x44000000||0x48000000))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
hexmask.long.word 0x00 0.--15. 1. "IMM16,The value of the immediate field from the HVC or SVC instruction"
elif ((((per.l(c15:0x4025))&0xFC000000)==(0x80000000||0x84000000))&&(((per.l(c15:0x4025))&0x3F)==0x10))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 10. "FNV,FAR not Valid" "HIFAR valid,HIFAR invalid"
newline
bitfld.long 0x00 9. "EA,External abort type" "Internal,External"
bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred"
newline
bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Reserved,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,?..."
elif ((((per.l(c15:0x4025))&0xFC000000)==(0x80000000||0x84000000))&&(((per.l(c15:0x4025))&0x3F)!=0x10))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 9. "EA,External abort type" "Internal,External"
newline
bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred"
bitfld.long 0x00 0.--5. "IFSC,Instruction Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,Reserved,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Reserved,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,?..."
elif (((per.l(c15:0x4025))&0xFC000000)==(0x88000000||0x38000000))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
elif (((per.l(c15:0x4025))&0xFD000000)==(0x91000000||0x95000000))
if (((per.l(c15:0x4025))&0x3F)==(0x11))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid"
bitfld.long 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.long 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.long 0x00 16.--19. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 14. "AR,Acquire/Release semantics present" "Absent,Present"
bitfld.long 0x00 10.--11. "AET,Asynchronous Error Type" "UC,UEU,UEO/CE,UER"
newline
bitfld.long 0x00 9. "EA,External abort type" "Internal,External"
bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated"
newline
bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred"
bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write"
newline
bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..."
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid"
bitfld.long 0x00 22.--23. "SAS,Syndrome Access Size" "Byte,Halfword,Word,Doubleword"
newline
bitfld.long 0x00 21. "SSE,Syndrome Sign Extend" "Not required,Required"
bitfld.long 0x00 16.--19. "SRT,Syndrome Register transfer" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 14. "AR,Acquire/Release semantics present" "Absent,Present"
bitfld.long 0x00 10. "FNV,FAR not Valid" "Valid,Invalid"
newline
bitfld.long 0x00 9. "EA,External abort type" "Internal,External"
bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated"
newline
bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred"
bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write"
newline
bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..."
endif
elif (((per.l(c15:0x4025))&0xFD000000)==(0x90000000||0x94000000))
if (((per.l(c15:0x4025))&0x3F)==(0x11))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid"
bitfld.long 0x00 10.--11. "AET,Asynchronous Error Type" "UC,UEU,UEO/CE,UER"
newline
bitfld.long 0x00 9. "EA,External abort type" "Internal,External"
bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated"
newline
bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred"
bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write"
newline
bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..."
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "ISV,Instruction syndrome valid" "Invalid,Valid"
bitfld.long 0x00 10. "FNV,FAR not Valid" "Valid,Invalid"
newline
bitfld.long 0x00 9. "EA,External abort type" "Internal,External"
bitfld.long 0x00 8. "CM,Cache maintenance" "Not generated,Generated"
newline
bitfld.long 0x00 7. "S1PTW,Fault on the stage 2 translation of an access for a stage 1 translation table walk" "Not occurred,Occurred"
bitfld.long 0x00 6. "WNR,Write not Read as abort cause" "Read,Write"
newline
bitfld.long 0x00 0.--5. "DFSC,Data Fault Status Code. SEA - Synchronous External Abort / SPE - Synchronous parity or ECC" "Address/TTBR,Address/Lvl1,Address/Lvl2,Address/Lvl3,Reserved,Translation/Lvl1,Translation/Lvl2,Translation/Lvl3,Reserved,Access flag/Lvl1,Access flag/Lvl2,Access flag/Lvl3,Reserved,Permission/Lvl1,Permission/Lvl2,Permission/Lvl3,SEA/Not ECC/Not on TTBW,SError int,Reserved,Reserved,Reserved,SEA/Not ECC/On TTBW lvl1,SEA/Not ECC/On TTBW lvl2,SEA/Not ECC/On TTBW lvl3,SPE on mem access/Not on TTBW,SError int from parity/ECC err on mem access,Reserved,Reserved,Reserved,SPE on mem access/On TTBW lvl1,SPE on mem access/On TTBW lvl2,SPE on mem access/On TTBW lvl3,Reserved,Alignment fault,Debug (only from Hypervisor mode),Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,TLB conflict abort,Reserved,Reserved,Reserved,Lockdown fault,Unsupp Exclusive acc fault,?..."
endif
elif (((per.l(c15:0x4025))&0xFC080000)==(0x4C080000))
if (((per.l(c15:0x4025))&0x1000000)==(0x1000000))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 20.--23. "COND,The condition code for the trapped instruction" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 19. "CCKNOWNPASS,Trapped instruction" "Unconditional,Conditional"
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 24. "CV,Condition code valid" "Invalid,Valid"
bitfld.long 0x00 19. "CCKNOWNPASS,Trapped instruction" "Unconditional,Conditional"
endif
elif (((per.l(c15:0x4025))&0xFC080000)==(0x4C000000))
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
bitfld.long 0x00 19. "CCKNOWNPASS,Trapped instruction" "Unconditional,Conditional"
else
group.long c15:0x4025++0x00
line.long 0x00 "HSR,Hypervisor Syndrome Register"
bitfld.long 0x00 26.--31. "EC,Exception class (reason)" "Unknown reason,Trapped WFI/WFE,Reserved,Trapped MCR/MRC to CP15,Trapped MCRR/MRRC to CP15,Trapped MCR/MRC to CP14,Trapped LDC/STC to CP14,Trapped Coprocessor Usage,Trapped MRC/VMRS to CP10,Reserved,Reserved,Reserved,Trapped MRRC/MCRR to CP14,Reserved,Illegal state to AArch32,Reserved,Reserved,SVC taken to Hyp,HVC executed,Trapped SMC,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Entry into Hypervisor mode Instruction Abort,Executing within Hypervisor mode Instruction Abort,PC alignment fault exception,Reserved,Entry into Hypervisor mode Data Abort,Executing within Hypervisor mode Data Abort,?..."
bitfld.long 0x00 25. "IL,Instruction length" "16-bit,32-bit"
newline
hexmask.long 0x00 0.--24. 1. "ISS,Instruction specific syndrome"
endif
rgroup.long c15:0x4115++0x00
line.long 0x00 "HAIFSR,Hypervisor Auxiliary Instruction Fault Status Register"
group.long c15:0x4206++0x00
line.long 0x00 "HIFAR,Hypervisor Instruction Fault Address Register"
group.long c15:0x4406++0x00
line.long 0x00 "HPFAR,Hypervisor IPA Fault Address Register"
hexmask.long 0x00 4.--31. 0x10 "FIPA[39:12],Bits [39:12] of the faulting intermediate physical address"
tree.open "Hypervisor Memory Attribute Indirection Registers"
if corename()=="CORTEXA75"
if (((per.l(c15:0x0202))&0x80000000)==0x80000000)
group.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Reserved,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
else
rgroup.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
rgroup.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
endif
elif corename()=="CORTEXA55"
if (((per.l(c15:0x0202))&0x80000000)==0x80000000)
group.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
bitfld.long 0x00 28.--31. "ATTR3H,Attribute 3 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR3L,Attribute 3 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR2H,Attribute 2 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR2L,Attribute 2 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR1H,Attribute 1 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR1L,Attribute 1 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR0H,Attribute 0 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR0L,Attribute 0 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
group.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
bitfld.long 0x00 28.--31. "ATTR7H,Attribute 7 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 24.--27. "ATTR7L,Attribute 7 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 20.--23. "ATTR6H,Attribute 6 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 16.--19. "ATTR6L,Attribute 6 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 12.--15. "ATTR5H,Attribute 5 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 8.--11. "ATTR5L,Attribute 5 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
newline
bitfld.long 0x00 4.--7. "ATTR4H,Attribute 4 High" "Device memory,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Write-through transient,Normal Memory/Outer Non-Cacheable,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-back transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-through non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient,Normal Memory/Outer Write-back non-transient"
bitfld.long 0x00 0.--3. "ATTR4L,Attribute 4 Low" "Device-nGnRnE/---,---/Inner Write-through transient,---/Inner Write-through transient,---/Inner Write-through transient,Device-nGnRE/Inner Non-Cacheable,---/Inner Write-back transient,---/Inner Write-back transient,---/Inner Write-back transient,Device-nGRE memory/Inner Write-through,---/Inner Write-through non-transient,---/Inner Write-through non-transient,---/Inner Write-through non-transient,Device-GRE memory/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient,---/Inner Write-back non-transient"
else
rgroup.long c15:0x402A++0x00
line.long 0x00 "HMAIR0,Hypervisor Memory Attribute Indirection Register 0"
rgroup.long c15:0x412A++0x00
line.long 0x00 "HMAIR1,Hypervisor Memory Attribute Indirection Register 1"
endif
endif
rgroup.long c15:0x403A++0x00
line.long 0x00 "HAMAIR0,Hypervisor Auxiliary Memory Attribute Indirection Register 0"
rgroup.long c15:0x413A++0x00
line.long 0x00 "HAMAIR1,Hypervisor Auxiliary Memory Attribute Indirection Register 1"
tree.end
newline
group.long c15:0x400C++0x00
line.long 0x00 "HVBAR,Hypervisor Vector Base Address Register"
hexmask.long 0x00 5.--31. 0x20 "VBA,Vector Base Address"
tree.end
tree "Cache Control and Configuration"
rgroup.long c15:0x000F++0x00
line.long 0x00 "CPUCFR,CPU Configuration Register"
bitfld.long 0x00 2. "SCU,Indicates whether the SCU is present or not" "Present,?..."
bitfld.long 0x00 0.--1. "ECC,Indicates whether ECC is present or not" "Not present,Present,?..."
group.long c15:0x072F++0x00
line.long 0x00 "CPUPWRCTLR,Power Control Register"
bitfld.long 0x00 10.--12. "SIMD_RET_CTRL,Advanced SIMD and floating-point retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
bitfld.long 0x00 7.--9. "WFE_RET_CTRL,CPU WFE retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
bitfld.long 0x00 4.--6. "WFI_RET_CTRL,CPU WFI retention control. Specifies the number of Architectural Timer ticks required before retention entry" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
newline
bitfld.long 0x00 0. "CORE_PWRDN_EN,Indicates to the power controller if the CPU wants to power down when it enters WFI state" "Not requested,Requested"
rgroup.long c15:0x0100++0x00
line.long 0x00 "CTR,Cache Type Register"
bitfld.long 0x00 24.--27. "CWG,Cache writeback granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
bitfld.long 0x00 20.--23. "ERG,Exclusives reservation granule size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
bitfld.long 0x00 16.--19. "DMINLINE,Smallest data cache line size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
newline
bitfld.long 0x00 14.--15. "L1IP,Instruction cache policy" "Reserved,Reserved,VIPT,?..."
bitfld.long 0x00 0.--3. "IMINLINE,I-Cache Minimum Line Size" "Reserved,Reserved,Reserved,Reserved,16 words,?..."
if (((per.l(c15:0x2000))&0x0E)==0x00)
group.long c15:0x2000++0x00
line.long 0x00 "CSSELR,Cache Size Selection Register"
bitfld.long 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..."
bitfld.long 0x00 0. "IND,Instruction/Not Data" "Data,Instruction"
else
group.long c15:0x2000++0x00
line.long 0x00 "CSSELR,Cache Size Selection Register"
bitfld.long 0x00 1.--3. "LEVEL,Cache level of required cache" "Level 1,Level 2,Level 3,?..."
bitfld.long 0x00 0. "IND,Instruction/Not Data" "Unified,?..."
endif
rgroup.long c15:0x1000++0x00
line.long 0x00 "CCSIDR,Cache size ID Register"
bitfld.long 0x00 31. "WT,Indicates whether the selected cache level supports Write-Through" "Not Supported,?..."
bitfld.long 0x00 30. "WB,Indicates whether the selected cache level supports Write-Back" "Not Supported,Supported"
bitfld.long 0x00 29. "RA,Indicates whether the selected cache level supports read-allocation" "Not Supported,Supported"
newline
bitfld.long 0x00 28. "WA,Indicates whether the selected cache level supports write-allocation" "Not Supported,Supported"
hexmask.long.word 0x00 13.--27. 1. 1. "SETS,Number of Sets"
hexmask.long.word 0x00 3.--12. 1. 1. "ASSOC,Associativity"
newline
bitfld.long 0x00 0.--2. "LSIZE,Line Size" "Reserved,Reserved,64 bytes,?..."
if corename()=="CORTEXA75"
rgroup.long c15:0x1100++0x00
line.long 0x00 "CLIDR,Cache Level ID Register"
bitfld.long 0x00 30.--31. "ICB,Inner cache boundary" "Reserved,Reserved,L2 highest,L3 highest"
bitfld.long 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..."
bitfld.long 0x00 24.--26. "LOC,Level of Coherency" "Reserved,Reserved,No L3 cache,L3 cache,?..."
newline
bitfld.long 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Not required,?..."
bitfld.long 0x00 6.--8. "CTYPE3,Cache type for levels 3" "No cache,Reserved,Reserved,Reserved,L3 cache,?..."
bitfld.long 0x00 3.--5. "CTYPE2,Cache type for levels 2" "Reserved,Reserved,Reserved,Reserved,Unified,?..."
newline
bitfld.long 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate I/D,?..."
elif corename()=="CORTEXA55"
rgroup.long c15:0x1100++0x00
line.long 0x00 "CLIDR,Cache Level ID Register"
bitfld.long 0x00 30.--31. "ICB,Inner cache boundary" "Reserved,Reserved,L2 highest,L3 highest"
bitfld.long 0x00 27.--29. "LOUU,Level of Unification Uniprocessor" "Not required,?..."
bitfld.long 0x00 24.--26. "LOC,Level of Coherency" "Reserved,No cache,L2 or L3 cache,L2 and L3 cache,?..."
newline
bitfld.long 0x00 21.--23. "LOUIS,Level of Unification Inner Shareable" "Not required,?..."
bitfld.long 0x00 6.--8. "CTYPE3,Cache type for levels 3" "L2 or L3 cache,Reserved,Reserved,Reserved,L2 and L3 cache,?..."
bitfld.long 0x00 3.--5. "CTYPE2,Cache type for levels 2" "No cache,Reserved,Reserved,Reserved,Unified,?..."
newline
bitfld.long 0x00 0.--2. "CTYPE1,Cache type for levels 1" "Reserved,Reserved,Reserved,Separate I/D,?..."
endif
tree "Level 1 memory system"
rgroup.long c15:0x600F++0x00
line.long 0x00 "CDBGDR0,Data Register 0"
rgroup.long c15:0x610F++0x00
line.long 0x00 "CDBGDR1,Data Register 1"
rgroup.long c15:0x620F++0x00
line.long 0x00 "CDBGDR2,Data Register 2"
wgroup.long c15:0x602F++0x00
line.long 0x00 "CDBGDCT,Data Cache Tag Read Operation Register"
wgroup.long c15:0x612F++0x00
line.long 0x00 "CDBGICT,Instruction Cache Tag Read Operation Register"
wgroup.long c15:0x622F++0x00
line.long 0x00 "CDBGTT,TLB Tag Read Operation Register"
wgroup.long c15:0x604F++0x00
line.long 0x00 "CDBGDCD,Data Cache Data Read Operation Register"
wgroup.long c15:0x614F++0x00
line.long 0x00 "CDBGICD,Instruction Cache Data Read Operation Register"
wgroup.long c15:0x624F++0x00
line.long 0x00 "CDBGTD,TLB Data Read Operation Register"
tree.end
tree.end
tree "System Performance Monitor"
group.long c15:0x00C9++0x00
line.long 0x00 "PMCR,Performance Monitors Control Register"
hexmask.long.byte 0x00 24.--31. 1. "IMP,Implementer code"
hexmask.long.byte 0x00 16.--23. 1. "IDCODE,Identification code"
bitfld.long 0x00 11.--15. "N,Number of counters implemented" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 6. "LC,Long cycle counter enable" "Disabled,Enabled"
newline
bitfld.long 0x00 5. "DP,Disable CCNT when prohibited" "No,Yes"
bitfld.long 0x00 4. "X,Export Enable" "Disabled,Enabled"
bitfld.long 0x00 3. "D,Clock Divider" "Every cycle,64th cycle"
bitfld.long 0x00 2. "C,Clock Counter Reset" "No reset,Reset"
newline
bitfld.long 0x00 1. "P,Performance Counter Reset" "No reset,Reset"
bitfld.long 0x00 0. "E,All Counters Enable" "Disabled,Enabled"
group.long c15:0x01C9++0x00
line.long 0x00 "PMCNTENSET,Performance Monitors Count Enable Set Register"
bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 5. "P5,Event counter PMN 5 enable bit" "Disabled,Enabled"
bitfld.long 0x00 4. "P4,Event counter PMN 4 enable bit" "Disabled,Enabled"
newline
bitfld.long 0x00 3. "P3,Event counter PMN 3 enable bit" "Disabled,Enabled"
bitfld.long 0x00 2. "P2,Event counter PMN 2 enable bit" "Disabled,Enabled"
bitfld.long 0x00 1. "P1,Event counter PMN 1 enable bit" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "P0,Event counter PMN 0 enable bit" "Disabled,Enabled"
group.long c15:0x02C9++0x00
line.long 0x00 "PMCNTENCLR,Performance Monitors Count Enable Clear Register"
bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 5. "P5,Event counter PMN 5 enable bit" "Disabled,Enabled"
bitfld.long 0x00 4. "P4,Event counter PMN 4 enable bit" "Disabled,Enabled"
newline
bitfld.long 0x00 3. "P3,Event counter PMN 3 enable bit" "Disabled,Enabled"
bitfld.long 0x00 2. "P2,Event counter PMN 2 enable bit" "Disabled,Enabled"
bitfld.long 0x00 1. "P1,Event counter PMN 1 enable bit" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "P0,Event counter PMN 0 enable bit" "Disabled,Enabled"
group.long c15:0x03C9++0x00
line.long 0x00 "PMOVSR,Performance Monitors Overflow Status Flags Register"
eventfld.long 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow"
eventfld.long 0x00 5. "P5,PMN5 overflow" "No overflow,Overflow"
eventfld.long 0x00 4. "P4,PMN4 overflow" "No overflow,Overflow"
newline
eventfld.long 0x00 3. "P3,PMN3 overflow" "No overflow,Overflow"
eventfld.long 0x00 2. "P2,PMN2 overflow" "No overflow,Overflow"
eventfld.long 0x00 1. "P1,PMN1 overflow" "No overflow,Overflow"
newline
eventfld.long 0x00 0. "P0,PMN0 overflow" "No overflow,Overflow"
wgroup.long c15:0x04C9++0x00
line.long 0x00 "PMSWINC,Performance Monitors Software Increment Register"
bitfld.long 0x00 5. "P5,PMN5 software increment" "Disabled,Enabled"
bitfld.long 0x00 4. "P4,PMN4 software increment" "Disabled,Enabled"
bitfld.long 0x00 3. "P3,PMN3 software increment" "Disabled,Enabled"
newline
bitfld.long 0x00 2. "P2,PMN2 software increment" "Disabled,Enabled"
bitfld.long 0x00 1. "P1,PMN1 software increment" "Disabled,Enabled"
bitfld.long 0x00 0. "P0,PMN0 software increment" "Disabled,Enabled"
group.long c15:0x05C9++0x00
line.long 0x00 "PMSELR,Performance Monitors Event Counter Selection Register"
bitfld.long 0x00 0.--4. "SEL,Current event counter select" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
tree.open "Common Event Identification Registers"
rgroup.long c15:0x06C9++0x00
line.long 0x00 "PMCEID0,Performance Monitors Common Event Identification Register 0"
bitfld.long 0x00 31. "L1D_CACHE_ALLOCATE,Level 1 data cache allocate" "Not implemented,Implemented"
bitfld.long 0x00 30. "CHAIN,Chain" "Not implemented,Implemented"
bitfld.long 0x00 29. "BUS_CYCLES,Bus cycle" "Not implemented,Implemented"
newline
bitfld.long 0x00 28. "TTBR_WRITE_RETIRED,TTBR write retired" "Not implemented,Implemented"
bitfld.long 0x00 27. "INST_SPEC,Instruction speculatively executed" "Not implemented,Implemented"
bitfld.long 0x00 26. "MEMORY_ERROR,Local memory error" "Not implemented,Implemented"
newline
bitfld.long 0x00 25. "BUS_ACCESS,Bus access" "Not implemented,Implemented"
bitfld.long 0x00 24. "L2D_CACHE_WB,Level 2 data cache write-back" "Not implemented,Implemented"
bitfld.long 0x00 23. "L2D_CACHE_REFILL,Level 2 data cache refill" "Not implemented,Implemented"
newline
bitfld.long 0x00 22. "L2D_CACHE,Level 2 data cache access" "Not implemented,Implemented"
bitfld.long 0x00 21. "L1D_CACHE_WB,Level 1 data cache write-back" "Not implemented,Implemented"
bitfld.long 0x00 20. "L1I_CACHE,Level 1 instruction cache access" "Not implemented,Implemented"
newline
bitfld.long 0x00 19. "MEM_ACCESS,Data memory access" "Not implemented,Implemented"
bitfld.long 0x00 18. "BR_PRED,Predictable branch speculatively executed" "Not implemented,Implemented"
bitfld.long 0x00 17. "CPU_CYCLES,CPU Cycle" "Not implemented,Implemented"
newline
bitfld.long 0x00 16. "BR_MIS_PRED,Mispredicted or not predicted branch speculatively executed" "Not implemented,Implemented"
bitfld.long 0x00 15. "UNALIGNED_LDST_RETIRED,UNALIGNED_LDST_RETIRED" "Not implemented,Implemented"
bitfld.long 0x00 14. "BR_RETURN_RETIRED,Instruction architecturally executed condition check pass procedure return" "Not implemented,Implemented"
newline
bitfld.long 0x00 13. "BR_IMMED_RETIRED,Instruction architecturally executed immediate branch" "Not implemented,Implemented"
bitfld.long 0x00 12. "PC_WRITE_RETIRED,Instruction architecturally executed condition check pass software change of the PC" "Not implemented,Implemented"
bitfld.long 0x00 11. "CID_WRITE_RETIRED,Instruction architecturally executed condition check pass write to CONTEXTIDR" "Not implemented,Implemented"
newline
bitfld.long 0x00 10. "EXC_RETURN,Instruction architecturally executed condition check pass exception return" "Not implemented,Implemented"
bitfld.long 0x00 9. "EXC_TAKEN,Exception taken" "Not implemented,Implemented"
bitfld.long 0x00 8. "INST_RETIRED,Instruction architecturally executed" "Not implemented,Implemented"
newline
bitfld.long 0x00 7. "ST_RETIRED,Instruction architecturally executed condition check pass store" "Not implemented,Implemented"
bitfld.long 0x00 6. "LD_RETIRED,Instruction architecturally executed condition check pass load" "Not implemented,Implemented"
bitfld.long 0x00 5. "L1D_TLB_REFILL,Level 1 data TLB refill" "Not implemented,Implemented"
newline
bitfld.long 0x00 4. "L1D_CACHE,Level 1 data cache access" "Not implemented,Implemented"
bitfld.long 0x00 3. "L1D_CACHE_REFILL,Level 1 data cache refill" "Not implemented,Implemented"
bitfld.long 0x00 2. "L1I_TLB_REFILL,Level 1 instruction TLB refill" "Not implemented,Implemented"
newline
bitfld.long 0x00 1. "L1I_CACHE_REFILL,Level 1 instruction cache refill" "Not implemented,Implemented"
bitfld.long 0x00 0. "SW_INCR,Instruction architecturally executed condition check pass software increment" "Not implemented,Implemented"
if corename()=="CORTEXA75"
rgroup.long c15:0x07C9++0x00
line.long 0x00 "PMCEID1,Performance Monitors Common Event Identification Register 1"
bitfld.long 0x00 24. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented"
bitfld.long 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented"
bitfld.long 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented"
newline
bitfld.long 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented"
bitfld.long 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented"
bitfld.long 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented"
newline
bitfld.long 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented"
bitfld.long 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented"
bitfld.long 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented"
newline
bitfld.long 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented"
bitfld.long 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented"
bitfld.long 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented"
newline
bitfld.long 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented"
bitfld.long 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented"
bitfld.long 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented"
newline
bitfld.long 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented"
bitfld.long 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented"
elif corename()=="CORTEXA55"
rgroup.long c15:0x07C9++0x00
line.long 0x00 "PMCEID1,Performance Monitors Common Event Identification Register 1"
bitfld.long 0x00 24. "REMOTE_ACCESS,Access to another socket in a multi-socket system" "Not implemented,Implemented"
bitfld.long 0x00 23. "LL_CACHE_MISS_RD,Last Level cache miss read" "Not implemented,Implemented"
bitfld.long 0x00 22. "LL_CACHE_RD,Last Level cache access read" "Not implemented,Implemented"
newline
bitfld.long 0x00 21. "ITLB_WALK,Access to instruction TLB that caused a page table walk" "Not implemented,Implemented"
bitfld.long 0x00 20. "DTLB_WALK,Access to data TLB that caused a page table walk" "Not implemented,Implemented"
bitfld.long 0x00 16. "L2I_TLB,Attributable Level 2 instruction TLB access" "Not implemented,Implemented"
newline
bitfld.long 0x00 15. "L2D_TLB,Attributable Level 2 data or unified TLB access" "Not implemented,Implemented"
bitfld.long 0x00 14. "L2I_TLB_REFILL,Attributable Level 2 instruction TLB refill" "Not implemented,Implemented"
bitfld.long 0x00 13. "L2D_TLB_REFILL,Attributable Level 2 data or unified TLB refill" "Not implemented,Implemented"
newline
bitfld.long 0x00 12. "L3D_CACHE_WB,Attributable Level 3 data or unified cache write-back" "Not implemented,Implemented"
bitfld.long 0x00 11. "L3D_CACHE,Attributable Level 3 data or unified cache access" "Not implemented,Implemented"
bitfld.long 0x00 10. "L3D_CACHE_REFILL,Attributable Level 3 data or unified cache refill" "Not implemented,Implemented"
newline
bitfld.long 0x00 9. "L3D_CACHE_ALLOCATE,Attributable Level 3 data or unified cache allocation without refill" "Not implemented,Implemented"
bitfld.long 0x00 8. "L2I_CACHE_REFILL,Attributable Level 2 instruction cache refill" "Not implemented,Implemented"
bitfld.long 0x00 7. "L2I_CACHE,Attributable Level 2 instruction cache access" "Not implemented,Implemented"
newline
bitfld.long 0x00 6. "L1I_TLB,Level 1 instruction TLB access" "Not implemented,Implemented"
bitfld.long 0x00 5. "L1D_TLB,Level 1 data or unified TLB access" "Not implemented,Implemented"
bitfld.long 0x00 4. "STALL_BACKEND,No operation issued due to backend" "Not implemented,Implemented"
newline
bitfld.long 0x00 3. "STALL_FRONTEND,No operation issued due to the frontend" "Not implemented,Implemented"
bitfld.long 0x00 2. "BR_MIS_PRED_RETIRED,Instruction architecturally executed mispredicted branch" "Not implemented,Implemented"
bitfld.long 0x00 1. "BR_RETIRED,Instruction architecturally executed branch" "Not implemented,Implemented"
newline
bitfld.long 0x00 0. "L2D_CACHE_ALLOCATE,Level 2 data cache allocate" "Not implemented,Implemented"
endif
rgroup.long c15:0x04E9++0x00
line.long 0x00 "PMCEID2,Performance Monitors Common Event Identification Register 2"
rgroup.long c15:0x05E9++0x00
line.long 0x00 "PMCEID3,Performance Monitors Common Event Identification Register 3"
tree.end
newline
group.long c15:0x00D9++0x00
line.long 0x00 "PMCCNTR[31:0],Performance Monitors Cycle Counter (32bit access)"
group.quad c15:0x13090++0x01
line.quad 0x00 "PMCCNTR[63:0],Performance Monitors Cycle Counter (64bit access)"
if (((per.l(c15:0X05C9))&0x1F)==0x1F)
group.long c15:0x01D9++0x00
line.long 0x00 "PMXEVTYPER,Performance Monitors Selected Event Type and Filter Register - PMCCFILTR"
bitfld.long 0x00 31. "P,Privileged modes filtering" "Disabled,Enabled"
bitfld.long 0x00 30. "U,User modes filtering" "Disabled,Enabled"
bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering" "Disabled,Enabled"
newline
bitfld.long 0x00 28. "NSU,Non-secure user modes filtering" "Disabled,Enabled"
bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering" "Disabled,Enabled"
elif (((per.l(c15:0X00E9))&0x1)==0x1)
group.long c15:0x01D9++0x00
line.long 0x00 "PMXEVTYPER,Performance Monitors Selected Event Type and Filter Register - PMEVTYPER"
bitfld.long 0x00 31. "P,Privileged modes filtering" "Disabled,Enabled"
bitfld.long 0x00 30. "U,User modes filtering" "Disabled,Enabled"
bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering" "Disabled,Enabled"
newline
bitfld.long 0x00 28. "NSU,Non-secure user modes filtering" "Disabled,Enabled"
bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering" "Disabled,Enabled"
bitfld.long 0x00 26. "M,Secure EL3 filtering" "Disabled,Enabled"
newline
hexmask.long.word 0x00 0.--9. 1. "EVTCOUNT,Event number"
else
rgroup.long c15:0x01D9++0x00
line.long 0x00 "PMXEVTYPER,Performance Monitors Selected Event Type and Filter Register"
endif
group.long c15:0x02D9++0x00
line.long 0x00 "PMXEVCNTR,Performance Monitors Selected Event Counter Register"
group.long c15:0x00E9++0x00
line.long 0x00 "PMUSERENR,Performance Monitors User Enable Register"
bitfld.long 0x00 3. "ER,Event counter read enable" "Disabled,Enabled"
bitfld.long 0x00 2. "CR,Cycle counter read enable" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "SW,Software Increment write enable" "Disabled,Enabled"
bitfld.long 0x00 0. "EN,User enable" "Disabled,Enabled"
group.long c15:0x01E9++0x00
line.long 0x00 "PMINTENSET,Performance Monitors Interrupt Enable Set Register"
bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled"
group.long c15:0x02E9++0x00
line.long 0x00 "PMINTENCLR,Performance Monitors Interrupt Enable Clear Register"
bitfld.long 0x00 31. "C,PMCCNTR Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 5. "P5,PMCNT5 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 4. "P4,PMCNT4 Overflow Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 3. "P3,PMCNT3 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 2. "P2,PMCNT2 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 1. "P1,PMCNT1 Overflow Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "P0,PMCNT0 Overflow Interrupt Enable" "Disabled,Enabled"
group.long c15:0x03E9++0x00
line.long 0x00 "PMOVSSET,Performance Monitors Overflow Flag Status Set Register"
bitfld.long 0x00 31. "C,PMCCNTR overflow" "No overflow,Overflow"
bitfld.long 0x00 5. "P5,PMEVCNTR5 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 4. "P4,PMEVCNTR4 Overflow Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 3. "P3,PMEVCNTR3 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 2. "P2,PMEVCNTR2 Overflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 1. "P1,PMEVCNTR1 Overflow Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "P0,PMEVCNTR0 Overflow Interrupt Enable" "Disabled,Enabled"
group.long c15:0x8E++0x00
line.long 0x00 "PMEVCNTR0,Performance Monitors Event Count Register 0"
group.long c15:(0x8E+0x0040)++0x00
line.long 0x00 "PMEVTYPER0,Performance Monitors Event Type Register 0"
group.long c15:0x18E++0x00
line.long 0x00 "PMEVCNTR1,Performance Monitors Event Count Register 1"
group.long c15:(0x18E+0x0040)++0x00
line.long 0x00 "PMEVTYPER1,Performance Monitors Event Type Register 1"
group.long c15:0x28E++0x00
line.long 0x00 "PMEVCNTR2,Performance Monitors Event Count Register 2"
group.long c15:(0x28E+0x0040)++0x00
line.long 0x00 "PMEVTYPER2,Performance Monitors Event Type Register 2"
group.long c15:0x38E++0x00
line.long 0x00 "PMEVCNTR3,Performance Monitors Event Count Register 3"
group.long c15:(0x38E+0x0040)++0x00
line.long 0x00 "PMEVTYPER3,Performance Monitors Event Type Register 3"
group.long c15:0x48E++0x00
line.long 0x00 "PMEVCNTR4,Performance Monitors Event Count Register 4"
group.long c15:(0x48E+0x0040)++0x00
line.long 0x00 "PMEVTYPER4,Performance Monitors Event Type Register 4"
group.long c15:0x58E++0x00
line.long 0x00 "PMEVCNTR5,Performance Monitors Event Count Register 5"
group.long c15:(0x58E+0x0040)++0x00
line.long 0x00 "PMEVTYPER5,Performance Monitors Event Type Register 5"
group.long c15:0x07FE++0x00
line.long 0x00 "PMCCFILTR,Performance Monitors Cycle Count Filter Register"
bitfld.long 0x00 31. "P,EL1 modes filtering bit" "Disabled,Enabled"
bitfld.long 0x00 30. "U,EL0 filtering bit" "Disabled,Enabled"
bitfld.long 0x00 29. "NSK,Non-secure kernel modes filtering bit" "Disabled,Enabled"
newline
bitfld.long 0x00 28. "NSU,Non-secure user modes filtering bit" "Enabled,Disabled"
bitfld.long 0x00 27. "NSH,Non-secure Hypervisor modes filtering bit" "Disabled,Enabled"
tree.end
tree "System Timer Registers"
group.long c15:0x000E++0x00
line.long 0x00 "CNTFRQ,Counter Frequency Register"
group.quad c15:0x100E0++0x01
line.quad 0x00 "CNTPCT,Counter Physical Count Register"
rgroup.quad c15:0x110E0++0x01
line.quad 0x00 "CNTVCT,Counter Virtual Count Register"
group.quad c15:0x140E0++0x01
line.quad 0x00 "CNTVOFF,Counter Virtual Offset Register"
group.long c15:0x001E++0x00
line.long 0x00 "CNTKCTL,Timer PL1 Control Register"
bitfld.long 0x00 9. "EL0PTEN,Controls whether the physical timer Registers are accessible from EL0 mode" "Disabled,Enabled"
bitfld.long 0x00 8. "EL0VTEN,Controls whether the virtual timer Registers are accessible from EL0 mode" "Disabled,Enabled"
bitfld.long 0x00 4.--7. "EVNTI,Selects which bit of CNTVCT is the trigger for the event stream generated from the virtual counter, when that stream is enabled" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 3. "EVNTDIR,Controls which transition of the CNTVCT trigger bit" "0 to 1,1 to 0"
newline
bitfld.long 0x00 2. "EVNTEN,Enables the generation of an event stream from the virtual counter" "Disabled,Enabled"
bitfld.long 0x00 1. "EL0VCTEN,Controls whether the virtual counter, CNTVCT, and the frequency Register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled"
bitfld.long 0x00 0. "EL0PCTEN,Controls whether the physical counter, CNTPCT, and the frequency Register CNTFRQ, are accessible from EL0 mode" "Disabled,Enabled"
group.long c15:0x401E++0x00
line.long 0x00 "CNTHCTL,Counter Non-secure PL2 Control Register"
bitfld.long 0x00 4.--7. "EVNTI,Selects which bit of CNTPCT is the trigger for the event stream generated from the physical counter" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 3. "EVNTDIR,Controls which transition of the CNTPCT trigger bit, defined by EVNTI" "0 to 1,1 to 0"
bitfld.long 0x00 2. "EVNTEN,Enables the generation of an event stream from the physical counter" "Disabled,Enabled"
bitfld.long 0x00 1. "EL1PCEN,Controls whether the Non-secure copies of the physical timer Registers are accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible"
newline
bitfld.long 0x00 0. "EL1PCTEN,Controls whether the physical counter, CNTPCT, is accessible from Non-secure EL1 and EL0 modes" "Not accessible,Accessible"
group.long c15:0x002E++0x00
line.long 0x00 "CNTP_TVAL,Counter EL1 Physical Compare Value Register"
group.long c15:0x012E++0x00
line.long 0x00 "CNTP_CTL,Counter EL1 Physical Timer Control Register"
rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.long 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad c15:0x120E0++0x01
line.quad 0x00 "CNTP_CVAL,Counter EL1 Physical Compare Value Register"
group.long c15:0x003E++0x00
line.long 0x00 "CNTV_TVAL,Counter EL1 Virtual Timer Value Register"
group.long c15:0x013E++0x00
line.long 0x00 "CNTV_CTL,Counter EL1 Virtual Timer Control Register"
rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.long 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad c15:0x130E0++0x01
line.quad 0x00 "CNTV_CVAL,Counter EL1 Virtual Compare Value Register"
group.long c15:0x402E++0x00
line.long 0x00 "CNTHP_TVAL,Counter Non-secure EL2 Physical Timer Value Register"
group.long c15:0x412E++0x00
line.long 0x00 "CNTHP_CTL,Counter Non-secure EL2 Physical Timer Control Register"
rbitfld.long 0x00 2. "ISTATUS,The status of the timer interrupt" "No interrupt,Interrupt"
bitfld.long 0x00 1. "IMASK,Timer interrupt mask" "Not masked,Masked"
bitfld.long 0x00 0. "ENABLE,Enables the timer" "Disabled,Enabled"
group.quad c15:0x160E0++0x01
line.quad 0x00 "CNTHP_CVAL,Counter Non-secure EL2 Physical Compare Value Register"
tree.end
tree "Generic Interrupt Controller System Registers"
tree "AArch32 Physical GIC CPU Interface System Registers"
tree.open "Interrupt Controller Active Priorities Registers"
group.long c15:0x048C++0x00
line.long 0x00 "ICC_AP0R0,Active Priorities Group 0 Register 0"
bitfld.long 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.long 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.long 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt"
newline
bitfld.long 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.long 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt"
bitfld.long 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt"
newline
bitfld.long 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.long 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.long 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt"
newline
bitfld.long 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt"
bitfld.long 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.long 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt"
newline
bitfld.long 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.long 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.long 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.long 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.long 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.long 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt"
newline
bitfld.long 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.long 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt"
bitfld.long 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt"
newline
bitfld.long 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.long 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.long 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt"
newline
bitfld.long 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt"
bitfld.long 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.long 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt"
newline
bitfld.long 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.long 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.long 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.long 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.long 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt"
group.long c15:0x009C++0x00
line.long 0x00 "ICC_AP1R0,Active Priorities Group 1 Register 0"
bitfld.long 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.long 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.long 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt"
newline
bitfld.long 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.long 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt"
bitfld.long 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt"
newline
bitfld.long 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.long 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.long 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt"
newline
bitfld.long 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt"
bitfld.long 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.long 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt"
newline
bitfld.long 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.long 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.long 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.long 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.long 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.long 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt"
newline
bitfld.long 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.long 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt"
bitfld.long 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt"
newline
bitfld.long 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.long 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.long 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt"
newline
bitfld.long 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt"
bitfld.long 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.long 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt"
newline
bitfld.long 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.long 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.long 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.long 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.long 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt"
tree.end
newline
if (((per.l(c15:0x110C0))&0x10000000000)==0x00)
wgroup.quad c15:0x110C0++0x01
line.quad 0x00 "ICC_ASGI1R,Interrupt Controller Alias Software Generated Interrupt Group 1 Register"
hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2"
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1"
hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated"
else
wgroup.quad c15:0x110C0++0x01
line.quad 0x00 "ICC_ASGI1R,Interrupt Controller Alias Software Generated Interrupt Group 1 Register"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
newline
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
endif
group.long c15:0x038C++0x00
line.long 0x00 "ICC_BPR0,Binary Point Register 0"
bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]"
group.long c15:0x03CC++0x00
line.long 0x00 "ICC_BPR1,Binary Point Register 1"
bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]"
group.long c15:0x04CC++0x00
line.long 0x00 "ICC_CTLR,Interrupt Control Registers for EL1"
rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported"
rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255"
newline
rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported"
rbitfld.long 0x00 14. "SEIS,SEI Support" "Not supported,?..."
rbitfld.long 0x00 11.--13. "IDBITS,Number of physical interrupt identifier bits supported" "16 bits,?..."
newline
rbitfld.long 0x00 8.--10. "PRIBITS,Number of priority bits implemented" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled"
bitfld.long 0x00 1. "EOIMODE,Controls whether a write to an End of Interrupt Register also deactivates the interrupt" "Enabled,Disabled"
newline
bitfld.long 0x00 0. "CBPR,Common Binary Point Register. Controls whether the same Register is used for interrupt pre-emption of both Group 0 and Group 1 interrupt" "Separate Registers,Same Register"
group.long c15:0x64CC++0x00
line.long 0x00 "ICC_MCTLR,Interrupt Control Registers for EL3"
rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported"
rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255"
newline
rbitfld.long 0x00 17. "NDS,Disable Security not supported" "Supported,Not supported"
rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported"
rbitfld.long 0x00 14. "SEIS,Indicates whether the CPU interface supports generation of SEIs" "Not supported,?..."
newline
rbitfld.long 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..."
rbitfld.long 0x00 8.--10. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 4. "EOIMODE_EL1NS,Controls whether a write to an End of Interrupt Register also deactivates the interrupt(Non-secure EL1 and EL2)" "Enabled,Disabled"
bitfld.long 0x00 3. "EOIMODE_EL1S,Controls whether a write to an End of Interrupt Register also deactivates the interrupt(Secure EL1)" "Enabled,Disabled"
bitfld.long 0x00 2. "EOIMODE_EL3,Controls whether a write to an End of Interrupt Register also deactivates the interrupt(EL3)" "Enabled,Disabled"
newline
bitfld.long 0x00 1. "CBPR_EL1NS,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Non-secure interrupts at EL1" "Separate Registers,Same Register"
bitfld.long 0x00 0. "CBPR_EL1S,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Secure interrupts in Secure non-Monitor modes" "Separate Registers,Same Register"
wgroup.long c15:0x01BC++0x00
line.long 0x00 "ICC_DIR,Deactivate Interrupt Register"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the interrupt to be deactivated"
wgroup.long c15:0x018C++0x00
line.long 0x00 "ICC_EOIR0,End Of Interrupt Register 0"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR0 access"
wgroup.long c15:0x01CC++0x00
line.long 0x00 "ICC_EOIR1,End Of Interrupt Register 1"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR1 access."
rgroup.long c15:0x028C++0x00
line.long 0x00 "ICC_HPPIR0,Highest Priority Pending Interrupt Register 0"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
rgroup.long c15:0x02CC++0x00
line.long 0x00 "ICC_HPPIR1,Highest Priority Pending Interrupt Register 1"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
rgroup.long c15:0x008C++0x00
line.long 0x00 "ICC_IAR0,Interrupt Acknowledge Register 0"
rgroup.long c15:0x00CC++0x00
line.long 0x00 "ICC_IAR1,Interrupt Acknowledge Register 1"
group.long c15:0x06CC++0x00
line.long 0x00 "ICC_IGRPEN0,Interrupt Group Enable Register 0"
bitfld.long 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled"
group.long c15:0x07CC++0x00
line.long 0x00 "ICC_IGRPEN1,Interrupt Group Enable Register 1"
bitfld.long 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled"
group.long c15:0x0064++0x00
line.long 0x00 "ICC_PMR,Priority Mask Register"
hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,Priority mask level for the CPU interface"
rgroup.long c15:0x03BC++0x00
line.long 0x00 "ICC_RPR,Running Priority Register"
hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,Current running priority on the CPU interface"
if (((per.q(c15:0x120C0))&0x10000000000)==0x00)
group.quad c15:0x120C0++0x01
line.quad 0x00 "ICC_SGI0R,Interrupt Controller Software Generated Interrupt Group 0 Register"
hexmask.quad.byte 0x00 48.--55. 1. "AFF3,Affinity 3"
newline
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
hexmask.quad.byte 0x00 32.--39. 1. "AFF2,Affinity 2"
newline
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
hexmask.quad.byte 0x00 16.--23. 1. "AFF1,Affinity 1"
hexmask.quad.word 0x00 0.--15. 1. "TARGETLIST,The set of PEs for which SGI interrupts will be generated."
else
group.quad c15:0x120C0++0x01
line.quad 0x00 "ICC_SGI0R,Interrupt Controller Software Generated Interrupt Group 0 Register"
bitfld.quad 0x00 44.--47. "RS,Range selector" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.quad 0x00 40. "IRM,Interrupt routing mode" "Target list,All PEs excluding self"
bitfld.quad 0x00 24.--27. "INTID,The INTID of the SGI" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
endif
group.long c15:0x05CC++0x00
line.long 0x00 "ICC_SRE,System Register Enable Register for EL1"
bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes"
bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes"
rbitfld.long 0x00 0. "SRE,System Register Enable" "Reserved,Enabled"
group.long c15:0x459C++0x00
line.long 0x00 "ICC_HSRE,System Register Enable Register for EL2"
rbitfld.long 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1" "Reserved,Enabled"
bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes"
bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes"
newline
rbitfld.long 0x00 0. "SRE,System Register Enable" "Reserved,Enabled"
group.long c15:0x65CC++0x00
line.long 0x00 "ICC_MSRE,System Register Enable Register for EL3"
rbitfld.long 0x00 3. "ENABLE,Enable lower exception level access to ICC_SRE_EL1 and ICC_SRE_EL2" "Reserved,Enabled"
bitfld.long 0x00 2. "DIB,Disable IRQ bypass" "No,Yes"
bitfld.long 0x00 1. "DFB,Disable FIQ bypass" "No,Yes"
newline
rbitfld.long 0x00 0. "SRE,System Register Enable" "Reserved,Enabled"
group.long c15:0x67CC++0x00
line.long 0x00 "ICC_MGRPEN1,Monitor Group1 Interrupt Group Enable"
bitfld.long 0x00 1. "ENABLEGRP1S,Enables Group 1 interrupts for the Secure state" "Disabled,Enabled"
bitfld.long 0x00 0. "ENABLEGRP1NS,Enables Group 1 interrupts for the Non-secure state" "Disabled,Enabled"
tree.end
tree "AArch32 Virtual GIC CPU Interface System Registers"
tree.open "Interrupt Controller Active Priorities Registers"
group.long c15:0x048C++0x00
line.long 0x00 "ICV_AP0R0,Active Priorities Group 0 Register 0"
bitfld.long 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.long 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.long 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt"
newline
bitfld.long 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.long 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt"
bitfld.long 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt"
newline
bitfld.long 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.long 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.long 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt"
newline
bitfld.long 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt"
bitfld.long 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.long 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt"
newline
bitfld.long 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.long 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.long 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.long 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.long 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.long 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt"
newline
bitfld.long 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.long 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt"
bitfld.long 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt"
newline
bitfld.long 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.long 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.long 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt"
newline
bitfld.long 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt"
bitfld.long 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.long 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt"
newline
bitfld.long 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.long 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.long 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.long 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.long 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt"
group.long c15:0x009C++0x00
line.long 0x00 "ICV_AP1R0,Active Priorities Group 1 Register 0"
bitfld.long 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.long 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.long 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt"
newline
bitfld.long 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.long 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt"
bitfld.long 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt"
newline
bitfld.long 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.long 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.long 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt"
newline
bitfld.long 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt"
bitfld.long 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.long 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt"
newline
bitfld.long 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.long 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.long 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.long 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.long 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.long 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt"
newline
bitfld.long 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.long 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt"
bitfld.long 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt"
newline
bitfld.long 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.long 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.long 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt"
newline
bitfld.long 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt"
bitfld.long 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.long 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt"
newline
bitfld.long 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.long 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.long 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.long 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.long 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt"
tree.end
newline
group.long c15:0x038C++0x00
line.long 0x00 "ICV_BPR0,Binary Point Register 0"
bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]"
group.long c15:0x03CC++0x00
line.long 0x00 "ICV_BPR1,Binary Point Register 1"
bitfld.long 0x00 0.--2. "BINARYPOINT,Interrupt Priority Field Control And Interrupt Preemption Control" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]"
group.long c15:0x4CC++0x00
line.long 0x00 "ICV_CTLR,Control Register"
rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported"
rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255"
newline
bitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported"
bitfld.long 0x00 14. "SEIS,Indicates whether the CPU interface supports local generation of SEIs" "Not supported,?..."
bitfld.long 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..."
newline
bitfld.long 0x00 8.--10. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 1. "VEOIMODE,Controls whether a write to an End of Interrupt Register also deactivates the interrupt" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "VCBPR,Controls whether the same Register is used for interrupt preemption of both virtual Group 0 and virtual Group 1 interrupts" "Separate Registers,Same Register"
wgroup.long c15:0x01BC++0x00
line.long 0x00 "ICV_DIR,Deactivate Interrupt Register"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the interrupt to be deactivated"
wgroup.long c15:0x018C++0x00
line.long 0x00 "ICV_EOIR0,End Of Interrupt Register 0"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR0 access"
wgroup.long c15:0x01CC++0x00
line.long 0x00 "ICV_EOIR1,End Of Interrupt Register 1"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID from the corresponding ICC_IAR1 access"
rgroup.long c15:0x028C++0x00
line.long 0x00 "ICV_HPPIR0,Highest Priority Pending Interrupt Register 0"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
rgroup.long c15:0x02CC++0x00
line.long 0x00 "ICV_HPPIR1,Highest Priority Pending Interrupt Register 1"
hexmask.long.word 0x00 0.--15. 1. "INTID,The INTID of the highest priority pending interrupt"
rgroup.long c15:0x008C++0x00
line.long 0x00 "ICV_IAR0,Interrupt Acknowledge Register 0"
rgroup.long c15:0x00CC++0x00
line.long 0x00 "ICV_IAR1,Interrupt Acknowledge Register 1"
group.long c15:0x06CC++0x00
line.long 0x00 "ICV_IGRPEN0,Interrupt Group 0 Enable Register"
bitfld.long 0x00 0. "ENABLE,Enables Group 0 interrupts" "Disabled,Enabled"
group.long c15:0x07CC++0x00
line.long 0x00 "ICV_IGRPEN1,Interrupt Group 1 Enable Register"
bitfld.long 0x00 0. "ENABLE,Enables Group 1 interrupts" "Disabled,Enabled"
newline
group.long c15:0x064CC++0x00
line.long 0x00 "ICV_MCTLR,Monitor Control Register"
rbitfld.long 0x00 19. "EXTRANGE,Extended INTID range" "Not supported,Supported"
rbitfld.long 0x00 18. "RSS,Range selector support" "0 - 15,0 - 255"
newline
rbitfld.long 0x00 17. "NDS,Disable Security not supported" "Supported,Not supported"
rbitfld.long 0x00 15. "A3V,Affinity 3 Valid" "Reserved,Supported"
rbitfld.long 0x00 14. "SEIS,Indicates whether the CPU interface supports generation of SEIs" "Not supported,?..."
newline
rbitfld.long 0x00 11.--13. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..."
rbitfld.long 0x00 8.--10. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 6. "PMHE,Priority Mask Hint Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 4. "EOIMODE_EL1NS,Controls whether a write to an End of Interrupt Register also deactivates the interrupt (Non-secure EL1 and EL2)" "Priority drop/Deactivation,Priority drop"
bitfld.long 0x00 3. "EOIMODE_EL1S,Controls whether a write to an End of Interrupt Register also deactivates the interrupt (Secure EL1)" "Enabled,Disabled"
bitfld.long 0x00 2. "EOIMODE_EL3,Controls whether a write to an End of Interrupt Register also deactivates the interrupt (EL3)" "Enabled,Disabled"
newline
bitfld.long 0x00 1. "CBPR_EL1NS,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Non-secure interrupts at EL1" "Separate Registers,Same Register"
bitfld.long 0x00 0. "CBPR_EL1S,Controls whether the same Register is used for interrupt preemption of both Group 0 and Group 1 Secure interrupts in Secure non-Monitor modes" "Separate Registers,Same Register"
group.long c15:0x67CC++0x00
line.long 0x00 "ICV_MGRPEN1,Monitor Interrupt Group 1 Enable Register"
bitfld.long 0x00 1. "ENABLEGRP1S,Enables Group 1 interrupts for the Secure state" "Disabled,Enabled"
bitfld.long 0x00 0. "ENABLEGRP1NS,Enables Group 1 interrupts for the Non-secure state" "Disabled,Enabled"
group.long c15:0x0064++0x00
line.long 0x00 "ICV_PMR,Interrupt Priority Mask Register"
hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,The priority mask level for the CPU interface"
rgroup.long c15:0x03BC++0x00
line.long 0x00 "ICV_RPR,Running Priority Register"
hexmask.long.byte 0x00 0.--7. 1. "PRIORITY,The current running priority on the CPU interface"
tree.end
tree "AArch32 Virtual Interface Control System Registers"
tree.open "Hypervisor Active Priorities Registers"
group.long c15:0x408C++0x00
line.long 0x00 "ICH_AP0R0,Hypervisor Active Priorities Group 0 Register 0"
bitfld.long 0x00 31. "P31,Group 0 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.long 0x00 30. "P30,Group 0 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.long 0x00 29. "P29,Group 0 interrupt active with priority level 29" "No interrupt,Interrupt"
newline
bitfld.long 0x00 28. "P28,Group 0 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.long 0x00 27. "P27,Group 0 interrupt active with priority level 27" "No interrupt,Interrupt"
bitfld.long 0x00 26. "P26,Group 0 interrupt active with priority level 26" "No interrupt,Interrupt"
newline
bitfld.long 0x00 25. "P25,Group 0 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.long 0x00 24. "P24,Group 0 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.long 0x00 23. "P23,Group 0 interrupt active with priority level 23" "No interrupt,Interrupt"
newline
bitfld.long 0x00 22. "P22,Group 0 interrupt active with priority level 22" "No interrupt,Interrupt"
bitfld.long 0x00 21. "P21,Group 0 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.long 0x00 20. "P20,Group 0 interrupt active with priority level 20" "No interrupt,Interrupt"
newline
bitfld.long 0x00 19. "P19,Group 0 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.long 0x00 18. "P18,Group 0 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.long 0x00 17. "P17,Group 0 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.long 0x00 16. "P16,Group 0 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.long 0x00 15. "P15,Group 0 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.long 0x00 14. "P14,Group 0 interrupt active with priority level 14" "No interrupt,Interrupt"
newline
bitfld.long 0x00 13. "P13,Group 0 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.long 0x00 12. "P12,Group 0 interrupt active with priority level 12" "No interrupt,Interrupt"
bitfld.long 0x00 11. "P11,Group 0 interrupt active with priority level 11" "No interrupt,Interrupt"
newline
bitfld.long 0x00 10. "P10,Group 0 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.long 0x00 9. "P9,Group 0 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.long 0x00 8. "P8,Group 0 interrupt active with priority level 8" "No interrupt,Interrupt"
newline
bitfld.long 0x00 7. "P7,Group 0 interrupt active with priority level 7" "No interrupt,Interrupt"
bitfld.long 0x00 6. "P6,Group 0 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.long 0x00 5. "P5,Group 0 interrupt active with priority level 5" "No interrupt,Interrupt"
newline
bitfld.long 0x00 4. "P4,Group 0 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.long 0x00 3. "P3,Group 0 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.long 0x00 2. "P2,Group 0 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.long 0x00 1. "P1,Group 0 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.long 0x00 0. "P0,Group 0 interrupt active with priority level 0" "No interrupt,Interrupt"
group.long c15:0x409C++0x00
line.long 0x00 "ICH_AP1R0,Hypervisor Active Priorities Group 1 Register 0"
bitfld.long 0x00 31. "P31,Group 1 interrupt active with priority level 31" "No interrupt,Interrupt"
bitfld.long 0x00 30. "P30,Group 1 interrupt active with priority level 30" "No interrupt,Interrupt"
bitfld.long 0x00 29. "P29,Group 1 interrupt active with priority level 29" "No interrupt,Interrupt"
newline
bitfld.long 0x00 28. "P28,Group 1 interrupt active with priority level 28" "No interrupt,Interrupt"
bitfld.long 0x00 27. "P27,Group 1 interrupt active with priority level 27" "No interrupt,Interrupt"
bitfld.long 0x00 26. "P26,Group 1 interrupt active with priority level 26" "No interrupt,Interrupt"
newline
bitfld.long 0x00 25. "P25,Group 1 interrupt active with priority level 25" "No interrupt,Interrupt"
bitfld.long 0x00 24. "P24,Group 1 interrupt active with priority level 24" "No interrupt,Interrupt"
bitfld.long 0x00 23. "P23,Group 1 interrupt active with priority level 23" "No interrupt,Interrupt"
newline
bitfld.long 0x00 22. "P22,Group 1 interrupt active with priority level 22" "No interrupt,Interrupt"
bitfld.long 0x00 21. "P21,Group 1 interrupt active with priority level 21" "No interrupt,Interrupt"
bitfld.long 0x00 20. "P20,Group 1 interrupt active with priority level 20" "No interrupt,Interrupt"
newline
bitfld.long 0x00 19. "P19,Group 1 interrupt active with priority level 19" "No interrupt,Interrupt"
bitfld.long 0x00 18. "P18,Group 1 interrupt active with priority level 18" "No interrupt,Interrupt"
bitfld.long 0x00 17. "P17,Group 1 interrupt active with priority level 17" "No interrupt,Interrupt"
newline
bitfld.long 0x00 16. "P16,Group 1 interrupt active with priority level 16" "No interrupt,Interrupt"
bitfld.long 0x00 15. "P15,Group 1 interrupt active with priority level 15" "No interrupt,Interrupt"
bitfld.long 0x00 14. "P14,Group 1 interrupt active with priority level 14" "No interrupt,Interrupt"
newline
bitfld.long 0x00 13. "P13,Group 1 interrupt active with priority level 13" "No interrupt,Interrupt"
bitfld.long 0x00 12. "P12,Group 1 interrupt active with priority level 12" "No interrupt,Interrupt"
bitfld.long 0x00 11. "P11,Group 1 interrupt active with priority level 11" "No interrupt,Interrupt"
newline
bitfld.long 0x00 10. "P10,Group 1 interrupt active with priority level 10" "No interrupt,Interrupt"
bitfld.long 0x00 9. "P9,Group 1 interrupt active with priority level 9" "No interrupt,Interrupt"
bitfld.long 0x00 8. "P8,Group 1 interrupt active with priority level 8" "No interrupt,Interrupt"
newline
bitfld.long 0x00 7. "P7,Group 1 interrupt active with priority level 7" "No interrupt,Interrupt"
bitfld.long 0x00 6. "P6,Group 1 interrupt active with priority level 6" "No interrupt,Interrupt"
bitfld.long 0x00 5. "P5,Group 1 interrupt active with priority level 5" "No interrupt,Interrupt"
newline
bitfld.long 0x00 4. "P4,Group 1 interrupt active with priority level 4" "No interrupt,Interrupt"
bitfld.long 0x00 3. "P3,Group 1 interrupt active with priority level 3" "No interrupt,Interrupt"
bitfld.long 0x00 2. "P2,Group 1 interrupt active with priority level 2" "No interrupt,Interrupt"
newline
bitfld.long 0x00 1. "P1,Group 1 interrupt active with priority level 1" "No interrupt,Interrupt"
bitfld.long 0x00 0. "P0,Group 1 interrupt active with priority level 0" "No interrupt,Interrupt"
tree.end
newline
rgroup.long c15:0x438C++0x00
line.long 0x00 "ICH_EISR,End of Interrupt Status Register"
bitfld.long 0x00 3. "STATUS3,EOI maintenance interrupt status bit for List Register 3" "No interrupt,Interrupt"
bitfld.long 0x00 2. "STATUS2,EOI maintenance interrupt status bit for List Register 2" "No interrupt,Interrupt"
bitfld.long 0x00 1. "STATUS1,EOI maintenance interrupt status bit for List Register 1" "No interrupt,Interrupt"
newline
bitfld.long 0x00 0. "STATUS0,EOI maintenance interrupt status bit for List Register 0" "No interrupt,Interrupt"
rgroup.long c15:0x458C++0x00
line.long 0x00 "ICH_ELRSR,Empty List Register Status Register"
bitfld.long 0x00 3. "STATUS3,Status bit for List Register 3" "Interrupt,No interrupt"
bitfld.long 0x00 2. "STATUS2,Status bit for List Register 2" "Interrupt,No interrupt"
bitfld.long 0x00 1. "STATUS1,Status bit for List Register 1" "Interrupt,No interrupt"
newline
bitfld.long 0x00 0. "STATUS0,Status bit for List Register 0" "Interrupt,No interrupt"
group.long c15:0x40BC++0x00
line.long 0x00 "ICH_HCR,Hypervisor Control Register"
bitfld.long 0x00 27.--31. "EOICOUNT,This field is incremented whenever a successful write to a virtual EOIR or DIR Register would have resulted in a virtual interrupt deactivation" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 14. "TDIR,Trap Non-secure EL1 writes to ICC_DIR and ICV_DIR" "No trap,Trap"
bitfld.long 0x00 13. "TSEI,Trap all locally generated SEIs" "No trap,Trap"
newline
bitfld.long 0x00 12. "TALL1,Trap all Non-secure EL1 accesses to ICC_* and ICV_* System Registers for Group 1 interrupts to EL2" "No trap,Trap"
bitfld.long 0x00 11. "TALL0,Trap all Non-secure EL1 accesses to ICC_* and ICV_* System Registers for Group 0 interrupts to EL2" "No trap,Trap"
bitfld.long 0x00 10. "TC,Trap all Non-secure EL1 accesses to System Registers that are common to Group 0 and Group 1 to EL2" "No trap,Trap"
newline
bitfld.long 0x00 7. "VGRP1DIE,VM Group 1 Disabled Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 6. "VGRP1EIE,VM Group 1 Enabled Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 5. "VGRP0DIE,VM Group 0 Disabled Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 4. "VGRP0EIE,VM Group 0 Enabled Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 3. "NPIE,No Pending Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 2. "LRENPIE,List Register Entry Not Present Interrupt Enable" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "UIE,Underflow Interrupt Enable" "Disabled,Enabled"
bitfld.long 0x00 0. "EN,Global enable bit for the virtual CPU interface" "Disabled,Enabled"
group.long c15:(0x40CC+0x0)++0x00
line.long 0x00 "ICH_LR0,List Register 0"
hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt"
group.long c15:(0x40CC+0x100)++0x00
line.long 0x00 "ICH_LR1,List Register 1"
hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt"
group.long c15:(0x40CC+0x200)++0x00
line.long 0x00 "ICH_LR2,List Register 2"
hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt"
group.long c15:(0x40CC+0x300)++0x00
line.long 0x00 "ICH_LR3,List Register 3"
hexmask.long 0x00 0.--31. 1. "vINTID,Virtual INTID of the interrupt"
group.long c15:(0x40EC+0x0)++0x00
line.long 0x00 "ICH_LRC0,List Register Extension 0"
bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active"
bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt"
bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0"
newline
hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt"
hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts"
group.long c15:(0x40EC+0x100)++0x00
line.long 0x00 "ICH_LRC1,List Register Extension 1"
bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active"
bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt"
bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0"
newline
hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt"
hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts"
group.long c15:(0x40EC+0x200)++0x00
line.long 0x00 "ICH_LRC2,List Register Extension 2"
bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active"
bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt"
bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0"
newline
hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt"
hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts"
group.long c15:(0x40EC+0x300)++0x00
line.long 0x00 "ICH_LRC3,List Register Extension 3"
bitfld.long 0x00 30.--31. "STATE,The state of the interrupt" "Inactive,Pending,Active,Pending and active"
bitfld.long 0x00 29. "HW,Indicates whether this virtual interrupt maps directly to a hardware interrupt, meaning that it corresponds to a physical interrupt." "Triggered by Software,Hardware interrupt"
bitfld.long 0x00 28. "GROUP,Indicates the group for this virtual interrupt" "Group 1,Group 0"
newline
hexmask.long.byte 0x00 16.--23. 1. "PRIORITY,The priority of this interrupt"
hexmask.long.word 0x00 0.--9. 1. "PINTID,Physical INTID for hardware interrupts"
rgroup.long c15:0x42BC++0x00
line.long 0x00 "ICH_MISR,Maintenance Interrupt State Register"
bitfld.long 0x00 7. "VGRP1D,VPE Group 1 Disabled" "Not asserted,Asserted"
bitfld.long 0x00 6. "VGRP1E,VPE Group 1 Enabled" "Not asserted,Asserted"
bitfld.long 0x00 5. "VGRP0D,VPE Group 0 Disabled" "Not asserted,Asserted"
newline
bitfld.long 0x00 4. "VGRP0E,VPE Group 0 Enabled" "Not asserted,Asserted"
bitfld.long 0x00 3. "NP,No Pending" "Not asserted,Asserted"
bitfld.long 0x00 2. "LRENP,List Register Entry Not Present" "Not asserted,Asserted"
newline
bitfld.long 0x00 1. "U,Underflow" "Not asserted,Asserted"
bitfld.long 0x00 0. "EOI,End Of Interrupt" "Not asserted,Asserted"
group.long c15:0x47BC++0x00
line.long 0x00 "ICH_VMCR,Virtual Machine Control Register"
hexmask.long.byte 0x00 24.--31. 1. "VPMR,The priority mask level for the virtual CPU interface"
bitfld.long 0x00 21.--23. "VBPR0,Virtual Binary Point Register Group 0" "[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0],No preemption-[7:0]"
bitfld.long 0x00 18.--20. "VBPR1,Virtual Binary Point Register, Group 1" ",[7:1]-[0],[7:2]-[1:0],[7:3]-[2:0],[7:4]-[3:0],[7:5]-[4:0],[7:6]-[5:0],[7]-[6:0]"
newline
bitfld.long 0x00 9. "VEOIM,Controls whether a write to an End of Interrupt Register also deactivates the virtual interrupt" "Disabled,Enabled"
bitfld.long 0x00 4. "VCBPR,Virtual Common Binary Point Register" "Separate Registers,Same Register"
bitfld.long 0x00 3. "VFIQEN,Virtual FIQ enable" "Reserved,Virtual FIQs"
newline
bitfld.long 0x00 1. "VENG1,Virtual Group 1 interrupt enable" "Disabled,Enabled"
bitfld.long 0x00 0. "VENG0,Virtual Group 0 interrupt enable" "Disabled,Enabled"
group.long c15:0x449C++0x00
line.long 0x00 "ICH_VSEIR,Virtual System Error Interrupt Register"
rgroup.long c15:0x41BC++0x00
line.long 0x00 "ICH_VTR,VGIC Type Register"
bitfld.long 0x00 29.--31. "PRIBITS,The number of priority bits implemented minus one" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 26.--28. "PREBITS,The number of virtual preemption bits implemented, minus one" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 23.--25. "IDBITS,The number of physical interrupt identifier bits supported" "16 bits,?..."
newline
bitfld.long 0x00 22. "SEIS,Indicates whether the CPU interface supports local generation of SEIs" "Not supported,?..."
bitfld.long 0x00 21. "A3V,Affinity 3 Valid" "Reserved,Supported"
bitfld.long 0x00 20. "NV4,GICv4 direct injection of virtual interrupts not supported" "Supported,?..."
newline
bitfld.long 0x00 19. "TDS,Separate trapping of Non-secure EL1 writes to ICV_DIR_EL1 supported" "Reserved,Supported"
bitfld.long 0x00 0.--4. "LISTREGS,The number of implemented List Registers minus one" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
tree.end
tree.end
tree "Debug Registers"
tree "Coresight Management Registers"
if corename()=="CORTEXA75"
rgroup.long c14:0x0000++0x0
line.long 0x0 "DBGDIDR,Debug ID Register"
bitfld.long 0x0 28.--31. "WRP,Number of Watchpoint Register Pairs" "Reserved,Reserved,Reserved,4,?..."
bitfld.long 0x0 24.--27. "BRP,Number of Breakpoint Register Pairs" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..."
bitfld.long 0x0 20.--23. "CTX_CMP,Number of BRPs with Context ID Comparison Capability" "Reserved,2,?..."
newline
bitfld.long 0x00 16.--19. "VERSION,Debug architecture version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,v8.2,?..."
bitfld.long 0x0 14. "NSUHD,Secure User halting debug-mode" "Reserved,Not supported"
bitfld.long 0x0 12. "SE,Security Extensions implemented" "Reserved,Implemented"
elif corename()=="CORTEXA55"
rgroup.long c14:0x0000++0x0
line.long 0x0 "DBGDIDR,Debug ID Register"
bitfld.long 0x0 28.--31. "WRP,Number of Watchpoint Register Pairs" "Reserved,Reserved,Reserved,4,?..."
bitfld.long 0x0 24.--27. "BRP,Number of Breakpoint Register Pairs" "Reserved,Reserved,Reserved,Reserved,Reserved,6,?..."
bitfld.long 0x0 20.--23. "CTX_CMP,Number of BRPs with Context ID Comparison Capability" "Reserved,2,?..."
newline
bitfld.long 0x00 16.--19. "VERSION,Debug architecture version" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,v8.2,?..."
bitfld.long 0x0 14. "NSUHD,Secure User halting debug-mode" "Reserved,Not supported"
bitfld.long 0x0 12. "SE,Security Extensions implemented" "Reserved,Implemented"
endif
rgroup.long c14:0x0060++0x0
line.long 0x00 "DBGWFAR,Watchpoint Fault Address Register"
group.long c14:0x0070++0x0
line.long 0x00 "DBGVCR,Debug Vector Catch register"
bitfld.long 0x00 31. "FIQVCE_NS,FIQ vector catch in Non-secure state" "Disabled,Enabled"
bitfld.long 0x00 30. "IRQVCE_NS,IRG vector catch in Non-secure state" "Disabled,Enabled"
bitfld.long 0x00 28. "DAVCE_NS,Data Abort vector catch in Non-secure state" "Disabled,Enabled"
newline
bitfld.long 0x00 27. "PAVCE_NS,Prefetch Abort vector catch in Non-secure state" "Disabled,Enabled"
bitfld.long 0x00 26. "SVCVCE_NS,SVC vector catch in Non-secure state" "Disabled,Enabled"
bitfld.long 0x00 25. "UIVCE_NS,Undefined instruction vector catch in Non-secure state" "Disabled,Enabled"
newline
bitfld.long 0x00 23. "FIQ,HVBAR: FIQ" "Disabled,Enabled"
bitfld.long 0x00 22. "IRQ,HVBAR: IRQ" "Disabled,Enabled"
bitfld.long 0x00 21. "HEE,HVBAR: Hyp Entry Exception" "Disabled,Enabled"
newline
bitfld.long 0x00 20. "DA,HVBAR: Data Abort" "Disabled,Enabled"
bitfld.long 0x00 19. "PA,HVBAR: Prefetch Abort" "Disabled,Enabled"
bitfld.long 0x00 18. "HVC,HVBAR: HVC" "Disabled,Enabled"
newline
bitfld.long 0x00 17. "UI,HVBAR: Undefined Instruction" "Disabled,Enabled"
bitfld.long 0x00 15. "FIQVCE_SM,FIQ vector catch enable, in Secure state on Monitor mode vector" "Disabled,Enabled"
bitfld.long 0x00 14. "IRQVCE_SM,IRQ vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled"
newline
bitfld.long 0x00 12. "DAVCE_SM,Data Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled"
bitfld.long 0x00 11. "PAVCE_SM,Prefetch Abort vector catch enable in Secure state on Monitor mode vector" "Disabled,Enabled"
bitfld.long 0x00 10. "SMCVCE_S,SMC vector catch enable in Secure state" "Disabled,Enabled"
newline
bitfld.long 0x00 7. "FIQVCE_S,FIQ vector catch in Secure state" "Disabled,Enabled"
bitfld.long 0x00 6. "IRQVCE_S,IRG vector catch in Secure state" "Disabled,Enabled"
bitfld.long 0x00 4. "DAVCE_S,Data Abort vector catch in Secure state" "Disabled,Enabled"
newline
bitfld.long 0x00 3. "PAVCE_S,Prefetch Abort vector catch in Secure state" "Disabled,Enabled"
bitfld.long 0x00 2. "SVCVCE_S,SVC vector catch in Secure state" "Disabled,Enabled"
bitfld.long 0x00 1. "UIVCE_S,Undefined instruction vector catch in Secure state" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "RVCE,Reset vector catch enable" "Disabled,Enabled"
group.long c14:0x0200++0x0
line.long 0x00 "DBGDTRRXEXT,Debug Receive Register (External View)"
rgroup.long c14:0x0050++0x0
line.long 0x00 "DBGDTRRXINT,Debug Receive Register (Internal View)"
group.long c14:0x0020++0x00
line.long 0x00 "DBGDCCINT,Debug Comms Channel Interrupt Enable register"
bitfld.long 0x00 30. "RX,DCC interrupt enable controls" "Disabled,Enabled"
bitfld.long 0x00 29. "TX,DCC interrupt enable controls" "Disabled,Enabled"
; For DBGDSCRint, bits 28 - 19, 14 - 13, 11 - 6, 1 - 0 are RES0.
; DBGDSCRint is read only.
rgroup.long c14:0x0010++0x0
line.long 0x00 "DBGDSCRINT,Debug Status and Control Register (Internal View)"
bitfld.long 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full"
bitfld.long 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full"
bitfld.long 0x00 18. "NS,Non-secure status bit" "Secure,Non-secure"
newline
bitfld.long 0x00 17. "SPNIDDIS,Secure Privileged Non-Invasive Debug Disable" "No,Yes"
bitfld.long 0x00 16. "SPIDDIS,Secure Privileged Invasive Debug Disable" "No,Yes"
bitfld.long 0x00 15. "MDBGEN,Monitor debug-mode enable" "Disabled,Enabled"
newline
bitfld.long 0x00 12. "UDCCDIS,User mode access to Communications Channel disable" "No,Yes"
bitfld.long 0x00 2.--5. "MOE,Method of debug entry field" "Reserved,Breakpoint,Reserved,Software Breakpoint (BKPT),Reserved,Vector Catch,Reserved,Reserved,Reserved,Reserved,Watchpoint,?..."
; For DBGDSCRext, bits 25 - 24, 20, 13, 11 - 7, 1 - 0 are RES0.
; DBGDSCRext is read/write only.
group.long c14:0x0220++0x0
line.long 0x00 "DBGDSCREXT,Debug Status and Control Register (External View)"
bitfld.long 0x00 30. "RXFULL,DBGDTRRX Register full" "Empty,Full"
bitfld.long 0x00 29. "TXFULL,DBGDTRTX Register full" "Empty,Full"
bitfld.long 0x00 27. "RXO,DBGDTRRX overflow" "No overflow,Overflow"
newline
bitfld.long 0x00 26. "TXU,DBGDTRTX underflow" "No underflow,Underflow"
bitfld.long 0x00 22.--23. "INTDIS,Interrupt disable" "Don't disable interrupts,Disable interrupts targeting non-sec EL1,Disable interrupts targeting EL1 & EL2,Disable all interrupts"
bitfld.long 0x00 21. "TDA,Trap debug register access" "No trap,Trap"
newline
bitfld.long 0x00 19. "SC2,Sample CONTEXTIDR_EL2" "VTTBR_EL2.VMID,CONTEXTIDR_EL2"
bitfld.long 0x00 18. "NS,Non-secure status bit" "Secure,Non-secure"
bitfld.long 0x00 17. "SPNIDDIS,Secure Privileged Non-Invasive Debug Disable" "No,Yes"
newline
bitfld.long 0x00 16. "SPIDDIS,Secure Privileged Invasive Debug Disable" "No,Yes"
bitfld.long 0x00 15. "MDBGEN,Monitor debug-mode enable" "Disabled,Enabled"
bitfld.long 0x00 14. "HDE,Halting debug-mode" "Disabled,Enabled"
newline
bitfld.long 0x00 12. "UDCCDIS,User mode access to Communications Channel disable" "No,Yes"
bitfld.long 0x00 7. "ADABORT,Asynchronous data abort" "Not aborted,Aborted"
bitfld.long 0x00 6. "ERR,Cumulative error flag" "Not error,Error"
newline
bitfld.long 0x00 2.--5. "MOE,Method of debug entry field" "Reserved,Breakpoint,Reserved,Software Breakpoint (BKPT),Reserved,Vector Catch,Reserved,Reserved,Reserved,Reserved,Watchpoint,?..."
group.long c14:0x0230++0x0
line.long 0x00 "DBGDTRTXEXT,Debug Transmit Register (External View)"
wgroup.long c14:0x0050++0x0
line.long 0x00 "DBGDTRTXINT,Debug Transmit Register (Internal View)"
if (((per.l(c14:0x0411))&0x2)==0x2)
group.long c14:0x0260++0x0
line.long 0x0 "DBGOSECCR,Debug OS Lock Exception Catch Control Register"
else
rgroup.long c14:0x0260++0x0
line.long 0x0 "DBGOSECCR,Debug OS Lock Exception Catch Control Register"
endif
rgroup.long c14:0x0707++0x0
line.long 0x0 "DBGDEVID2,Debug Device ID Register 2"
rgroup.long c14:0x0717++0x0
line.long 0x0 "DBGDEVID1,Debug Device ID Register 1"
rgroup.long c14:0x0727++0x0
line.long 0x0 "DBGDEVID,Debug Device ID Register 0"
bitfld.long 0x0 28.--31. "CIDMASK,Level of support for the Context ID matching breakpoint masking capability" "Not implemented,?..."
bitfld.long 0x0 24.--27. "AR,Debug External Auxiliary Control Register support status" "Not supported,?..."
bitfld.long 0x0 20.--23. "DL,Support for Debug OS Double Lock Register" "Reserved,Supported,?..."
newline
bitfld.long 0x0 16.--19. "VE,Specifies implementation of Virtualization Extension" "Reserved,Implemented,?..."
bitfld.long 0x0 12.--15. "VC,Form of the vector catch event implemented" "Implemented,?..."
bitfld.long 0x0 8.--11. "BPAM,Level of support for Immediate Virtual Address matching breakpoint masking capability" "Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Not implemented"
newline
bitfld.long 0x0 4.--7. "WPAM,Level of support for the DVA matching watchpoint masking capability" "Reserved,Implemented,?..."
tree.end
newline
rgroup.quad c14:0x10010++0x1
line.quad 0x0 "DBGDRAR,Debug ROM Address Register"
rgroup.quad c14:0x10020++0x1
line.quad 0x0 "DBGDSAR,Debug Self Address Offset Register"
wgroup.long c14:0x0401++0x00
line.long 0x00 "DBGOSLAR,Operating System Lock Access Register"
rgroup.long c14:0x0411++0x00
line.long 0x00 "DBGOSLSR,Operating System Lock Status Register"
bitfld.long 0x00 2. "NTT,32-Bit Access" "Not required,Required"
bitfld.long 0x00 1. "OSLK,Status of the OS Lock" "Not locked,Locked"
bitfld.long 0x00 0. 3. "OSLM,OS Lock Model implemented Bit" "Reserved,Reserved,Implemented,?..."
group.long c14:0x0431++0x00
line.long 0x00 "DBGOSDLR,OS Double-lock Register"
bitfld.long 0x00 0. "DLK,OS double-lock control" "Not locked,Locked"
group.long c14:0x0441++0x00
line.long 0x00 "DBGPRCR,Device Power-Down and Reset Control Register"
bitfld.long 0x00 0. "CORENPDRQ,Core No Power down Request" "Powered down,Emulated"
group.long c14:0x0687++0x00
line.long 0x00 "DBGCLAIMSET,Claim Tag register Set"
bitfld.long 0x0 7. "CT7,Claim Tag 7 Set" "Not set,Set"
bitfld.long 0x0 6. "CT6,Claim Tag 6 Set" "Not set,Set"
bitfld.long 0x0 5. "CT5,Claim Tag 5 Set" "Not set,Set"
newline
bitfld.long 0x0 4. "CT4,Claim Tag 4 Set" "Not set,Set"
bitfld.long 0x0 3. "CT3,Claim Tag 3 Set" "Not set,Set"
bitfld.long 0x0 2. "CT2,Claim Tag 2 Set" "Not set,Set"
newline
bitfld.long 0x0 1. "CT1,Claim Tag 1 Set" "Not set,Set"
bitfld.long 0x0 0. "CT0,Claim Tag 0 Set" "Not set,Set"
group.long c14:0x0697++0x00
line.long 0x00 "DBGCLAIMCLR,Claim Tag register Clear"
bitfld.long 0x0 7. "CT7,Claim Tag 7 Clear" "Not cleared,Cleared"
bitfld.long 0x0 6. "CT6,Claim Tag 6 Clear" "Not cleared,Cleared"
bitfld.long 0x0 5. "CT5,Claim Tag 5 Clear" "Not cleared,Cleared"
newline
bitfld.long 0x0 4. "CT4,Claim Tag 4 Clear" "Not cleared,Cleared"
bitfld.long 0x0 3. "CT3,Claim Tag 3 Clear" "Not cleared,Cleared"
bitfld.long 0x0 2. "CT2,Claim Tag 2 Clear" "Not cleared,Cleared"
newline
bitfld.long 0x0 1. "CT1,Claim Tag 1 Clear" "Not cleared,Cleared"
bitfld.long 0x0 0. "CT0,Claim Tag 0 Clear" "Not cleared,Cleared"
rgroup.long c14:0x06E7++0x00
line.long 0x00 "DBGAUTHSTATUS,Authentication Status register"
bitfld.long 0x00 7. "SNI,Secure non-invasive debug implemented" "Not implemented,Implemented"
bitfld.long 0x00 6. "SNE,Secure non-invasive debug enabled" "Disabled,Enabled"
bitfld.long 0x00 5. "SI,Secure invasive debug implemented" "Not implemented,Implemented"
newline
bitfld.long 0x00 4. "SE,Secure invasive debug enabled" "Disabled,Enabled"
bitfld.long 0x00 3. "NSNI,Non-secure non-invasive debug implemented" "Not implemented,Implemented"
bitfld.long 0x00 2. "NSNE,Non-secure non-invasive debug enabled" "Disabled,Enabled"
newline
bitfld.long 0x00 1. "NSI,Non-secure invasive debug implemented" "Not implemented,Implemented"
bitfld.long 0x00 0. "NSE,Non-secure invasive debug enabled" "Disabled,Enabled"
rgroup.long c14:0x7000++0x00 "Jazelle Registers"
line.long 0x0 "JIDR,Jazelle ID Register"
rgroup.long c14:0x7001++0x00
line.long 0x0 "JOSCR,Jazelle OS Control Register"
rgroup.long c14:0x7002++0x00
line.long 0x0 "JMCR,Jazelle Main Configuration Register"
tree.end
tree "Breakpoint Registers"
tree "Breakpoint 0"
group.long c14:(0x0400+0x0)++0x00
line.long 0x00 "DBGBVR0,Breakpoint Value Register"
group.long c14:(0x0500+0x0)++0x00
line.long 0x00 "DBGBCR0,Breakpoint Control Register"
bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn"
bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 1"
group.long c14:(0x0400+0x10)++0x00
line.long 0x00 "DBGBVR1,Breakpoint Value Register"
group.long c14:(0x0500+0x10)++0x00
line.long 0x00 "DBGBCR1,Breakpoint Control Register"
bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn"
bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 2"
group.long c14:(0x0400+0x20)++0x00
line.long 0x00 "DBGBVR2,Breakpoint Value Register"
group.long c14:(0x0500+0x20)++0x00
line.long 0x00 "DBGBCR2,Breakpoint Control Register"
bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn"
bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 3"
group.long c14:(0x0400+0x30)++0x00
line.long 0x00 "DBGBVR3,Breakpoint Value Register"
group.long c14:(0x0500+0x30)++0x00
line.long 0x00 "DBGBCR3,Breakpoint Control Register"
bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn"
bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 4"
group.long c14:(0x0400+0x40)++0x00
line.long 0x00 "DBGBVR4,Breakpoint Value Register"
group.long c14:(0x0101+0x40)++0x00
line.long 0x00 "DBGBXVR4,Breakpoint Extended Value Register"
group.long c14:(0x0500+0x40)++0x00
line.long 0x00 "DBGBCR4,Breakpoint Control Register"
bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn"
bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree "Breakpoint 5"
group.long c14:(0x0400+0x50)++0x00
line.long 0x00 "DBGBVR5,Breakpoint Value Register"
group.long c14:(0x0101+0x50)++0x00
line.long 0x00 "DBGBXVR5,Breakpoint Extended Value Register"
group.long c14:(0x0500+0x50)++0x00
line.long 0x00 "DBGBCR5,Breakpoint Control Register"
bitfld.long 0x00 20.--23. "BT,Breakpoint type" "Unlinked address match,Linked address match,Unlinked Context ID match,Linked Context ID match,Unlinked address mismatch,Linked address mismatch,Unlinked CONTEXTIDR_EL1 match,Linked CONTEXTIDR_EL1 match,Unlinked VMID match,Linked VMID match,Unlinked VMID + Context ID match,Linked VMID + Context ID match,Unlinked CONTEXTIDR_EL2 match,Linked CONTEXTIDR_EL2 match,Unlinked Full Context ID match,Linked Full Context ID match"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
newline
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
bitfld.long 0x0 5.--8. "BAS,Byte address select" "Reserved,Reserved,Reserved,T32/DBGBVRn,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,T32/DBGBVRn+2,Reserved,Reserved,A64/A32/DBGBVRn"
bitfld.long 0x00 1.--2. "PMC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
newline
bitfld.long 0x00 0. "E,Breakpoint enable" "Disabled,Enabled"
tree.end
tree.end
tree "Watchpoint Registers"
tree "Watchpoint 0"
group.long c14:(0x0600+0x0)++0x00
line.long 0x00 "DBGWVR0,Watchpoint Value Register"
hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address"
group.long c14:(0x0700+0x0)++0x00
line.long 0x00 "DBGWCR0,Watchpoint Control Register"
bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree "Watchpoint 1"
group.long c14:(0x0600+0x10)++0x00
line.long 0x00 "DBGWVR1,Watchpoint Value Register"
hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address"
group.long c14:(0x0700+0x10)++0x00
line.long 0x00 "DBGWCR1,Watchpoint Control Register"
bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree "Watchpoint 2"
group.long c14:(0x0600+0x20)++0x00
line.long 0x00 "DBGWVR2,Watchpoint Value Register"
hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address"
group.long c14:(0x0700+0x20)++0x00
line.long 0x00 "DBGWCR2,Watchpoint Control Register"
bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree "Watchpoint 3"
group.long c14:(0x0600+0x30)++0x00
line.long 0x00 "DBGWVR3,Watchpoint Value Register"
hexmask.long 0x00 2.--31. 0x4 "ADDRESS,Data address"
group.long c14:(0x0700+0x30)++0x00
line.long 0x00 "DBGWCR3,Watchpoint Control Register"
bitfld.long 0x00 24.--28. "MASK,Address mask" "No mask,Reserved,Reserved,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31"
bitfld.long 0x00 20. "WT,Watchpoint type" "Unlinked,Linked"
bitfld.long 0x00 16.--19. "LBN,Linked breakpoint number" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 14.--15. "SSC,Security state control" "Both,Non-secure,Secure,?..."
bitfld.long 0x00 13. "HMC,Hyp mode control" "Disabled,Enabled"
hexmask.long.byte 0x00 5.--12. 1. "BAS,Byte address select"
newline
bitfld.long 0x00 3.--4. "LSC,Load/store control" "Reserved,Load,Store,Both"
bitfld.long 0x00 1.--2. "PAC,Privileged mode control" "User/System/Supervisor,Privileged,User only,Any mode"
bitfld.long 0x00 0. "E,Enable" "Disabled,Enabled"
tree.end
tree.end
tree "DynamIQ Shared Unit"
tree "Cluster Control Registers"
if (((per.l(c15:0x003F))&0x2000)==0x00)
rgroup.long c15:0x003F++0x00
line.long 0x00 "CLUSTERCFR,Cluster Configuration Register"
bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..."
newline
bitfld.long 0x00 17. "CRSP3,Core 3 Register slice present" "Not present,Present"
bitfld.long 0x00 16. "CRSP2,Core 2 Register slice present" "Not present,Present"
bitfld.long 0x00 15. "CRSP1,Core 1 Register slice present" "Not present,Present"
newline
bitfld.long 0x00 14. "CRSP0,Core 0 Register slice present" "Not present,Present"
bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended"
bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present"
newline
bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present"
bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Single 256-bit CHI"
bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC"
newline
bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM Register slice present" "Not present,Present"
bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles"
bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles"
newline
bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present"
bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..."
else
rgroup.long c15:0x003F++0x00
line.long 0x00 "CLUSTERCFR,Cluster Configuration Register"
bitfld.long 0x00 24.--27. "NPE,Number of processing elements" "1,2,?..."
newline
bitfld.long 0x00 17. "CRSP3,Core 3 Register slice present" "Not present,Present"
bitfld.long 0x00 16. "CRSP2,Core 2 Register slice present" "Not present,Present"
bitfld.long 0x00 15. "CRSP1,Core 1 Register slice present" "Not present,Present"
newline
bitfld.long 0x00 14. "CRSP0,Core 0 Register slice present" "Not present,Present"
bitfld.long 0x00 13. "BUS_INTERFACE_EXT,Bus interface extended" "Not extended,Extended"
bitfld.long 0x00 12. "PPP,Peripheral port present" "Not present,Present"
newline
bitfld.long 0x00 11. "ACP,ACP interface present" "Not present,Present"
bitfld.long 0x00 9.--10. "BUS_INTERFACE,Bus interface configuration" "Single 128-bit ACE,Dual 128-bit ACE,Single 128-bit CHI,Dual 256-bit CHI"
bitfld.long 0x00 8. "SCU_L3_ECC,SCU-L3 is configured with ECC" "No ECC,ECC"
newline
bitfld.long 0x00 7. "L3_DATA_RAM_RS,L3 data RAM Register slice present" "Not present,Present"
bitfld.long 0x00 6. "L3_DATA_RAM_RL,L3 data RAM read latency" "2 cycles,3 cycles"
bitfld.long 0x00 5. "L3_DATA_RAM_WL,L3 data RAM write latency" "1 cycle,2 cycles"
newline
bitfld.long 0x00 4. "L3_CACHE_PRESENT,L3 cache present" "Not present,Present"
bitfld.long 0x00 0.--2. "NOC,Number of cores present in the cluster" "1,2,3,4,?..."
endif
rgroup.long c15:0x013F++0x00
line.long 0x00 "CLUSTERIDR,Cluster Main Revision ID"
hexmask.long.byte 0x00 4.--7. 1. "VARIANT,Indicates the variant of the DSU"
hexmask.long.byte 0x00 0.--3. 1. "REVISION,Indicates the minor revision number of the DSU"
rgroup.long c15:0x023F++0x00
line.long 0x00 "CLUSTERREVIDR,Cluster ECO ID"
rgroup.long c15:0x033F++0x00
line.long 0x00 "CLUSTERACTLR,Cluster Auxiliary Control Register"
if (((per.l(c15:0x003F))&0x600)==(0x00||0x200))
group.long c15:0x043F++0x00
line.long 0x00 "CLUSTERECTLR,Cluster Extended Control Register"
bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled"
bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128"
bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes"
newline
bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported"
bitfld.long 0x00 3. "CTEC,Clean/evict to external control disable" "No,Yes"
bitfld.long 0x00 2. "CFUCEC,Cache flush UniqueClean eviction control" "No,Yes"
newline
bitfld.long 0x00 0. "DNCWL,Disable non-cacheable write limit" "No,Yes"
else
group.long c15:0x043F++0x00
line.long 0x00 "CLUSTERECTLR,Cluster Extended Control Register"
bitfld.long 0x00 14. "CUEC,Cache UniqueClean eviction control" "Disabled,Enabled"
bitfld.long 0x00 8.--10. "PMD,Prefetch matching delay" "1,2,4,8,16,32,64,128"
bitfld.long 0x00 7. "DICA,Disable interconnect cacheable atomics" "No,Yes"
newline
bitfld.long 0x00 4. "IDPS,Interconnect data poisoning support" "Not supported,Supported"
bitfld.long 0x00 3. "CTEC,Clean/evict to external control disable" "No,Yes"
bitfld.long 0x00 2. "CFUCEC,Cache flush UniqueClean eviction control" "No,Yes"
endif
group.long c15:0x053F++0x00
line.long 0x00 "CLUSTERPWRCTLR,Cluster Power Control Register"
bitfld.long 0x00 4.--7. "CPPR,Cache portion power request" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 0.--2. "FUNC_RET_CTRL,Duration of inactivity before the DSU uses CLUSTERPACTIVE" "Disabled,2 AT ticks,8 AT ticks,32 AT ticks,64 AT ticks,128 AT ticks,256 AT ticks,512 AT ticks"
group.long c15:0x063F++0x00
line.long 0x00 "CLUSTERPWRDN,Cluster Power Down Register"
bitfld.long 0x00 1. "MRR,Memory retention required" "Not required,Required"
bitfld.long 0x00 0. "CPR,Cluster power required" "Not required,Required"
rgroup.long c15:0x073F++0x00
line.long 0x00 "CLUSTERPWRSTAT,Cluster Power Status Register"
bitfld.long 0x00 4.--7. "CPPS,This bits indicates which cache portions are currently powered up and available" "No ways,Ways 0-3,Reserved,Ways 0-7,Reserved,Reserved,Reserved,Ways 0-11,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Reserved,Ways 0-15"
bitfld.long 0x00 1. "RWPD,Enabled memory retention when all cores are powered down" "Disabled,Enabled"
bitfld.long 0x00 0. "DCPD,Disabled cluster power down when all cores are powered down" "No,Yes"
group.long c15:0x004F++0x00
line.long 0x00 "CLUSTERTHREADSID,Cluster Thread Scheme ID Register"
bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for current thread" "0,1,2,3,4,5,6,7"
group.long c15:0x014F++0x00
line.long 0x00 "CLUSTERACPSID,Cluster ACP Scheme ID Register"
bitfld.long 0x00 0.--2. "SCHEME_ID_ACP,Scheme ID for ACP transactions" "0,1,2,3,4,5,6,7"
group.long c15:0x024F++0x00
line.long 0x00 "CLUSTERSTASHSID,Cluster Stash Scheme ID Register"
bitfld.long 0x00 0.--2. "SCHEME_ID_SR,Scheme ID for stash requests received from the interconnect" "0,1,2,3,4,5,6,7"
group.long c15:0x034F++0x00
line.long 0x00 "CLUSTERPARTCR,Cluster Partition Control Register"
bitfld.long 0x00 31. "W3_ID7,Way group 3 is assigned as private to scheme ID 7" "Not assigned,Assigned"
bitfld.long 0x00 30. "W2_ID7,Way group 2 is assigned as private to scheme ID 7" "Not assigned,Assigned"
bitfld.long 0x00 29. "W1_ID7,Way group 1 is assigned as private to scheme ID 7" "Not assigned,Assigned"
newline
bitfld.long 0x00 28. "W0_ID7,Way group 0 is assigned as private to scheme ID 7" "Not assigned,Assigned"
bitfld.long 0x00 27. "W3_ID6,Way group 3 is assigned as private to scheme ID 6" "Not assigned,Assigned"
bitfld.long 0x00 26. "W2_ID6,Way group 2 is assigned as private to scheme ID 6" "Not assigned,Assigned"
newline
bitfld.long 0x00 25. "W1_ID6,Way group 1 is assigned as private to scheme ID 6" "Not assigned,Assigned"
bitfld.long 0x00 24. "W0_ID6,Way group 0 is assigned as private to scheme ID 6" "Not assigned,Assigned"
bitfld.long 0x00 23. "W3_ID5,Way group 3 is assigned as private to scheme ID 5" "Not assigned,Assigned"
newline
bitfld.long 0x00 22. "W2_ID5,Way group 2 is assigned as private to scheme ID 5" "Not assigned,Assigned"
bitfld.long 0x00 21. "W1_ID5,Way group 1 is assigned as private to scheme ID 5" "Not assigned,Assigned"
bitfld.long 0x00 20. "W0_ID5,Way group 0 is assigned as private to scheme ID 5" "Not assigned,Assigned"
newline
bitfld.long 0x00 19. "W3_ID4,Way group 3 is assigned as private to scheme ID 4" "Not assigned,Assigned"
bitfld.long 0x00 18. "W2_ID4,Way group 2 is assigned as private to scheme ID 4" "Not assigned,Assigned"
bitfld.long 0x00 17. "W1_ID4,Way group 1 is assigned as private to scheme ID 4" "Not assigned,Assigned"
newline
bitfld.long 0x00 16. "W0_ID4,Way group 0 is assigned as private to scheme ID 4" "Not assigned,Assigned"
bitfld.long 0x00 15. "W3_ID3,Way group 3 is assigned as private to scheme ID 3" "Not assigned,Assigned"
bitfld.long 0x00 14. "W2_ID3,Way group 2 is assigned as private to scheme ID 3" "Not assigned,Assigned"
newline
bitfld.long 0x00 13. "W1_ID3,Way group 1 is assigned as private to scheme ID 3" "Not assigned,Assigned"
bitfld.long 0x00 12. "W0_ID3,Way group 0 is assigned as private to scheme ID 3" "Not assigned,Assigned"
bitfld.long 0x00 11. "W3_ID2,Way group 3 is assigned as private to scheme ID 2" "Not assigned,Assigned"
newline
bitfld.long 0x00 10. "W2_ID2,Way group 2 is assigned as private to scheme ID 2" "Not assigned,Assigned"
bitfld.long 0x00 9. "W1_ID2,Way group 1 is assigned as private to scheme ID 2" "Not assigned,Assigned"
bitfld.long 0x00 8. "W0_ID2,Way group 0 is assigned as private to scheme ID 2" "Not assigned,Assigned"
newline
bitfld.long 0x00 7. "W3_ID1,Way group 3 is assigned as private to scheme ID 1" "Not assigned,Assigned"
bitfld.long 0x00 6. "W2_ID1,Way group 2 is assigned as private to scheme ID 1" "Not assigned,Assigned"
bitfld.long 0x00 5. "W1_ID1,Way group 1 is assigned as private to scheme ID 1" "Not assigned,Assigned"
newline
bitfld.long 0x00 4. "W0_ID1,Way group 0 is assigned as private to scheme ID 1" "Not assigned,Assigned"
bitfld.long 0x00 3. "W3_ID0,Way group 3 is assigned as private to scheme ID 0" "Not assigned,Assigned"
bitfld.long 0x00 2. "W2_ID0,Way group 2 is assigned as private to scheme ID 0" "Not assigned,Assigned"
newline
bitfld.long 0x00 1. "W1_ID0,Way group 1 is assigned as private to scheme ID 0" "Not assigned,Assigned"
bitfld.long 0x00 0. "W0_ID0,Way group 0 is assigned as private to scheme ID 0" "Not assigned,Assigned"
newline
group.long c15:0x044F++0x00
line.long 0x00 "CLUSTERBUSQOS,Cluster Bus QoS Control Register"
bitfld.long 0x00 28.--31. "CHI_BUS_QOS_SCHEME_ID7,Value driven on the CHI bus QoS field for scheme ID 7" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 24.--27. "CHI_BUS_QOS_SCHEME_ID6,Value driven on the CHI bus QoS field for scheme ID 6" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 20.--23. "CHI_BUS_QOS_SCHEME_ID5,Value driven on the CHI bus QoS field for scheme ID 5" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 16.--19. "CHI_BUS_QOS_SCHEME_ID4,Value driven on the CHI bus QoS field for scheme ID 4" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 12.--15. "CHI_BUS_QOS_SCHEME_ID3,Value driven on the CHI bus QoS field for scheme ID 3" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 8.--11. "CHI_BUS_QOS_SCHEME_ID2,Value driven on the CHI bus QoS field for scheme ID 2" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
newline
bitfld.long 0x00 4.--7. "CHI_BUS_QOS_SCHEME_ID1,Value driven on the CHI bus QoS field for scheme ID 1" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
bitfld.long 0x00 0.--3. "CHI_BUS_QOS_SCHEME_ID0,Value driven on the CHI bus QoS field for scheme ID 0" "0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15"
group.long c15:0x054F++0x00
line.long 0x00 "CLUSTERL3HIT,Cluster L3 Hit Counter Register"
group.long c15:0x064F++0x00
line.long 0x00 "CLUSTERL3MISS,Cluster L3 Miss Counter Register"
group.long c15:0x074F++0x00
line.long 0x00 "CLUSTERTHREADSIDOVR,Cluster Thread Scheme ID Override Register"
bitfld.long 0x00 16.--18. "SCHEME_ID_MASK,A bit set in the mask causes the matching bit to be taken from this Register rather than from the CLUSTERTHREADSID_EL1 Register" "0,1,2,3,4,5,6,7"
bitfld.long 0x00 0.--2. "SCHEME_ID_THREAD,Scheme ID for this thread if masked" "0,1,2,3,4,5,6,7"
tree.end
tree "Error System Registers"
rgroup.long c15:0x0035++0x00
line.long 0x00 "ERRIDR,Error Record ID Register"
hexmask.long.word 0x00 0.--15. 1. "NUM,Number of records that can be accessed through the Error Record system Registers"
group.long c15:0x0135++0x00
line.long 0x00 "ERRSELR,Error Record Select Register"
bitfld.long 0x00 0. "SEL,Selects the record accessed through the Error Record system Registers" "Record 0 - Core,Record 1 - DSU"
if (((per.l(c15:0x0135))&0x01)==0x00)
if CORENAME()=="CORTEXA55"
rgroup.long c15:0x0345++0x00
line.long 0x00 "ERXADDR,Selected Error Record Address Register"
rgroup.long c15:0x0745++0x00
line.long 0x00 "ERXADDR2,Selected Error Record Address Register 2"
group.long c15:0x0145++0x00
line.long 0x00 "ERXCTLR,Selected Error Record Control Register"
bitfld.long 0x00 8. "CFI,Fault handling interrupt for corrected errors enable" "Disabled,Enabled"
bitfld.long 0x00 3. "FI,Fault handling interrupt enable" "Disabled,Enabled"
bitfld.long 0x00 2. "UI,Uncorrected error recovery interrupt enable" "Disabled,Enabled"
newline
bitfld.long 0x00 0. "ED,Enable error detection" "Disabled,Enabled"
rgroup.long c15:0x0545++0x00
line.long 0x00 "ERXCTLR2,Selected Error Record Control Register 2"
rgroup.long c15:0x0045++0x00
line.long 0x00 "ERXFR,Selected Error Record Feature Register"
bitfld.long 0x00 18.--19. "CEO,Previous error syndrome is kept on a second corrected error" "Yes,?..."
bitfld.long 0x00 16.--17. "DUI,Error recovery interrupt for deferred errors" "Not supported,?..."
bitfld.long 0x00 15. "RP,Indicates whether a repeat counter is implemented" "Reserved,1st and 2nd counter implemented"
newline
bitfld.long 0x00 12.--14. "CEC,Defines whether the node implements a standard CE counter mechanism in ERR 0 = No System Bus Security 1 = System Bus Restricted
2: Choosen by MSTR2.target_frequency register. Programming Mode: Quasi-dynamic Group 2" "Original registers,When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers"
newline
rbitfld.long 0x0 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24.--25. "ACTIVE_RANKS,Only present for multi-rank configurations. Each bit represents one rank. For two-rank configurations only bits[25:24] are present. - 1 - Populated - 0 - Unpopulated LSB is the lowest rank number. For two ranks following combinations are.." "Unpopulated,One rank,?,?"
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "BURST_RDWR,Indicates SDRAM burst length used: - 0001 - Burst length of 2 (only supported for mDDR) - 0010 - Burst length of 4 - 0100 - Burst length of 8 - 1000 - Burst length of 16 (only supported for mDDR LPDDR2 and LPDDR4) All other values are.."
newline
bitfld.long 0x0 15. "DLL_OFF_MODE,Set to: - 1 - When the uMCTL2 and DRAM has to be put in DLL-off mode for low frequency operation - 0 - To put uMCTL2 and DRAM in DLL-on mode for normal frequency operation If DDR4 CRC/parity retry is enabled.." "To put uMCTL2 and DRAM in DLL-on mode for normal..,When the uMCTL2 and DRAM has to be put in.."
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 12.--13. "DATA_BUS_WIDTH,Selects proportion of DQ bus width that is used by the SDRAM. - 00 - Full DQ bus width to SDRAM - 01 - Half DQ bus width to SDRAM - 10 - Quarter DQ bus width to SDRAM - 11 - Reserved Note that half bus width mode is only supported when the.." "Full DQ bus width to SDRAM,Half DQ bus width to SDRAM,?,?"
newline
bitfld.long 0x0 11. "GEARDOWN_MODE,- 1 - Indicates the DRAM in geardown mode (2N) - 0 - Indicates the DRAM in normal mode (1N) This register can be changed only when the controller is in the self-refresh mode. This signal must be set the same value as MR3 bit A3. Note: -.." "Indicates the DRAM in normal mode,Indicates the DRAM in geardown mode"
newline
bitfld.long 0x0 10. "EN_2T_TIMING_MODE,If 1 then uMCTL2 uses 2T timing otherwise uses 1T timing. In 2T timing all command signals (except chip select) are held for 2 clocks on the SDRAM bus. Chip select is asserted on the second cycle of the command. Note: - 2T timing is.." "0,1"
newline
bitfld.long 0x0 9. "BURSTCHOP,When this bit is set enables burst-chop (BC4 or 8 on-the-fly) in DDR3/DDR4. Burst Chop for reads is exercised only: - In HIF configurations (UMCTL2_INCL_ARB not set) - If in full bus width mode (MSTR.data_bus_width = 00) - If.." "0,1"
newline
rbitfld.long 0x0 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "LPDDR4,Selects LPDDR4 SDRAM. - 1 - LPDDR4 SDRAM device in use - 0 - non-LPDDR4 device in use Present only in designs configured to support LPDDR4. Programming Mode: Static" "non-LPDDR4 device in use,LPDDR4 SDRAM device in use"
newline
bitfld.long 0x0 4. "DDR4,Selects DDR4 SDRAM. - 1 - DDR4 SDRAM device in use - 0 - non-DDR4 device in use Present only in designs configured to support DDR4. Programming Mode: Static" "non-DDR4 device in use,DDR4 SDRAM device in use"
newline
bitfld.long 0x0 3. "LPDDR3,Selects LPDDR3 SDRAM. - 1 - LPDDR3 SDRAM device in use - 0 - non-LPDDR3 device in use Present only in designs configured to support LPDDR3. Programming Mode: Static" "non-LPDDR3 device in use,LPDDR3 SDRAM device in use"
newline
bitfld.long 0x0 2. "LPDDR2,Selects LPDDR2 SDRAM. - 1 - LPDDR2 SDRAM device in use - 0 - non-LPDDR2 device in use Present only in designs configured to support LPDDR2. Programming Mode: Static" "non-LPDDR2 device in use,LPDDR2 SDRAM device in use"
newline
rbitfld.long 0x0 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0. "DDR3,Selects DDR3 SDRAM. - 1 - DDR3 SDRAM device in use - 0 - non-DDR3 SDRAM device in use Present only in designs configured to support DDR3. Programming Mode: Static" "non-DDR3 SDRAM device in use,DDR3 SDRAM device in use"
rgroup.long 0x4++0x3
line.long 0x0 "STAT,Operating Mode Status Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 12. "SELFREF_CAM_NOT_EMPTY,Self-refresh with CAMs not empty. Set to 1 when self-refresh is entered but CAMs are not drained. Cleared after exiting self-refresh. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "SELFREF_STATE,This indicates self-refresh or self-refresh power down state for LPDDR4. This register is used for frequency change and MRR/MRW access during self-refresh. - 00 - SDRAM is not in self-refresh - 01 - Self-refresh 1 - 10 - Self-refresh power.." "SDRAM is not in self-refresh,Self-refresh 1,?,?"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "SELFREF_TYPE,Flags if self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4) is entered and if it is under automatic self-refresh control only or not. - 00 - SDRAM is not in self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4). If retry is enabled by.." "SDRAM is not in self-refresh,SDRAM is in self-refresh,?,?"
newline
bitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "OPERATING_MODE,This is 3-bits wide in configurations with mDDR/LPDDR2/LPDDR3/LPDDR4/DDR4 support and 2-bits in all other configurations. non-mDDR/LPDDR2/LPDDR3/LPDDR4 and non-DDR4 designs: - 00 - Init - 01 - Normal - 10 - Power-down - 11 - Self-refresh.." "Init,Normal,?,bits wide in configurations with..,?,?,?,?"
group.long 0x10++0x7
line.long 0x0 "MRCTRL0,Mode Register Read/Write Control Register 0. Note: Do not enable more than one of the following fields simultaneously: - sw_init_int - pda_en - mpr_en"
bitfld.long 0x0 31. "MR_WR,Setting this register bit to 1 triggers a mode register read or write operation. When the MR operation is complete the uMCTL2 automatically clears this bit. The other fields of this register must be written in a separate APB transaction before.." "0,1"
newline
bitfld.long 0x0 30. "PBA_MODE,Indicates whether PBA access is executed. When setting this bit to 1 along with setting pda_en to 1 uMCTL2 initiates PBA access instead of PDA access. - 0 - Per DRAM Addressability mode - 1 - Per Buffer Addressability mode The completion of PBA.." "Per DRAM Addressability mode,Per Buffer Addressability mode"
newline
hexmask.long.word 0x0 16.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 12.--15. 1. "MR_ADDR,Address of the mode register that is to be written to. - 0000 - MR0 - 0001 - MR1 - 0010 - MR2 - 0011 - MR3 - 0100 - MR4 - 0101 - MR5 - 0110 - MR6 - 0111 - MR7 Don't Care for LPDDR2/LPDDR3/LPDDR4 (see MRCTRL1.mr_data for mode register addressing.."
newline
hexmask.long.byte 0x0 6.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--5. "MR_RANK,Controls which rank is accessed by MRCTRL0.mr_wr. Normally it is desired to access all ranks so all bits must be set to 1. However for multi-rank UDIMMs/RDIMMs/LRDIMMs which implement address mirroring it might be necessary to access ranks.." "?,Select rank 0 only,Select rank 1 only,?"
newline
bitfld.long 0x0 3. "SW_INIT_INT,Indicates whether software intervention is allowed through MRCTRL0/MRCTRL1 before automatic SDRAM initialization routine or not. For DDR4 this bit can be used to initialize the DDR4 RCD (MR7) before automatic SDRAM initialization. For LPDDR4.." "Software intervention is not allowed,Software intervention is allowed"
newline
bitfld.long 0x0 2. "PDA_EN,Indicates whether the mode register operation is MRS in PDA mode or not. - 0 - MRS - 1 - MRS in Per DRAM Addressability mode Note that when pba_mode=1 PBA access is initiated instead of PDA access. Programming Mode: Dynamic" "MRS,MRS in Per DRAM Addressability mode"
newline
bitfld.long 0x0 1. "MPR_EN,Indicates whether the mode register operation is MRS or WR/RD for MPR (only supported for DDR4). - 0 - MRS - 1 - WR/RD for MPR Programming Mode: Dynamic" "MRS,WR/RD for MPR"
newline
bitfld.long 0x0 0. "MR_TYPE,Indicates whether the mode register operation is read or write. Only used for LPDDR2/LPDDR3/LPDDR4/DDR4. - 0 - Write - 1 - Read Programming Mode: Dynamic" "Write,Read"
line.long 0x4 "MRCTRL1,Mode Register Read/Write Control Register 1"
hexmask.long.word 0x4 18.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "MR_DATA,Mode register write data for all non-LPDDR2/non-LPDDR3/non-LPDDR4 modes. For LPDDR2/LPDDR3/LPDDR4 MRCTRL1[15:0] are interpreted as: - [15:8] - MR Address - [7:0] - MR data for writes don't care for reads This is 18-bits wide in configurations.."
rgroup.long 0x18++0x3
line.long 0x0 "MRSTAT,Mode Register Read/Write Status Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "PDA_DONE,The SoC might initiate a MR write operation in PDA/PBA mode only if this signal is low. This signal goes: - High when three consecutive MRS commands related to the PDA/PBA mode are issued to the SDRAM - Low when MRCTRL0.pda_en becomes 0.." "Indicates that mode register write operation..,Indicates that mode register write operation.."
newline
hexmask.long.byte 0x0 1.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "MR_WR_BUSY,The SoC might initiate a MR write operation only if this signal is low. This signal goes: - High in the clock after the uMCTL2 accepts the MRW/MRR request - Low when the MRW/MRR command is issued to the SDRAM It is recommended not to perform.." "Indicates that the SoC can initiate a mode..,Indicates that mode register write operation is.."
group.long 0x1C++0x1F
line.long 0x0 "MRCTRL2,Mode Register Read/Write Control Register 2"
hexmask.long 0x0 0.--31. 1. "MR_DEVICE_SEL,Indicates the devices to be selected during the MRS that happens in PDA mode. Each bit is associated with one device. For example bit[0] corresponds to Device 0 bit[1] to Device 1 and so on. - 1 - Indicates that the MRS command must be.."
line.long 0x4 "DERATEEN,Temperature Derate Enable Register"
hexmask.long.tbyte 0x4 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
rbitfld.long 0x4 11. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x4 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
rbitfld.long 0x4 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x4 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x8 "DERATEINT,Temperature Derate Interval Register"
hexmask.long 0x8 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
line.long 0xC "MSTR2,Master Register2"
hexmask.long 0xC 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 0.--1. "TARGET_FREQUENCY,If MSTR.frequency_mode = 1 this field specifies the target frequency. - 0 - Frequency 0/Normal - 1 - Frequency 1/FREQ1 - 2 - Frequency 2/FREQ2 - 3 - Frequency 3/FREQ3 If MSTR.frequency_mode=0 this field is ignored. Note: If the target.." "Frequency 0/Normal,Frequency 1/FREQ1,Frequency 2/FREQ2,Frequency 3/FREQ3"
line.long 0x10 "DERATECTL,Temperature Derate Control Register"
hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x10 2. "DERATE_TEMP_LIMIT_INTR_FORCE,Interrupt force bit for derate_temp_limit_intr. Setting this field to 1 causes the derate_temp_limit_intr output pin to be asserted. At the end of the interrupt force operation the uMCTL2 automatically clears this bit." "0,1"
newline
bitfld.long 0x10 1. "DERATE_TEMP_LIMIT_INTR_CLR,Interrupt clear bit for derate_temp_limit_intr. At the end of the interrupt clear operation the uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x10 0. "DERATE_TEMP_LIMIT_INTR_EN,Interrupt enable bit for derate_temp_limit_intr output pin. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
line.long 0x14 "PWRCTL,Low Power Control Register"
hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x14 8. "LPDDR4_SR_ALLOWED,Indicates whether transition from SR-PD to SR and back to SR-PD is allowed. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - SR-PD -> SR -> SR-PD not allowed - 1 - SR-PD -> SR -> SR-PD allowed Programming Mode:.." "SR-PD,SR-PD"
newline
bitfld.long 0x14 7. "DIS_CAM_DRAIN_SELFREF,Indicates whether skipping CAM draining is allowed when entering self-refresh. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - CAMs must be empty before entering SR - 1 - CAMs are not emptied before.." "CAMs must be empty before entering SR,CAMs are not emptied before entering SR"
newline
bitfld.long 0x14 6. "STAY_IN_SELFREF,Self-refresh state is an intermediate state to enter to self-refresh power down state or exit self-refresh power down state for LPDDR4. This register controls transition from the self-refresh state. - 1 - Prohibit transition from.." "Allow transition from self-refresh state,Prohibit transition from self-refresh state"
newline
bitfld.long 0x14 5. "SELFREF_SW,A value of 1 to this register causes system to move to self-refresh state immediately as long as it is not in INIT or DPD/MPSM operating_mode. This is referred to as Software Entry/Exit to self-refresh. - 1 - Software Entry to self-refresh -.." "Software Exit from self-refresh,Software Entry to self-refresh"
newline
bitfld.long 0x14 4. "MPSM_EN,When this bit is 1 the uMCTL2 puts the SDRAM into maximum power saving mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of maximum power saving mode. Present only in designs configured to support.." "0,1"
newline
bitfld.long 0x14 3. "EN_DFI_DRAM_CLK_DISABLE,Enables the assertion of dfi_dram_clk_disable whenever a clock is not required by the SDRAM. If set to 0 dfi_dram_clk_disable is never asserted. Assertion of dfi_dram_clk_disable is as follows: In DDR2/DDR3 can only be asserted.." "0,1"
newline
bitfld.long 0x14 2. "DEEPPOWERDOWN_EN,When this bit is 1 uMCTL2 puts the SDRAM into deep power-down mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of deep power-down mode. The controller performs automatic SDRAM.." "0,1"
newline
bitfld.long 0x14 1. "POWERDOWN_EN,If true then the uMCTL2 goes into power-down after a programmable number of cycles 'maximum idle clocks before power down' (PWRTMG.powerdown_to_x32). This register bit may be re-programmed during the course of normal operation. Programming.." "0,1"
newline
bitfld.long 0x14 0. "SELFREF_EN,If true then the uMCTL2 puts the SDRAM into self-refresh after a programmable number of cycles 'maximum idle clocks before self-refresh (PWRTMG.selfref_to_x32)'. This register bit may be re-programmed during the course of normal operation." "0,1"
line.long 0x18 "PWRTMG,Low Power Timing Register"
hexmask.long.byte 0x18 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x18 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
rbitfld.long 0x18 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x18 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
line.long 0x1C "HWLPCTL,Hardware Low Power Control Register"
hexmask.long.byte 0x1C 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x1C 16.--27. 1. "HW_LP_IDLE_X32,Hardware idle period. The cactive_ddrc output is driven low if the DDRC command channel is idle for hw_lp_idle * 32 cycles if not in INIT or DPD/MPSM operating_mode. The DDRC command channel is considered idle when there are no HIF.."
newline
hexmask.long.word 0x1C 2.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x1C 1. "HW_LP_EXIT_IDLE_EN,When this bit is programmed to 1 the cactive_in_ddrc pin of the DDRC can be used to exit from the automatic clock stop automatic power down or automatic self-refresh modes. Note it does not cause exit of self-refresh that was caused.." "0,1"
newline
bitfld.long 0x1C 0. "HW_LP_EN,Enable this bit for Hardware Low Power Interface. Programming Mode: Quasi-dynamic Group 2" "0,1"
group.long 0x50++0x7
line.long 0x0 "RFSHCTL0,Refresh Control Register 0"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
rbitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "RFSHCTL1,Refresh Control Register 1"
hexmask.long.byte 0x4 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--27. 1. "REFRESH_TIMER1_START_VALUE_X32,Refresh timer start for rank 1 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
newline
hexmask.long.byte 0x4 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--11. 1. "REFRESH_TIMER0_START_VALUE_X32,Refresh timer start for rank 0 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
group.long 0x60++0xB
line.long 0x0 "RFSHCTL3,Refresh Control Register 3"
hexmask.long 0x0 7.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--6. "REFRESH_MODE,Indicates fine granularity refresh mode. - 000 - Fixed 1x (Normal mode) - 001 - Fixed 2x - 010 - Fixed 4x - 101 - Enable on the fly 2x (not supported) - 110 - Enable on the fly 4x (not supported) - Everything else - reserved Note: - Only.." "Fixed 1x,The on-the-fly modes are not supported in this..,This register field has effect only if a DDR4..,?,?,?,?,?"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "REFRESH_UPDATE_LEVEL,Toggle this signal (either from 0 to 1 or from 1 to 0) to indicate that the refresh registers have been updated. refresh_update_level must not be toggled when the DDRC is in reset (core_ddrc_rstn = 0). The refresh registers are.." "0,1"
newline
bitfld.long 0x0 0. "DIS_AUTO_REFRESH,When '1' disable auto-refresh generated by the uMCTL2. When auto-refresh is disabled the SoC must generate refreshes using the registers DBGCMD.rankn_refresh. When dis_auto_refresh transitions from 0 to 1 any pending refreshes are.." "0,1"
line.long 0x4 "RFSHTMG,Refresh Timing Register"
bitfld.long 0x4 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
rbitfld.long 0x4 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x4 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.byte 0x4 10.--14. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x8 "RFSHTMG1,Refresh Timing Register1"
hexmask.long.byte 0x8 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
newline
hexmask.long.word 0x8 0.--15. 1. "RESERVED,Reserved"
group.long 0x70++0x7
line.long 0x0 "ECCCFG0,ECC Configuration Register 0"
bitfld.long 0x0 30.--31. "ECC_REGION_MAP_GRANU,Indicates granularity of selectable protected region. Define one region size for ECCCFG0.ecc_region_map. - 0 - 1/8 of memory spaces - 1 - 1/16 of memory spaces - 2 - 1/32 of memory spaces - 3 - 1/64 of memory spaces Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 29. "ECC_REGION_MAP_OTHER,When ECCCFG0.ecc_region_map_granu>0 there is a region which is not controlled by ecc_region_map. This register defines the region to be protected or non-protected for Inline ECC. - 0 - Non-Protected - 1 - Protected This register is.." "Non-Protected,Protected"
newline
rbitfld.long 0x0 27.--28. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 24.--26. "ECC_AP_ERR_THRESHOLD,Sets threshold for address parity error. ECCAPSTAT.ecc_ap_err is asserted if number of ECC errors (correctable/uncorrectable) within one burst exceeds this threshold. This register value must be less than 'Total number of ECC checks.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "BLK_CHANNEL_IDLE_TIME_X32,Indicates the number of cycles on HIF interface with no access to protected regions which causes flush of all the block channels. In order to flush block channel uMCTL2 injects write ECC command (when there is no incoming HIF.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "ECC_REGION_MAP,Selectable Protected Region setting. Memory space is divided to 8/16/32/64 regions which is determined by ECCCFG0.ecc_region_map_granu. Note: Highest 1/8 memory space is always ECC region. Lowest 7 regions are Selectable Protected Regions."
newline
bitfld.long 0x0 7. "ECC_REGION_REMAP_EN,Enables remapping ECC region feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
bitfld.long 0x0 6. "ECC_AP_EN,Enables address protection feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_SCRUB,Disables ECC scrubs. Valid only when ECCCFG0.ecc_mode = 3'b100 or 3'b101 and MEMC_USE_RMW is defined. Note: Scrub is not supported in inline ECC mode and the register value is don't care. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "ECC_MODE,ECC mode indicator. - 000 - ECC disabled - 100 - ECC enabled - SEC/DED over 1 beat - 101 - ECC enabled - Advanced ECC (Illegal value when MEMC_INLINE_ECC=1) - all other settings are reserved for future use Programming Mode: Static" "ECC disabled,?,?,?,?,?,?,?"
line.long 0x4 "ECCCFG1,ECC Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ACTIVE_BLK_CHANNEL,Indicated the number of active block channels. Total number of ECC block channels are defined by MEMC_NO_OF_BLK_CHANNEL hardware parameter. This register can limit the number of available channels. For example if set to 0 only one.."
newline
bitfld.long 0x4 7. "BLK_CHANNEL_ACTIVE_TERM,If enabled block channel is terminated when full block write or full block read is performed (all address within block are written or read). - 0 - Disable (only for debug purpose) - 1 - Enable (default) This is debug register.." "Disable,Enable"
newline
rbitfld.long 0x4 6. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 5. "ECC_REGION_WASTE_LOCK,Locks the remaining waste parts of the ECC region (hole) that are not locked by ecc_region_parity_lock. - 1 - Locked; if this region is accessed error response is generated - 0 - Unlocked; this region can be accessed normally.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
bitfld.long 0x4 4. "ECC_REGION_PARITY_LOCK,Locks the parity section of the ECC region (hole) which is the highest system address part of the memory that stores ECC parity for protected region. - 1 - Locked; if this region is accessed error response is generated - 0 -.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 1. "DATA_POISON_BIT,Selects whether to poison 1 or 2 bits. - if 0 -> 2-bit (uncorrectable) data poisoning - if 1 -> 1-bit (correctable) data poisoning if ECCCFG1.data_poison_en=1 Valid only when MEMC_ECC_SUPPORT==1 (SECDED ECC mode) Programming Mode:.." "?,bit"
newline
bitfld.long 0x4 0. "DATA_POISON_EN,Enables ECC data poisoning - introduces ECC errors on writes to address specified by the ECCPOISONADDR0/1 registers. This field must be set to 0 if ECC is disabled (ECCCFG0.ecc_mode = 0). Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x78++0x3
line.long 0x0 "ECCSTAT,SECDED ECC Status Register (Valid only in MEMC_ECC_SUPPORT==1 (SECDED ECC mode))"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "ECC_UNCORRECTED_ERR,Double-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR,Single-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
bitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 0.--6. 1. "ECC_CORRECTED_BIT_NUM,Indicates the bit number corrected by single-bit ECC error. For encoding of this field see ECC section in the Architecture chapter. If more than one data lane has an error the lower data lane is selected. This register is 7 bits.."
group.long 0x7C++0x3
line.long 0x0 "ECCCTL,ECC Clear Register"
hexmask.long.word 0x0 19.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "ECC_AP_ERR_INTR_FORCE,Interrupt force bit for ecc_ap_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "ECC_UNCORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_uncorrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an.." "0,1"
newline
bitfld.long 0x0 16. "ECC_CORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_corrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt.." "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "ECC_AP_ERR_INTR_EN,Interrupt enable bit for ecc_ap_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 9. "ECC_UNCORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_uncorrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_corrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "ECC_AP_ERR_INTR_CLR,Interrupt clear bit for ecc_ap_err. If this bit is set the ECCAPSTAT.ecc_ap_err/ecc_ap_err_intr is cleared. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 3. "ECC_UNCORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error count. The ECCERRCNT.ecc_uncorr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 2. "ECC_CORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error count. The ECCERRCNT.ecc_corr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "ECC_UNCORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error. The following registers are cleared: - ECCSTAT.ecc_uncorrected_err - ADVECCSTAT.advecc_uncorrected_err - ECCUSYN0 - ECCUSYN1 - ECCUSYN2 uMCTL2.." "ECCUSYN1,?"
newline
bitfld.long 0x0 0. "ECC_CORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error. The following registers are cleared: - ECCSTAT.ecc_corrected_err - ADVECCSTAT.advecc_corrected_err - ADVECCSTAT.advecc_num_err_symbol -.." "ECCCSYN1,ECCBITMASK2"
rgroup.long 0x80++0xB
line.long 0x0 "ECCERRCNT,ECC Error Counter Register"
hexmask.long.word 0x0 16.--31. 1. "ECC_UNCORR_ERR_CNT,Indicates the number of uncorrectable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC.."
newline
hexmask.long.word 0x0 0.--15. 1. "ECC_CORR_ERR_CNT,Indicates the number of correctable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC with.."
line.long 0x4 "ECCCADDR0,ECC Corrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_CORR_RANK,Indicates the rank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_CORR_ROW,Indicates the page/row number of a read resulting in a corrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCCADDR1,ECC Corrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_CORR_BG,Indicates the bank group number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_CORR_BANK,Indicates the bank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_CORR_COL,Indicates the block number of a read resulting in a corrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x8C)++0x3
line.long 0x0 "ECCCSYN$1,ECC Corrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_SYNDROMES_31_0,Indicates the data pattern that resulted in a corrected error. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0x94++0x3
line.long 0x0 "ECCCSYN2,ECC Corrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_SYNDROMES_71_64,Indicates the data pattern that resulted in a corrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16] for.."
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x98)++0x3
line.long 0x0 "ECCBITMASK$1,ECC Corrected Data Bit Mask Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_BIT_MASK_31_0,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
repeat.end
rgroup.long 0xA0++0xB
line.long 0x0 "ECCBITMASK2,ECC Corrected Data Bit Mask Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_BIT_MASK_71_64,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
line.long 0x4 "ECCUADDR0,ECC Uncorrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_UNCORR_RANK,Indicates the rank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_UNCORR_ROW,Indicates the page/row number of a read resulting in an uncorrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCUADDR1,ECC Uncorrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_UNCORR_BG,Indicates the bank group number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_UNCORR_BANK,Indicates the bank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_UNCORR_COL,Indicates the block number of a read resulting in an uncorrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xAC)++0x3
line.long 0x0 "ECCUSYN$1,ECC Uncorrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_UNCORR_SYNDROMES_31_0,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0xB4++0x3
line.long 0x0 "ECCUSYN2,ECC Uncorrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_UNCORR_SYNDROMES_71_64,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16].."
group.long 0xB8++0xF
line.long 0x0 "ECCPOISONADDR0,ECC Data Poisoning Address Register 0. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "ECC_POISON_RANK,Indicates the rank address for ECC poisoning. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 12.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "ECC_POISON_COL,Indicates the column address for ECC poisoning. Note that this column address must be burst aligned: - In full bus width mode ecc_poison_col[2:0] must be set to 0 - In half bus width mode ecc_poison_col[3:0] must be set to 0 - In quarter.."
line.long 0x4 "ECCPOISONADDR1,ECC Data Poisoning Address Register 1. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 28.--29. "ECC_POISON_BG,Bank Group address for ECC poisoning. Programming Mode: Static" "0,1,2,3"
newline
rbitfld.long 0x4 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24.--26. "ECC_POISON_BANK,Bank address for ECC poisoning. Programming Mode: Static" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_POISON_ROW,Row address for ECC poisoning. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Static"
line.long 0x8 "CRCPARCTL0,CRC Parity Control Register0. Note: Do not perform any APB access to CRCPARCTL0 within 32 pclk cycles of previous access to CRCPARCTL0. as this might lead to data loss."
hexmask.long 0x8 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 2. "DFI_ALERT_ERR_CNT_CLR,Indicates the clear bit for DFI alert error counter. Asserting this bit clears the DFI alert error counter CRCPARSTAT.dfi_alert_err_cnt. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 1. "DFI_ALERT_ERR_INT_CLR,Interrupt clear bit for DFI alert error. If this bit is set the alert error interrupt on CRCPARSTAT.dfi_alert_err_int is cleared. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 0. "DFI_ALERT_ERR_INT_EN,Interrupt enable bit for DFI alert error. If this bit is set any parity/CRC error detected on the dfi_alert_n input results in an interrupt being set on CRCPARSTAT.dfi_alert_err_int. Programming Mode: Dynamic" "0,1"
line.long 0xC "CRCPARCTL1,CRC Parity Control Register1"
hexmask.long.tbyte 0xC 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 12. "CAPARITY_DISABLE_BEFORE_SR,If DDR4-SDRAM's CA parity is enabled by INIT6.mr5[2:0]!=0 and this register is set to 1 CA parity is automatically disabled before self-refresh entry and enabled after self-refresh exit by issuing MR5. - 1 - CA parity is.." "CA parity is not disabled before self-refresh..,CA parity is disabled before self-refresh entry"
newline
hexmask.long.byte 0xC 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 7. "CRC_INC_DM,CRC calculation setting register. - 1 - CRC includes DM signal - 0 - CRC not includes DM signal Present only in designs configured to support DDR4. Programming Mode: Static" "CRC not includes DM signal,CRC includes DM signal"
newline
rbitfld.long 0xC 5.--6. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0xC 4. "CRC_ENABLE,CRC enable Register. - 1 - Enable generation of CRC - 0 - Disable generation of CRC The setting of this register should match the CRC mode register setting in the DRAM. Programming Mode: Quasi-dynamic Group 2" "Disable generation of CRC,Enable generation of CRC"
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "PARITY_ENABLE,C/A Parity enable register. - 1 - Enable generation of C/A parity and detection of C/A parity error - 0 - Disable generation of C/A parity and disable detection of C/A parity error If RCD's parity error detection or SDRAM's parity detection.." "Disable generation of C/A parity and disable..,Enable generation of C/A parity and detection of.."
rgroup.long 0xCC++0x3
line.long 0x0 "CRCPARSTAT,CRC Parity Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "DFI_ALERT_ERR_INT,DFI alert error interrupt. If a parity/CRC error is detected on dfi_alert_n and the interrupt is enabled by CRCPARCTL0.dfi_alert_err_int_en this interrupt bit is set. It remains set until cleared by CRCPARCTL0.dfi_alert_err_int_clr." "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "DFI_ALERT_ERR_CNT,DFI alert error count. If a parity/CRC error is detected on dfi_alert_n this counter be incremented. This is independent of the setting of CRCPARCTL0.dfi_alert_err_int_en. It saturates at 0xFFFF and can be cleared by asserting.."
group.long 0xD0++0xB
line.long 0x0 "INIT0,SDRAM Initialization Register 0"
bitfld.long 0x0 30.--31. "SKIP_DRAM_INIT,If lower bit is enabled the SDRAM initialization routine is skipped. The upper bit decides what state the controller starts up in when reset is removed. - 00 - SDRAM Initialization routine is run after power-up - 01 - SDRAM Initialization.." "SDRAM Initialization routine is run after power-up,SDRAM Initialization routine is skipped after..,?,?"
newline
hexmask.long.byte 0x0 26.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 16.--25. 1. "POST_CKE_X1024,Indicates the number of cycles to wait after driving CKE high to start the SDRAM initialization sequence. DDR2 typically requires a 400 ns delay requiring this value to be programmed to 2 at all clock speeds. LPDDR2/LPDDR3 typically.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "PRE_CKE_X1024,Indicates the number of cycles to wait after reset before driving CKE high to start the SDRAM initialization sequence. DDR2 specifications typically require this to be programmed for a delay of >= 200 us. LPDDR2/LPDDR3: tINIT1 of 100 ns.."
line.long 0x4 "INIT1,SDRAM Initialization Register 1"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--24. 1. "DRAM_RSTN_X1024,Indicates the number of cycles to assert SDRAM reset signal during init sequence. This is only present for designs supporting DDR3 DDR4 or LPDDR4 devices. For use with a Synopsys DDR PHY this must be set to a minimum of 1. When the.."
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "PRE_OCD_X32,Indicates the wait period before driving the OCD complete command to SDRAM. There is no known specific requirement for this; it may be set to zero. Unit: Multiples of 32 DFI clock cycles. For more information on how to program this register.."
line.long 0x8 "INIT2,SDRAM Initialization Register 2"
hexmask.long.word 0x8 16.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--15. 1. "IDLE_AFTER_RESET_X32,Indicates the idle time after the reset command tINIT4. Present only in designs configured to support LPDDR2. When the controller is operating in 1:2 frequency ratio mode program this to JEDEC spec value divided by 2 and round it.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "MIN_STABLE_CLOCK_X1,Indicates the time to wait after the first CKE high tINIT2. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 5 x tCK delay. When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xE4++0x3
line.long 0x0 "INIT5,SDRAM Initialization Register 5"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--23. 1. "DEV_ZQINIT_X32,ZQ initial calibration tZQINIT. Present only in designs configured to support DDR3 or DDR4 or LPDDR2/LPDDR3. DDR3 typically requires 512 SDRAM clock cycles. DDR4 requires 1024 SDRAM clock cycles. LPDDR2/LPDDR3 requires 1 us. When the.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "MAX_AUTO_INIT_X1024,Indicates the maximum duration of the auto initialization tINIT5. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 10 us. Unit: Multiples of 1024 DFI clock cycles. For more information on.."
group.long 0xF0++0x7
line.long 0x0 "DIMMCTL,DIMM Control Register"
hexmask.long.tbyte 0x0 15.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 14. "RCD_B_OUTPUT_DISABLED,Disables RCD outputs to B-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[3] before and after disabling CAL mode. It is recommended to set it to ~DIMMCTL.dimm_output_inv_en.." "Enable B outputs,Disable B outputs"
newline
bitfld.long 0x0 13. "RCD_A_OUTPUT_DISABLED,Disables RCD outputs to A-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[2] before and after disabling CAL mode. It is recommended to set it to 0 except for debug. - 1 -.." "Enable A outputs,Disable A outputs"
newline
bitfld.long 0x0 12. "RCD_WEAK_DRIVE,Indicates the weak drive mode to be set to the RCD. This field is used only when the uMCTL2 disables CAL mode. When weak drive mode in the RCD is enabled during initialization this field must be set to 1. When RCD is not used this field.." "Disable Weak Drive mode,Enable Weak Drive mode"
newline
hexmask.long.byte 0x0 7.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 6. "LRDIMM_BCOM_CMD_PROT,Protects the timing restrictions (tBCW/tMRC) between consecutive BCOM commands defined in the Data Buffer specification. When using DDR4 LRDIMM this bit must be set to 1. Otherwise this bit must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 5. "DIMM_DIS_BG_MIRRORING,Disables address mirroring for BG bits. When this is set to 1 BG0 and BG1 are NOT swapped even if Address Mirroring is enabled. This is required for DDR4 DIMMs with x16 devices. - 1 - BG0 and BG1 are NOT swapped - 0 - BG0 and BG1.." "BG0 and BG1 are swapped if address mirroring is..,BG0 and BG1 are NOT swapped"
newline
bitfld.long 0x0 4. "MRS_BG1_EN,Enable this field for BG1 bit of MRS command. BG1 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have BG1 are attached and both the CA.." "Disabled,Enabled"
newline
bitfld.long 0x0 3. "MRS_A17_EN,Enable this field for A17 bit of MRS command. A17 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have A17 are attached as DDR4 RDIMM/LRDIMM.." "Disabled,Enabled"
newline
bitfld.long 0x0 2. "DIMM_OUTPUT_INV_EN,Enables output inversion (for DDR4 RDIMM/LRDIMM implementations only). DDR4 RDIMM/LRDIMM implements the Output Inversion feature by default which means that the following address bank address and bank group bits of B-side DRAMs are.." "Do not implement output inversion for B-side DRAMs,Implement output inversion for B-side DRAMs"
newline
bitfld.long 0x0 1. "DIMM_ADDR_MIRR_EN,Enables address mirroring (for multi-rank UDIMM implementations and multi-rank DDR4 RDIMM/LRDIMM implementations). Some UDIMMs and DDR4 RDIMMs/LRDIMMs implement address mirroring for odd ranks which means that the following address.." "Do not implement address mirroring,For odd ranks"
newline
bitfld.long 0x0 0. "DIMM_STAGGER_CS_EN,Staggering enable for multi-rank accesses (for multi-rank UDIMM RDIMM and LRDIMM implementations only). This is not supported for mDDR LPDDR2 LPDDR3 or LPDDR4 SDRAMs. Note: Even if this bit is set it does not take care of software.." "Do not stagger accesses,?"
line.long 0x4 "RANKCTL,Rank Control Register"
hexmask.long.byte 0x4 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
rbitfld.long 0x4 25. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x4 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x4 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,SDRAM Timing Register 0"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,SDRAM Timing Register 1"
hexmask.long.word 0x4 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
rbitfld.long 0x4 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,SDRAM Timing Register 2"
rbitfld.long 0x8 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
rbitfld.long 0x8 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
rbitfld.long 0x8 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,SDRAM Timing Register 3"
rbitfld.long 0xC 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
rbitfld.long 0xC 18.--19. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
rbitfld.long 0xC 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,SDRAM Timing Register 4"
rbitfld.long 0x10 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,SDRAM Timing Register 5"
hexmask.long.byte 0x14 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
rbitfld.long 0x14 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
rbitfld.long 0x14 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,SDRAM Timing Register 6"
hexmask.long.byte 0x18 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.word 0x18 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,SDRAM Timing Register 7"
hexmask.long.tbyte 0x1C 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,SDRAM Timing Register 8"
rbitfld.long 0x20 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
rbitfld.long 0x20 23. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
rbitfld.long 0x20 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
rbitfld.long 0x20 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,SDRAM Timing Register 9"
rbitfld.long 0x24 31. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
hexmask.long.word 0x24 19.--29. 1. "RESERVED,Reserved"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
rbitfld.long 0x24 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,SDRAM Timing Register 10"
hexmask.long.word 0x28 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
rbitfld.long 0x28 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
hexmask.long.byte 0x28 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,SDRAM Timing Register 11"
rbitfld.long 0x2C 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
rbitfld.long 0x2C 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
hexmask.long.byte 0x2C 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
rbitfld.long 0x2C 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,SDRAM Timing Register 12"
rbitfld.long 0x30 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
hexmask.long.byte 0x30 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.word 0x30 5.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,SDRAM Timing Register 13"
rbitfld.long 0x34 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
rbitfld.long 0x34 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
hexmask.long.word 0x34 3.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,SDRAM Timing Register 14"
hexmask.long.tbyte 0x38 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.tbyte 0x3C 8.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0xB
line.long 0x0 "ZQCTL0,ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
rbitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x4 "ZQCTL1,ZQ Control Register 1"
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0x4 20.--29. 1. "T_ZQ_RESET_NOP,tZQReset: Number of DFI clock cycles of NOP required after a ZQReset (ZQ calibration Reset) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode program this to tZQReset/2 and round it up to the next.."
newline
hexmask.long.tbyte 0x4 0.--19. 1. "T_ZQ_SHORT_INTERVAL_X1024,Indicates the average interval to wait between automatically issuing ZQCS (ZQ calibration short)/MPC(ZQ calibration) commands to DDR3/DDR4/LPDDR2/LPDDR3/LPDDR4 devices. Meaningless if ZQCTL0.dis_auto_zq=1. This is only present.."
line.long 0x8 "ZQCTL2,ZQ Control Register 2"
hexmask.long 0x8 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 0. "ZQ_RESET,Setting this register bit to 1 triggers a ZQ Reset operation. When the ZQ Reset operation is complete the uMCTL2 automatically clears this bit. It is recommended NOT to set this register bit if in Init in self-refresh(except LPDDR4) or.." "0,1"
rgroup.long 0x18C++0x3
line.long 0x0 "ZQSTAT,ZQ Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ZQ_RESET_BUSY,SoC might initiate a ZQ Reset operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQ Reset request. It goes low when the ZQ Reset command is issued to the SDRAM and the associated NOP period.." "Indicates that the SoC can initiate a ZQ Reset..,Indicates that ZQ Reset operation is in progress"
group.long 0x190++0x1B
line.long 0x0 "DFITMG0,DFI Timing Register 0"
rbitfld.long 0x0 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
rbitfld.long 0x4 26.--27. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
rbitfld.long 0x4 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
rbitfld.long 0x4 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
rbitfld.long 0x4 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
line.long 0x8 "DFILPCFG0,DFI Low Power Configuration Register 0"
rbitfld.long 0x8 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--28. 1. "DFI_TLP_RESP,Indicates the setting in DFI clock cycles for DFI's tlp_resp time. Same value is used for both Power Down self-refresh Deep Power Down and Maximum Power Saving modes. For more information on recommended values see PHY databook Unit: DFI.."
newline
hexmask.long.byte 0x8 20.--23. 1. "DFI_LP_WAKEUP_DPD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Deep Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256.."
newline
rbitfld.long 0x8 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 16. "DFI_LP_EN_DPD,Enables DFI Low Power interface handshaking during Deep Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting mDDR or LPDDR2/LPDDR3 devices. Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 12.--15. 1. "DFI_LP_WAKEUP_SR,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when self-refresh mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles.."
newline
rbitfld.long 0x8 9.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 8. "DFI_LP_EN_SR,Enables DFI Low Power interface handshaking during self-refresh Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 4.--7. 1. "DFI_LP_WAKEUP_PD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles -.."
newline
rbitfld.long 0x8 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "DFI_LP_EN_PD,Enables DFI Low Power interface handshaking during Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
line.long 0xC "DFILPCFG1,DFI Low Power Configuration Register 1"
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0xC 4.--7. 1. "DFI_LP_WAKEUP_MPSM,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Maximum Power Saving Mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 -.."
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "DFI_LP_EN_MPSM,Enables DFI Low Power interface handshaking during Maximum Power Saving Mode Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting DDR4 devices. Programming Mode: Static" "Disabled,Enabled"
line.long 0x10 "DFIUPD0,DFI Update Register 0"
bitfld.long 0x10 31. "DIS_AUTO_CTRLUPD,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2. The controller must issue the dfi_ctrlupd_req signal using register DBGCMD.ctrlupd. When '0' uMCTL2 issues dfi_ctrlupd_req periodically. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 30. "DIS_AUTO_CTRLUPD_SRX,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2 at self-refresh exit. When '0' uMCTL2 issues a dfi_ctrlupd_req before or after exiting self-refresh depending on DFIUPD0.ctrlupd_pre_srx. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 29. "CTRLUPD_PRE_SRX,Selects dfi_ctrlupd_req requirements at SRX: - 0 - Send ctrlupd after SRX - 1 - Send ctrlupd before SRX If DFIUPD0.dis_auto_ctrlupd_srx=1 this register has no impact because no dfi_ctrlupd_req is issued when SRX. Programming Mode: Static" "Send ctrlupd after SRX,Send ctrlupd before SRX"
newline
rbitfld.long 0x10 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x10 16.--25. 1. "DFI_T_CTRLUP_MAX,Specifies the maximum number of DFI clock cycles that the dfi_ctrlupd_req signal can assert. Lowest value to assign to this variable is 0x40. Unit: DFI clock cycles. Programming Mode: Static"
newline
hexmask.long.byte 0x10 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x10 0.--9. 1. "DFI_T_CTRLUP_MIN,Specifies the minimum number of DFI clock cycles that the dfi_ctrlupd_req signal must be asserted. The uMCTL2 expects the PHY to respond within this time. If the PHY does not respond the uMCTL2 de-asserts dfi_ctrlupd_req after.."
line.long 0x14 "DFIUPD1,DFI Update Register 1"
hexmask.long.byte 0x14 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--23. 1. "DFI_T_CTRLUPD_INTERVAL_MIN_X1024,This is the minimum amount of time between uMCTL2 initiated DFI update requests (which is executed whenever the uMCTL2 is idle). Set this number higher to reduce the frequency of update requests which can have a small.."
newline
hexmask.long.byte 0x14 8.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 0.--7. 1. "DFI_T_CTRLUPD_INTERVAL_MAX_X1024,This is the maximum amount of time between uMCTL2 initiated DFI update requests. This timer resets with each update request; when the timer expires dfi_ctrlupd_req is sent and traffic is blocked until the dfi_ctrlupd_ackx.."
line.long 0x18 "DFIUPD2,DFI Update Register 2"
bitfld.long 0x18 31. "DFI_PHYUPD_EN,Enables the support for acknowledging PHY-initiated updates: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long 0x18 0.--30. 1. "RESERVED,Reserved"
group.long 0x1B0++0xB
line.long 0x0 "DFIMISC,DFI Miscellaneous Control Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--12. 1. "DFI_FREQUENCY,Indicates the operating frequency of the system. The number of supported frequencies and the mapping of signal values to clock frequencies are defined by the PHY. Programming Mode: Quasi-dynamic Group 1"
newline
bitfld.long 0x0 7. "LP_OPTIMIZED_WRITE,If this bit is 1 LPDDR4 write DQ is set to 8'hF8 if masked write with enabling DBI; otherwise that value is set to 8'hFF. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 6. "DIS_DYN_ADR_TRI,If this bit is 1 PHY specific Dynamic Tristating which is a specific feature to certain Synopsys PHYs is disabled. If this bit is 0 a special IDLE command is issued on the DFI while dfi_cs is inactive state so that the PHY can detect.." "?,phase 0 and 1) dfi_we_n= 1"
newline
bitfld.long 0x0 5. "DFI_INIT_START,PHY init start request signal.When asserted it triggers the PHY init start request. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "CTL_IDLE_EN,Enables support of ctl_idle signal which is non-DFI related pin specific to certain Synopsys PHYs. For more information on ctl_idle functionality see signal description of ctl_idle signal. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "DFI_DATA_CS_POLARITY,Defines polarity of dfi_wrdata_cs and dfi_rddata_cs signals. - 0 - Signals are active low - 1 - Signals are active high Programming Mode: Static" "Signals are active low,Signals are active high"
newline
bitfld.long 0x0 1. "PHY_DBI_MODE,DBI implemented in DDRC or PHY. - 0 - DDRC implements DBI functionality - 1 - PHY implements DBI functionality Present only in designs configured to support DDR4 and LPDDR4. Programming Mode: Static" "DDRC implements DBI functionality,PHY implements DBI functionality"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE_EN,PHY initialization complete enable signal. When asserted the dfi_init_complete signal can be used to trigger SDRAM initialisation Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "DFITMG2,DFI Timing Register 2"
hexmask.long.tbyte 0x4 15.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x8 "DFITMG3,DFI Timing Register 3"
hexmask.long 0x8 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
rgroup.long 0x1BC++0x3
line.long 0x0 "DFISTAT,DFI Status Register"
hexmask.long 0x0 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "DFI_LP_ACK,Stores the value of the dfi_lp_ack input to the controller. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE,This a status flag register which announces when the DFI initialization has been completed. The DFI INIT triggered by dfi_init_start signal and then the dfi_init_complete flag is polled to know when the initialization is done." "0,1"
group.long 0x1C0++0x7
line.long 0x0 "DBICTL,DM/DBI Control Register"
hexmask.long 0x0 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "RD_DBI_EN,Read DBI enable signal in DDRC. - 0 - Read DBI is disabled - 1 - Read DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A12. When x4 devices are used this signal must be set to 0 - LPDDR4 - MR3[6].." "LPDDR4,Read DBI is enabled"
newline
bitfld.long 0x0 1. "WR_DBI_EN,Write DBI enable signal in DDRC. - 0 - Write DBI is disabled - 1 - Write DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A11. When x4 devices are used this signal must be set to 0 - LPDDR4 -.." "LPDDR4,Write DBI is enabled"
newline
bitfld.long 0x0 0. "DM_EN,Indicates the DM enable signal in DDRC. - 0 - DM is disabled - 1 - DM is enabled This signal must be set the same logical value as DRAM's mode register. - DDR4 - Set this to same value as MR5 bit A10. When x4 devices are used this signal must be.." "LPDDR4,DM is enabled"
line.long 0x4 "DFIPHYMSTR,DFI PHY Master"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DFI_PHYMSTR_EN,Enables the PHY Master Interface: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
group.long 0x200++0x13
line.long 0x0 "ADDRMAP0,Address Map Register 0"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--4. 1. "ADDRMAP_CS_BIT0,Selects the HIF address bit used as rank address bit 0. Valid Range: 0 to 29 and 31 Internal Base: 6 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 31 and then rank.."
line.long 0x4 "ADDRMAP1,Address Map Register 1"
hexmask.long.word 0x4 22.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--21. 1. "ADDRMAP_BANK_B2,Selects the HIF address bit used as bank address bit 2. Valid Range: 0 to 31 and 63 Internal Base: 4 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 63 and then bank.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "ADDRMAP_BANK_B1,Selects the HIF address bits used as bank address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "ADDRMAP_BANK_B0,Selects the HIF address bits used as bank address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
line.long 0x8 "ADDRMAP2,Address Map Register 2"
hexmask.long.byte 0x8 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 24.--27. 1. "ADDRMAP_COL_B5,- Full bus width mode - Selects the HIF address bit used as column address bit 5 - Half bus width mode - Selects the HIF address bit used as column address bit 6 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--19. 1. "ADDRMAP_COL_B4,- Full bus width mode - Selects the HIF address bit used as column address bit 4 - Half bus width mode - Selects the HIF address bit used as column address bit 5 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0x8 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 8.--12. 1. "ADDRMAP_COL_B3,- Full bus width mode - Selects the HIF address bit used as column address bit 3 - Half bus width mode - Selects the HIF address bit used as column address bit 4 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "ADDRMAP_COL_B2,- Full bus width mode - Selects the HIF address bit used as column address bit 2 - Half bus width mode - Selects the HIF address bit used as column address bit 3 - Quarter bus width mode - Selects the HIF address bit used as column address.."
line.long 0xC "ADDRMAP3,Address Map Register 3"
rbitfld.long 0xC 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 24.--28. 1. "ADDRMAP_COL_B9,- Full bus width mode - Selects the HIF address bit used as column address bit 9 - Half bus width mode - Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Quarter bus width mode - Selects the HIF.."
newline
rbitfld.long 0xC 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 16.--20. 1. "ADDRMAP_COL_B8,- Full bus width mode - Selects the HIF address bit used as column address bit 8 - Half bus width mode - Selects the HIF address bit used as column address bit 9 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 8.--12. 1. "ADDRMAP_COL_B7,- Full bus width mode - Selects the HIF address bit used as column address bit 7 - Half bus width mode - Selects the HIF address bit used as column address bit 8 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 0.--4. 1. "ADDRMAP_COL_B6,- Full bus width mode - Selects the HIF address bit used as column address bit 6. - Half bus width mode - Selects the HIF address bit used as column address bit 7. - Quarter bus width mode - Selects the HIF address bit used as column.."
line.long 0x10 "ADDRMAP4,Address Map Register 4"
bitfld.long 0x10 31. "COL_ADDR_SHIFT,The register provides a capability to map column address to lower HIF address in specific cases required by inline ECC configuration. - If it is 1 internal base of all the column address can be -2 to make mapping range of column address.." "0,1"
newline
hexmask.long.tbyte 0x10 13.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--12. 1. "ADDRMAP_COL_B11,- Full bus width mode - Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Half bus width mode - UNUSED. See later in this description for value you need to set to make it unused - Quarter bus width.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "ADDRMAP_COL_B10,- Full bus width mode: Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Half bus width mode: Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Quarter bus width.."
repeat 3. (list 0x5 0x9 0xA )(list 0x0 0x10 0x14 )
group.long ($2+0x214)++0x3
line.long 0x0 "ADDRMAP$1,Address Map Register 5"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B11,Selects the HIF address bit used as row address bit 11. Valid Range: 0 to 11 and 15 Internal Base: 17 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B2_10,Selects the HIF address bits used as row address bits 2 to 10. Valid Range: 0 to 11 and 15 Internal Base: 8 (for row address bit 2) 9 (for row address bit 3) 10 (for row address bit 4) and so on increasing to 16 (for row address bit.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B1,Selects the HIF address bits used as row address bit 1. Valid Range: 0 to 11 Internal Base: 7 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B0,Selects the HIF address bits used as row address bit 0. Valid Range: 0 to 11 Internal Base: 6 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
repeat.end
group.long 0x218++0xB
line.long 0x0 "ADDRMAP6,Address Map Register 6"
bitfld.long 0x0 31. "LPDDR3_6GB_12GB,Set this to 1 if there is an LPDDR3 SDRAM 6Gb or 12Gb device in use. - 1 - LPDDR3 SDRAM 6Gb/12Gb device in use. Every address having row[14:13]==2'b11 is considered as invalid - 0 - non-LPDDR3 6Gb/12Gb device in use. All addresses are.." "non-LPDDR3 6Gb/12Gb device in use,LPDDR3 SDRAM 6Gb/12Gb device in use"
newline
bitfld.long 0x0 29.--30. "LPDDR4_3GB_6GB_12GB,Indicates what type of LPDDR4 SDRAM device is in use. Here the density size is per channel. - 2'b00 - No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use. All addresses are valid - 2'b01 - LPDDR4 SDRAM 3Gb device with x16 mode in use. Every.." "No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use,LPDDR4 SDRAM 3Gb device with x16 mode in use,LPDDR4 SDRAM 6Gb device with x16 mode or 3Gb..,LPDDR4 SDRAM 12Gb device with x16 mode or 6Gb.."
newline
rbitfld.long 0x0 28. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B15,Selects the HIF address bit used as row address bit 15. Valid Range: 0 to 11 and 15 Internal Base: 21 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B14,Selects the HIF address bit used as row address bit 14. Valid Range: 0 to 11 and 15 Internal Base: 20 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B13,Selects the HIF address bit used as row address bit 13. Valid Range: 0 to 11 and 15 Internal Base: 19 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B12,Selects the HIF address bit used as row address bit 12. Valid Range: 0 to 11 and 15 Internal Base: 18 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x4 "ADDRMAP7,Address Map Register 7"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ADDRMAP_ROW_B17,Selects the HIF address bit used as row address bit 17. Valid Range: 0 to 11 and 15 Internal Base: 23 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x4 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "ADDRMAP_ROW_B16,Selects the HIF address bit used as row address bit 16. Valid Range: 0 to 11 and 15 Internal Base: 22 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x8 "ADDRMAP8,Address Map Register 8"
hexmask.long.tbyte 0x8 14.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--13. 1. "ADDRMAP_BG_B1,Selects the HIF address bits used as bank group address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "ADDRMAP_BG_B0,Selects the HIF address bits used as bank group address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
group.long 0x22C++0x3
line.long 0x0 "ADDRMAP11,Address Map Register 11"
hexmask.long 0x0 4.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B10,Selects the HIF address bits used as row address bit 10. Valid Range: 0 to 11 Internal Base: 16 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. This.."
group.long 0x240++0x7
line.long 0x0 "ODTCFG,ODT Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
rbitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "ODTMAP,ODT/Rank Map Register"
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12.--13. "RANK1_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "RANK1_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 4.--5. "RANK0_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "RANK0_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
group.long 0x250++0x7
line.long 0x0 "SCHED,Scheduler Control Register"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "RDWR_IDLE_GAP,When the preferred transaction store is empty for these many clock cycles switch to the alternate transaction store if it is non-empty. The read transaction store (both high and low priority) is the default preferred transaction store and.."
newline
hexmask.long.byte 0x0 16.--23. 1. "GO2CRITICAL_HYSTERESIS,UNUSED. Programming Mode: Static"
newline
bitfld.long 0x0 15. "LPDDR4_OPT_ACT_TIMING,Optimized ACT timing control for LPDDR4. In LPDDR4 RD/WR/ACT takes 4 cycle. To stream Read/Write there are only 4 cycle space between Reads/Writes. If ACT is scheduled-out after RD/WR with 1 2 or 3 cycle gap next RD/WR may be.." "Disable this feature,Enable this feature"
newline
rbitfld.long 0x0 13.--14. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--12. 1. "LPR_NUM_ENTRIES,Number of entries in the low priority transaction store is this value + 1. (MEMC_NO_OF_ENTRY - (SCHED.lpr_num_entries + 1)) is the number of entries available for the high priority transaction store. Setting this to maximum value.."
newline
bitfld.long 0x0 7. "AUTOPRE_RMW,Selects behavior of hif_cmd_autopre if a RMW is received on HIF with hif_cmd_autopre=1 - 1 - Apply Autopre only for write part of RMW - 0 - Apply Autopre for both read and write parts of RMW Programming Mode: Static" "Apply Autopre for both read and write parts of RMW,Apply Autopre only for write part of RMW"
newline
hexmask.long.byte 0x0 3.--6. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "PAGECLOSE,If true bank is kept open only while there are page hit transactions available in the CAM to that bank. The last read or write command in the CAM with a bank and page hit is executed with auto-precharge if SCHED1.pageclose_timer=0. Even if.." "0,1"
newline
bitfld.long 0x0 1. "PREFER_WRITE,If set then the bank selector prefers writes over reads. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 0. "RESERVED,Reserved" "0,1"
line.long 0x4 "SCHED1,Scheduler Control Register 1"
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--7. 1. "PAGECLOSE_TIMER,This field works in conjunction with SCHED.pageclose.It only has meaning if SCHED.pageclose==1. If SCHED.pageclose==1 and pageclose_timer==0 then an auto-precharge may be scheduled for last read or write command in the CAM with a bank.."
group.long 0x25C++0x3
line.long 0x0 "PERFHPR1,High Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "HPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the HPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "HPR_MAX_STARVE,Indicates the number of DFI clocks that the HPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x264++0x3
line.long 0x0 "PERFLPR1,Low Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "LPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the LPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "LPR_MAX_STARVE,Indicates the number of DFI clocks that the LPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x26C++0x3
line.long 0x0 "PERFWR1,Write CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "W_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the WR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode: Quasi-dynamic.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "W_MAX_STARVE,Indicates the number of DFI clocks that the WR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x300++0x7
line.long 0x0 "DBG0,Debug Register 0"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "DIS_MAX_RANK_WR_OPT,Indicates the disable optimized max_rank_wr and max_logical_rank_wr feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 6. "DIS_MAX_RANK_RD_OPT,Indicates the disable optimized max_rank_rd and max_logical_rank_rd feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_COLLISION_PAGE_OPT,When this is set to '0' auto-precharge is disabled for the flushed command in a collision case. Collision cases are write followed by read to same address read followed by write to same address or write followed by write to same.." "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "DIS_WC,When 1 disable write combine. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
line.long 0x4 "DBG1,Debug Register 1"
hexmask.long 0x4 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 1. "DIS_HIF,When 1 uMCTL2 asserts the HIF command signal hif_cmd_stall. uMCTL2 ignores the hif_cmd_valid and all other associated request signals. This bit is intended to be switched on-the-fly. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x4 0. "DIS_DQ,When 1 uMCTL2 does not de-queue any transactions from the CAM. Bypass is also disabled. All transactions are queued in the CAM. No reads or writes are issued to SDRAM as long as this is asserted. This bit may be used to prevent reads or writes.." "0,1"
rgroup.long 0x308++0x3
line.long 0x0 "DBGCAM,CAM Debug Register"
bitfld.long 0x0 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 29. "WR_DATA_PIPELINE_EMPTY,This bit indicates that the write data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 28. "RD_DATA_PIPELINE_EMPTY,This bit indicates that the read data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 26. "DBG_WR_Q_EMPTY,When 1 all the Write command queues and Write data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 25. "DBG_RD_Q_EMPTY,When 1 all the Read command queues and Read data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 24. "DBG_STALL,Stall. FOR DEBUG ONLY. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "DBG_W_Q_DEPTH,This field indicates the Write queue depth. The last entry of WR queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--13. 1. "DBG_LPR_Q_DEPTH,This field indicates the low priority read queue depth. The last entry of Lpr queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_HPR_Q_DEPTH,This field indicates the high priority read queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x30C++0x3
line.long 0x0 "DBGCMD,Command Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD,Setting this register bit to 1 indicates to the uMCTL2 to issue a dfi_ctrlupd_req to the PHY. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation must only be performed when DFIUPD0.dis_auto_ctrlupd=1." "0,1"
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT,Setting this register bit to 1 indicates to the uMCTL2 to issue a ZQCS (ZQ calibration short)/MPC(ZQ calibration) command to the SDRAM. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation can be.." "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 1. Writing to this bit causes DBGSTAT.rank1_refresh_busy to be set. When DBGSTAT.rank1_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
newline
bitfld.long 0x0 0. "RANK0_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 0. Writing to this bit causes DBGSTAT.rank0_refresh_busy to be set. When DBGSTAT.rank0_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
rgroup.long 0x310++0x3
line.long 0x0 "DBGSTAT,Status Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD_BUSY,SoC might initiate a ctrlupd operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ctrlupd request. It goes low when the ctrlupd operation is initiated in the uMCTL2. It is recommended not to.." "Indicates that the SoC can initiate a ctrlupd..,Indicates that ctrlupd operation has not been.."
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT_BUSY,SoC might initiate a ZQCS (ZQ calibration short) operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQCS request. It goes low when the ZQCS operation is initiated in the uMCTL2. It is.." "Indicates that the SoC can initiate a ZQCS..,Indicates that ZQCS operation has not been.."
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH_BUSY,SoC might initiate a rank1_refresh operation (refresh operation to rank 1) only if this signal is low. This signal goes high in the clock after DBGCMD.rank1_refresh is set to one. It goes low when the rank1_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank1_refresh operation has not.."
newline
bitfld.long 0x0 0. "RANK0_REFRESH_BUSY,SoC might initiate a rank0_refresh operation (refresh operation to rank 0) only if this signal is low. This signal goes high in the clock after DBGCMD.rank0_refresh is set to one. It goes low when the rank0_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank0_refresh operation has not.."
rgroup.long 0x318++0x3
line.long 0x0 "DBGCAM1,CAM Debug Register 1"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_WRECC_Q_DEPTH,This field indicates the write ECC queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x320++0x3
line.long 0x0 "SWCTL,Software Register Programming Control Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE,Enables quasi-dynamic register programming outside reset. Program this register to 0 to enable quasi-dynamic programming. Set back register to 1 once programming is done. Programming Mode: Dynamic" "0,1"
rgroup.long 0x324++0x3
line.long 0x0 "SWSTAT,Software Register Programming Control Status"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE_ACK,Register programming done. This register is the echo of SWCTL.sw_done. Wait for sw_done value 1 to propagate to sw_done_ack at the end of the programming sequence to ensure that the correct registers values are propagated to the destination.." "0,1"
group.long 0x328++0x3
line.long 0x0 "SWCTLSTATIC,Static Registers Write Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_STATIC_UNLOCK,Enables static register programming outside reset. Program this register to 1 to enable static register programming. Set register back to 0 once programming is done. Programming Mode: Dynamic" "0,1"
group.long 0x330++0x7
line.long 0x0 "OCPARCFG0,On-Chip Parity Configuration Register 0"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "PAR_RADDR_ERR_INTR_FORCE,Interrupt force bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 25. "PAR_WADDR_ERR_INTR_FORCE,Interrupt force bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 24. "PAR_RADDR_ERR_INTR_CLR,Interrupt clear bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 23. "PAR_RADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_raddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22. "PAR_WADDR_ERR_INTR_CLR,Interrupt clear bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 21. "PAR_WADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_waddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 20. "PAR_ADDR_SLVERR_EN,Enables SLVERR generation on read response or write response when address parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 16.--19. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 15. "PAR_RDATA_ERR_INTR_FORCE,Interrupt force bit for all par_rdata_err_intr_n and par_rdata_in_err_ecc_intr (Inline-ECC only). uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 14. "PAR_RDATA_ERR_INTR_CLR,Interrupt clear bit for par_rdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 13. "PAR_RDATA_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_rdata_err_intr_n upon detection of parity error at the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 12. "PAR_RDATA_SLVERR_EN,Enables SLVERR generation on read response when read data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "PAR_WDATA_ERR_INTR_FORCE,Interrupt force bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 6. "PAR_WDATA_ERR_INTR_CLR,Interrupt clear bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 5. "PAR_WDATA_SLVERR_EN,Enables SLVERR generation on write response when write data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "PAR_WDATA_ERR_INTR_EN,Enables write data interrupt generation (par_wdata_err_intr) upon detection of parity error at the AXI or DFI interface. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "OC_PARITY_TYPE,Parity type: - 0 - Even parity - 1 - Odd parity Programming Mode: Quasi-dynamic Group 3" "Even parity,Odd parity"
newline
bitfld.long 0x0 0. "OC_PARITY_EN,Parity enable register. Enables On-Chip parity for all interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "OCPARCFG1,On-Chip Parity Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "PAR_POISON_LOC_WR_PORT,Enables parity poisoning on write data at the AXI interface before the input parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Programming Mode:.."
newline
hexmask.long.byte 0x4 4.--7. 1. "PAR_POISON_LOC_RD_PORT,Enables parity poisoning on read data at the AXI interface after the parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Error can be injected to one port at.."
newline
bitfld.long 0x4 3. "PAR_POISON_LOC_RD_IECC_TYPE,Selects which parity to poison at the DFI when inline ECC is enabled. If this register is set to 0 parity error is injected on the first read data going through the ECC path. If this register is set to 1 parity error is.." "0,1"
newline
bitfld.long 0x4 2. "PAR_POISON_LOC_RD_DFI,Enables parity poisoning on read data at the DFI interface after the parity generation logic. When MEMC_INLINE_ECC=1 enables poisoning of ECC word after the ECC encoder at the write data interface at the DFI. Programming Mode:.." "0,1"
newline
rbitfld.long 0x4 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 0. "PAR_POISON_EN,Enables on-chip parity poisoning on the data interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x338)++0x3
line.long 0x0 "OCPARSTAT$1,On-Chip Parity Status Register 0"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "PAR_RADDR_ERR_INTR_0,Read address parity error interrupt for port 0. This interrupt is asserted when an on-chip read address parity error occurred on the corresponding AXI port's read address channel. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "PAR_WADDR_ERR_INTR_0,Write address parity error interrupt for port 0. This interrupt is asserted when an on-chip write address parity error occurred on the corresponding AXI port's write address channel. Programming Mode: Static" "0,1"
repeat.end
rgroup.long 0x340++0x3
line.long 0x0 "OCPARSTAT2,On-Chip Parity Status Register 2"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4. "PAR_RDATA_IN_ERR_ECC_INTR,Interrupt on ECC data going into inline ECC decoder. Cleared by par_rdata_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "PAR_WDATA_OUT_ERR_INTR,Write data parity error interrupt on output. Cleared by register par_wdata_err_intr_clr. Programming Mode: Static" "0,1,2,3"
group.long 0x36C++0x3
line.long 0x0 "POISONCFG,AXI Poison Configuration Register. Common for all AXI ports."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "RD_POISON_INTR_CLR,Interrupt clear for read transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "RD_POISON_INTR_EN,If set to 1 enables interrupts for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 16. "RD_POISON_SLVERR_EN,If set to 1 enables SLVERR response for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "WR_POISON_INTR_CLR,Interrupt clear for write transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "WR_POISON_INTR_EN,If set to 1 enables interrupts for write transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "WR_POISON_SLVERR_EN,If set to 1 enables SLVERR response for write transaction poisoning. Programming Mode: Dynamic" "0,1"
rgroup.long 0x370++0x3
line.long 0x0 "POISONSTAT,AXI Poison Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "RD_POISON_INTR_0,Read transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's read address channel. Bit 0.." "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "WR_POISON_INTR_0,Write transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's write address channel. Bit.." "0,1"
group.long 0x374++0x3
line.long 0x0 "ADVECCINDEX,Advanced ECC Index Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 5.--8. 1. "ECC_POISON_BEATS_SEL,Selector of which DRAM beat's poison pattern is set by ECCPOISONPAT0/1/2 registers. For frequency ratio 1:1 mode 2 DRAM beats can be poisoned. Set ecc_poison_beats_sel to 0 and given ECCPOISONPAT0/1/2 to set 1st beat's poison.."
newline
bitfld.long 0x0 3.--4. "ECC_ERR_SYMBOL_SEL,Selector of which error symbol's status output to ADVECCSTAT.advecc_err_symbol_pos and advecc_err_symbol_bits. The default is first error symbol. The value must be less than ADVECCSTAT.advecc_num_err_symbol. Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 0.--2. "ECC_SYNDROME_SEL,Selector of which DRAM beat data output to ECCCSYN0/1/2 as well as ECCUCSYN. In Advanced ECC the syndrome consists of number of DRAM beats. This register selects which beats of data is output to ECCCSYN0/1/2 and ECCUCSYN0/1/2 registers." "0,1,2,3,4,5,6,7"
group.long 0x37C++0x3
line.long 0x0 "ECCPOISONPAT0,ECC Poison Pattern 0 Register"
hexmask.long 0x0 0.--31. 1. "ECC_POISON_DATA_31_0,Indicates the poison pattern for DRAM data[31:0]. setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
group.long 0x384++0x3
line.long 0x0 "ECCPOISONPAT2,ECC Poison Pattern 2 Register"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_POISON_DATA_71_64,Indicates the poison pattern for DRAM data[71:64]. Setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
rgroup.long 0x388++0x3
line.long 0x0 "ECCAPSTAT,Address protection within ECC Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ECC_AP_ERR,Indicates the number of ECC errors (correctable/uncorrectable) within one burst exceeded the threshold(ECCCFG0.ecc_ap_err_threshold). Programming Mode: Dynamic" "0,1"
group.long 0x3C0++0x3
line.long 0x0 "REGPARCFG,Register Parity Configuration Register (Note that all fields must be programmed with single write operation)."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "REG_PAR_POISON_EN,Enable Register Parity poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 3. "REG_PAR_ERR_INTR_FORCE,Interrupt force bit for reg_par_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 2. "REG_PAR_ERR_INTR_CLR,Interupt clear bit for reg_par_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "REG_PAR_ERR_INTR_EN,Enables interrupt generation if set to 1 on signal reg_par_err_intr upon detection of register parity error. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "REG_PAR_EN,Register Parity enable register. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3C4++0x3
line.long 0x0 "REGPARSTAT,Register Parity Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "REG_PAR_ERR_INTR,Interrupt asserted when Register Parity error is detected. Cleared by setting REGPARCFG.reg_par_err_intr_clr to 1. Programming Mode: Static" "0,1"
group.long 0x3E0++0x3
line.long 0x0 "OCCAPCFG,On-Chip command/Address Protection Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 27. "OCCAP_ARB_RAQ_POISON_EN,Enables poisoning for the Read Address Queues (RAQ) inside each XPI. Poisoning inverts all parity bits generated by the parity generator. Error is flagged as soon as the first RAQ is read. This register is not cleared.." "0,1"
newline
bitfld.long 0x0 26. "OCCAP_ARB_CMP_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP Arbiter logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT,OCCAPSTAT"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL,Enables full poisoning for compare logic inside XPI. Poisoning inverts all bits of all outputs coming from the duplicated modules before the XOR comparators together. uMCTL2 automatically clears this bit. Programming Mode:.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ,Enables poisoning for compare logic inside XPI. Poisoning inverts all bits coming from the duplicated modules before the XOR comparators one output at the time per each comparator. uMCTL2 automatically clears this bit." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_ARB_INTR_FORCE,Interrupt force bit for occap_arb_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_ARB_INTR_CLR,Interrupt clear bit for occap_arb_err_intr and occap_arb_cmp_poison_complete. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_INTR_EN,Enables interrupt generation upon detection of OCCAP Arbiter errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "OCCAP_EN,On Chip Command/Address Path Protection (OCCAP) enable register. Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x3E4++0x3
line.long 0x0 "OCCAPSTAT,On-Chip command/Address Protection Status Register"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL_ERR,Error when occap_arb_cmp_poison_full_en is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_arb_cmp_poison_full_en. It.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ_ERR,Error when occap_arb_cmp_poison_en is active due to incorrect number of errors being occurring. Internal logic checks that the correct number of errors detected while poisoning one output at the time occurred for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_ARB_CMP_POISON_COMPLETE,OCCAP ARB comparator poisoning complete interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_ERR_INTR,OCCAP Arbiter error interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "RESERVED,Reserved"
group.long 0x3E8++0x3
line.long 0x0 "OCCAPCFG1,On-Chip command/Address Protection Configuration Register 1"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "OCCAP_DDRC_CTRL_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC CTRL logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_DDRC_CTRL_INTR_FORCE,Interrupt force bit for occap_ddrc_ctrl_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_INTR_CLR,Interrupt clear bit for occap_ddrc_ctrl_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_INTR_EN,Enables interrupt generation on signal occap_ddrc_ctrl_err_intr upon detection of OCCAP DDRC CTRL errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "OCCAP_DDRC_DATA_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC DATA logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of.." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of instance[0] of.." "0,1"
newline
hexmask.long.byte 0x0 3.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "OCCAP_DDRC_DATA_INTR_FORCE,Interrupt force bit for occap_ddrc_data_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_INTR_CLR,Interrupt clear bit for occap_ddrc_data_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_INTR_EN,Enables interrupt generation on signal occap_ddrc_data_err_intr upon detection of OCCAP DDRC DATA errors. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3EC++0x7
line.long 0x0 "OCCAPSTAT1,On-Chip command/Address Protection Status Register 1"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL_ERR,Error when occap_ddrc_ctrl_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_parallel." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ_ERR,Error when occap_ddrc_ctrl_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_POISON_COMPLETE,Indicates the OCCAP DDRC CTRL poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_ERR_INTR,Indicates the OCCAP DDRC CTRL error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL_ERR,Error when occap_ddrc_data_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_parallel." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ_ERR,Error when occap_ddrc_data_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_POISON_COMPLETE,Indicates the OCCAP DDRC DATA poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_ERR_INTR,Indicates the OCCAP DDRC DATA error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
line.long 0x4 "DERATESTAT,Temperature Derate Status Register"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DERATE_TEMP_LIMIT_INTR,Derate temperature interrupt indicating LPDDR2/3/4 SDRAM temperature operating limit is exceeded. This register field is set to 1 when the value read from MR4[2:0] is 3'b000 or 3'b111. Cleared by register.." "0,1"
tree.end
tree "UMCTL2_MP (uMCTL2 Multi-Port Registers)"
base ad:0x1016
rgroup.long 0x4++0x3
line.long 0x0 "PSTAT,Port Status Register"
bitfld.long 0x0 16. "WR_PORT_BUSY_0,Indicates if there are outstanding writes for AXI port 0. Programming Mode: Dynamic" "0,1"
bitfld.long 0x0 0. "RD_PORT_BUSY_0,Indicates if there are outstanding reads for AXI port 0. Programming Mode: Dynamic" "0,1"
group.long 0x8++0xB
line.long 0x0 "PCCFG,Port Common Configuration Register"
bitfld.long 0x0 8. "BL_EXP_MODE,Burst length expansion mode. By default (that is bl_exp_mode==0) XPI expands every AXI burst into multiple HIF commands using the memory burst length as a unit. If set to 1 then XPI uses half of the memory burst length as a unit. This.." "UMCTL2_PARTIAL_WR=1,?"
bitfld.long 0x0 4. "PAGEMATCH_LIMIT,Page match four limit. If set to 1 limits the number of consecutive same page DDRC transactions that can be granted by the Port Arbiter to four when Page Match feature is enabled. If set to 0 there is no limit imposed on number of.." "0,1"
bitfld.long 0x0 0. "GO2CRITICAL_EN,If set to 1 (enabled) sets co_gs_go2critical_wr and co_gs_go2critical_lpr/co_gs_go2critical_hpr signals going to DDRC based on urgent input (awurgent arurgent) coming from AXI master. If set to 0 (disabled) co_gs_go2critical_wr and.." "0,1"
line.long 0x4 "PCFGR_0,Port n Configuration Read Register"
bitfld.long 0x4 16. "RDWR_ORDERED_EN,Enables ordered read/writes. If set to 1 preserves the ordering between read transaction and write transaction issued to the same address on a given port. In other words the controller ensures that all same address read and write.." "0,1"
bitfld.long 0x4 14. "RD_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x4 13. "RD_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (arurgent). When enabled and arurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_lpr/co_gs_go2critical_hpr signal to DDRC is asserted if.." "0,1"
newline
bitfld.long 0x4 12. "RD_PORT_AGING_EN,If set to 1 enables aging function for the read channel of the port. Programming Mode: Static" "0,1"
hexmask.long.word 0x4 0.--9. 1. "RD_PORT_PRIORITY,Determines the initial load value of read aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but not.."
line.long 0x8 "PCFGW_0,Port n Configuration Write Register"
bitfld.long 0x8 14. "WR_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x8 13. "WR_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (awurgent). When enabled and awurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_wr signal to DDRC is asserted if enabled in.." "0,1"
bitfld.long 0x8 12. "WR_PORT_AGING_EN,If set to 1 enables aging function for the write channel of the port. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x8 0.--9. 1. "WR_PORT_PRIORITY,Determines the initial load value of write aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but.."
group.long 0x98++0x13
line.long 0x0 "PCTRL_0,Port n Control Register"
bitfld.long 0x0 0. "PORT_EN,Enables AXI port n. Programming Mode: Dynamic" "0,1"
line.long 0x4 "PCFGQOS0_0,Port n Read QoS Configuration Register 0"
bitfld.long 0x4 24.--25. "RQOS_MAP_REGION2,This bitfield indicates the traffic class of region2. For dual address queue configurations region2 maps to the red address queue. Valid values are 1: VPR and 2: HPR only. When VPR support is disabled (UMCTL2_VPR_EN = 0) and traffic.." "?,VPR and,HPR only,?"
bitfld.long 0x4 20.--21. "RQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region1 maps to the blue address queue. In this case valid values are - 0 - LPR - 1 - VPR.." "LPR,VPR only,HPR,?"
bitfld.long 0x4 16.--17. "RQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region 0 maps to the blue address queue. In this case valid values are: 0: LPR and 1: VPR.." "LPR and,VPR only,HPR,?"
newline
hexmask.long.byte 0x4 8.--11. 1. "RQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to arqos. Region2 starts from (level2 + 1) up to 15. Note that for PA arqos.."
hexmask.long.byte 0x4 0.--3. 1. "RQOS_MAP_LEVEL1,Separation level1 indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 (for dual RAQ) or 0 to 14 (for single RAQ) which corresponds to arqos. Note that for PA arqos values are used directly.."
line.long 0x8 "PCFGQOS1_0,Port n Read QoS Configuration Register 1"
hexmask.long.word 0x8 16.--26. 1. "RQOS_MAP_TIMEOUTR,Specifies the timeout value for transactions mapped to the red address queue. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x8 0.--10. 1. "RQOS_MAP_TIMEOUTB,Specifies the timeout value for transactions mapped to the blue address queue. Programming Mode: Quasi-dynamic Group 3"
line.long 0xC "PCFGWQOS0_0,Port n Write QoS Configuration Register 0"
bitfld.long 0xC 24.--25. "WQOS_MAP_REGION2,This bit field indicates the traffic class of region 2. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 2 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 20.--21. "WQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: 0: NPW 1: VPW. When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 1 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 16.--17. "WQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 0 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
newline
hexmask.long.byte 0xC 8.--11. 1. "WQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to awqos. Region2 starts from (level2 + 1) up to 15. Note that for PA awqos.."
hexmask.long.byte 0xC 0.--3. 1. "WQOS_MAP_LEVEL1,Separation level indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 which corresponds to awqos. Note that for PA awqos values are used directly as port priorities where the higher the.."
line.long 0x10 "PCFGWQOS1_0,Port n Write QoS Configuration Register 1"
hexmask.long.word 0x10 16.--26. 1. "WQOS_MAP_TIMEOUT2,Specifies the timeout value for write transactions in region 2. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x10 0.--10. 1. "WQOS_MAP_TIMEOUT1,Specifies the timeout value for write transactions in region 0 and 1. Programming Mode: Quasi-dynamic Group 3"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB0C)++0x3
line.long 0x0 "SARBASE$1,SAR Base Address Register n"
hexmask.long.word 0x0 0.--11. 1. "BASE_ADDR,Base address for address region n specified as awaddr[UMCTL2_A_ADDRW-1:x] and araddr[UMCTL2_A_ADDRW-1:x] where x is determined by the minimum block size parameter UMCTL2_SARMINSIZE: (x=log2(block size)). Programming Mode: Static"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB10)++0x3
line.long 0x0 "SARSIZE$1,SAR Size Register n"
hexmask.long.byte 0x0 0.--7. 1. "NBLOCKS,Number of blocks for address region n. This register determines the total size of the region in multiples of minimum block size as specified by the hardware parameter UMCTL2_SARMINSIZE. The register value is encoded as number of blocks = nblocks.."
repeat.end
group.long 0xB2C++0x3
line.long 0x0 "SBRCTL,Scrubber Control Register"
hexmask.long.word 0x0 8.--20. 1. "SCRUB_INTERVAL,Scrub interval. (512 x scrub_interval) number of clock cycles between two scrub read commands. If set to 0 scrub commands are issued back-to-back. This mode of operation (scrub_interval=0) can typically be used for scrubbing the full.."
bitfld.long 0x0 4.--6. "SCRUB_BURST,Scrub burst count. Determines the number of back-to-back scrub read commands that can be issued together when the controller is in one of the HW controlled low power modes with Sideband ECC both normal operation mode and low-power mode with.." "0,1,2,3,4,5,6,7"
bitfld.long 0x0 2. "SCRUB_MODE,- scrub_mode:0 ECC scrubber performs reads - scrub_mode:1 ECC scrubber performs writes Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "SCRUB_DURING_LOWPOWER,Continue scrubbing during low power. If set to 1 burst of scrubs is issued in hardware controlled low power modes. There are two such modes: automatically initiated by idleness or initiated by Hardware low power interface. If set.." "0,1"
bitfld.long 0x0 0. "SCRUB_EN,Enables ECC scrubber. If set to 1 enables the scrubber to generate background read commands after the memories are initialized. If set to 0 disables the scrubber resets the address generator to 0 and clears the scrubber status. This bitfield.." "0,1"
rgroup.long 0xB30++0x3
line.long 0x0 "SBRSTAT,Scrubber Status Register"
bitfld.long 0x0 1. "SCRUB_DONE,Scrubber done. The controller sets this bit to 1 after full range of addresses are scrubbed once while scrub_interval is set to 0. Cleared if scrub_en is set to 0 (scrubber disabled) or scrub_interval is set to a non-zero value for normal.." "0,1"
bitfld.long 0x0 0. "SCRUB_BUSY,Scrubber busy. The controller sets this bit to 1 when the scrubber logic has outstanding read commands being executed. Cleared when there are no active outstanding scrub reads in the system. Programming Mode: Dynamic" "0,1"
group.long 0xB34++0x3
line.long 0x0 "SBRWDATA0,Scrubber Write Data Pattern0"
hexmask.long 0x0 0.--31. 1. "SCRUB_PATTERN0,ECC Scrubber write data pattern for data bus[31:0] Programming Mode: Dynamic"
group.long 0xB40++0xF
line.long 0x0 "SBRSTART0,Scrubber Start Address Mask Register 0"
hexmask.long 0x0 0.--31. 1. "SBR_ADDRESS_START_MASK_0,sbr_address_start_mask_0 holds the bits [31:0] of the starting address the ECC scrubber generates. The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber address registers.."
line.long 0x4 "SBRSTART1,Scrubber Start Address Mask Register 1"
hexmask.long.byte 0x4 0.--3. 1. "SBR_ADDRESS_START_MASK_1,sbr_address_start_mask_1 holds bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the starting address the ECC scrubber generates.The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber.."
line.long 0x8 "SBRRANGE0,Scrubber Address Range Mask Register 0"
hexmask.long 0x8 0.--31. 1. "SBR_ADDRESS_RANGE_MASK_0,sbr_address_range_mask_0 holds the bits [31:0] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be programmed as explained in.."
line.long 0xC "SBRRANGE1,Scrubber Address Range Mask Register 1"
hexmask.long.byte 0xC 0.--3. 1. "SBR_ADDRESS_RANGE_MASK_1,sbr_address_range_mask_1 holds the bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be.."
rgroup.long 0xBF8++0x7
line.long 0x0 "UMCTL2_VER_NUMBER,UMCTL2 Version Number Register"
hexmask.long 0x0 0.--31. 1. "VER_NUMBER,Indicates the Device Version Number value. Programming Mode: Static"
line.long 0x4 "UMCTL2_VER_TYPE,UMCTL2 Version Type Register"
hexmask.long 0x4 0.--31. 1. "VER_TYPE,Indicates the Device Version Type value. Programming Mode: Static"
tree.end
tree "UMCTL2_REGS_FREQ1 (uMCTL2 DDRC FREQ1 Registers)"
base ad:0x8192
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ1] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ1] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ1] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ1] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ1] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ1] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ1] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ1] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ1] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ1] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ1] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ1] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ1] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ1] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ1] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ1] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ1] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ1] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ1] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ1] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ1] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ1] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ1] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ1] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ1] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ1] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ1] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ1] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ1] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ1] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ2 (uMCTL2 DDRC FERQ2 Registers)"
base ad:0x12288
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ2] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ2] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ2] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ2] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ2] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ2] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ2] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ2] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ2] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ2] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ2] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ2] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ2] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ2] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ2] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ2] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ2] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ2] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ2] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ2] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ2] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ2] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ2] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ2] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ2] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ2] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ2] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ2] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ2] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ2] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ3 (uMCTL2 DDRC FREQ3 Registers)"
base ad:0x16384
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ3] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ3] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ3] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ3] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ3] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ3] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ3] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ3] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ3] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ3] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ3] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ3] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ3] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ3] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ3] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ3] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ3] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ3] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ3] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ3] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ3] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ3] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ3] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ3] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ3] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ3] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ3] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ3] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ3] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ3] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree.end
tree "DDR_PHY"
base ad:0xF2000000
tree "UMCTL2_REGS (uMCTL2 DDRC Registers)"
group.long 0x0++0x3
line.long 0x0 "MSTR,Master Register0"
bitfld.long 0x0 30.--31. "DEVICE_CONFIG,Indicates the configuration of the device used in the system. - 00 - x4 device - 01 - x8 device - 10 - x16 device - 11 - x32 device Programming Mode: Static" "x4 device,x8 device,?,?"
newline
bitfld.long 0x0 29. "FREQUENCY_MODE,Selects which registers are used. - 0 - Original registers - 1 - When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers When UMCTL2_FREQUENCY_NUM>2: Choosen by MSTR2.target_frequency register. Programming Mode: Quasi-dynamic Group 2" "Original registers,When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers"
newline
rbitfld.long 0x0 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24.--25. "ACTIVE_RANKS,Only present for multi-rank configurations. Each bit represents one rank. For two-rank configurations only bits[25:24] are present. - 1 - Populated - 0 - Unpopulated LSB is the lowest rank number. For two ranks following combinations are.." "Unpopulated,One rank,?,?"
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "BURST_RDWR,Indicates SDRAM burst length used: - 0001 - Burst length of 2 (only supported for mDDR) - 0010 - Burst length of 4 - 0100 - Burst length of 8 - 1000 - Burst length of 16 (only supported for mDDR LPDDR2 and LPDDR4) All other values are.."
newline
bitfld.long 0x0 15. "DLL_OFF_MODE,Set to: - 1 - When the uMCTL2 and DRAM has to be put in DLL-off mode for low frequency operation - 0 - To put uMCTL2 and DRAM in DLL-on mode for normal frequency operation If DDR4 CRC/parity retry is enabled.." "To put uMCTL2 and DRAM in DLL-on mode for normal..,When the uMCTL2 and DRAM has to be put in.."
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 12.--13. "DATA_BUS_WIDTH,Selects proportion of DQ bus width that is used by the SDRAM. - 00 - Full DQ bus width to SDRAM - 01 - Half DQ bus width to SDRAM - 10 - Quarter DQ bus width to SDRAM - 11 - Reserved Note that half bus width mode is only supported when the.." "Full DQ bus width to SDRAM,Half DQ bus width to SDRAM,?,?"
newline
bitfld.long 0x0 11. "GEARDOWN_MODE,- 1 - Indicates the DRAM in geardown mode (2N) - 0 - Indicates the DRAM in normal mode (1N) This register can be changed only when the controller is in the self-refresh mode. This signal must be set the same value as MR3 bit A3. Note: -.." "Indicates the DRAM in normal mode,Indicates the DRAM in geardown mode"
newline
bitfld.long 0x0 10. "EN_2T_TIMING_MODE,If 1 then uMCTL2 uses 2T timing otherwise uses 1T timing. In 2T timing all command signals (except chip select) are held for 2 clocks on the SDRAM bus. Chip select is asserted on the second cycle of the command. Note: - 2T timing is.." "0,1"
newline
bitfld.long 0x0 9. "BURSTCHOP,When this bit is set enables burst-chop (BC4 or 8 on-the-fly) in DDR3/DDR4. Burst Chop for reads is exercised only: - In HIF configurations (UMCTL2_INCL_ARB not set) - If in full bus width mode (MSTR.data_bus_width = 00) - If.." "0,1"
newline
rbitfld.long 0x0 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "LPDDR4,Selects LPDDR4 SDRAM. - 1 - LPDDR4 SDRAM device in use - 0 - non-LPDDR4 device in use Present only in designs configured to support LPDDR4. Programming Mode: Static" "non-LPDDR4 device in use,LPDDR4 SDRAM device in use"
newline
bitfld.long 0x0 4. "DDR4,Selects DDR4 SDRAM. - 1 - DDR4 SDRAM device in use - 0 - non-DDR4 device in use Present only in designs configured to support DDR4. Programming Mode: Static" "non-DDR4 device in use,DDR4 SDRAM device in use"
newline
bitfld.long 0x0 3. "LPDDR3,Selects LPDDR3 SDRAM. - 1 - LPDDR3 SDRAM device in use - 0 - non-LPDDR3 device in use Present only in designs configured to support LPDDR3. Programming Mode: Static" "non-LPDDR3 device in use,LPDDR3 SDRAM device in use"
newline
bitfld.long 0x0 2. "LPDDR2,Selects LPDDR2 SDRAM. - 1 - LPDDR2 SDRAM device in use - 0 - non-LPDDR2 device in use Present only in designs configured to support LPDDR2. Programming Mode: Static" "non-LPDDR2 device in use,LPDDR2 SDRAM device in use"
newline
rbitfld.long 0x0 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0. "DDR3,Selects DDR3 SDRAM. - 1 - DDR3 SDRAM device in use - 0 - non-DDR3 SDRAM device in use Present only in designs configured to support DDR3. Programming Mode: Static" "non-DDR3 SDRAM device in use,DDR3 SDRAM device in use"
rgroup.long 0x4++0x3
line.long 0x0 "STAT,Operating Mode Status Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 12. "SELFREF_CAM_NOT_EMPTY,Self-refresh with CAMs not empty. Set to 1 when self-refresh is entered but CAMs are not drained. Cleared after exiting self-refresh. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "SELFREF_STATE,This indicates self-refresh or self-refresh power down state for LPDDR4. This register is used for frequency change and MRR/MRW access during self-refresh. - 00 - SDRAM is not in self-refresh - 01 - Self-refresh 1 - 10 - Self-refresh power.." "SDRAM is not in self-refresh,Self-refresh 1,?,?"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "SELFREF_TYPE,Flags if self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4) is entered and if it is under automatic self-refresh control only or not. - 00 - SDRAM is not in self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4). If retry is enabled by.." "SDRAM is not in self-refresh,SDRAM is in self-refresh,?,?"
newline
bitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "OPERATING_MODE,This is 3-bits wide in configurations with mDDR/LPDDR2/LPDDR3/LPDDR4/DDR4 support and 2-bits in all other configurations. non-mDDR/LPDDR2/LPDDR3/LPDDR4 and non-DDR4 designs: - 00 - Init - 01 - Normal - 10 - Power-down - 11 - Self-refresh.." "Init,Normal,?,bits wide in configurations with..,?,?,?,?"
group.long 0x10++0x7
line.long 0x0 "MRCTRL0,Mode Register Read/Write Control Register 0. Note: Do not enable more than one of the following fields simultaneously: - sw_init_int - pda_en - mpr_en"
bitfld.long 0x0 31. "MR_WR,Setting this register bit to 1 triggers a mode register read or write operation. When the MR operation is complete the uMCTL2 automatically clears this bit. The other fields of this register must be written in a separate APB transaction before.." "0,1"
newline
bitfld.long 0x0 30. "PBA_MODE,Indicates whether PBA access is executed. When setting this bit to 1 along with setting pda_en to 1 uMCTL2 initiates PBA access instead of PDA access. - 0 - Per DRAM Addressability mode - 1 - Per Buffer Addressability mode The completion of PBA.." "Per DRAM Addressability mode,Per Buffer Addressability mode"
newline
hexmask.long.word 0x0 16.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 12.--15. 1. "MR_ADDR,Address of the mode register that is to be written to. - 0000 - MR0 - 0001 - MR1 - 0010 - MR2 - 0011 - MR3 - 0100 - MR4 - 0101 - MR5 - 0110 - MR6 - 0111 - MR7 Don't Care for LPDDR2/LPDDR3/LPDDR4 (see MRCTRL1.mr_data for mode register addressing.."
newline
hexmask.long.byte 0x0 6.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--5. "MR_RANK,Controls which rank is accessed by MRCTRL0.mr_wr. Normally it is desired to access all ranks so all bits must be set to 1. However for multi-rank UDIMMs/RDIMMs/LRDIMMs which implement address mirroring it might be necessary to access ranks.." "?,Select rank 0 only,Select rank 1 only,?"
newline
bitfld.long 0x0 3. "SW_INIT_INT,Indicates whether software intervention is allowed through MRCTRL0/MRCTRL1 before automatic SDRAM initialization routine or not. For DDR4 this bit can be used to initialize the DDR4 RCD (MR7) before automatic SDRAM initialization. For LPDDR4.." "Software intervention is not allowed,Software intervention is allowed"
newline
bitfld.long 0x0 2. "PDA_EN,Indicates whether the mode register operation is MRS in PDA mode or not. - 0 - MRS - 1 - MRS in Per DRAM Addressability mode Note that when pba_mode=1 PBA access is initiated instead of PDA access. Programming Mode: Dynamic" "MRS,MRS in Per DRAM Addressability mode"
newline
bitfld.long 0x0 1. "MPR_EN,Indicates whether the mode register operation is MRS or WR/RD for MPR (only supported for DDR4). - 0 - MRS - 1 - WR/RD for MPR Programming Mode: Dynamic" "MRS,WR/RD for MPR"
newline
bitfld.long 0x0 0. "MR_TYPE,Indicates whether the mode register operation is read or write. Only used for LPDDR2/LPDDR3/LPDDR4/DDR4. - 0 - Write - 1 - Read Programming Mode: Dynamic" "Write,Read"
line.long 0x4 "MRCTRL1,Mode Register Read/Write Control Register 1"
hexmask.long.word 0x4 18.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "MR_DATA,Mode register write data for all non-LPDDR2/non-LPDDR3/non-LPDDR4 modes. For LPDDR2/LPDDR3/LPDDR4 MRCTRL1[15:0] are interpreted as: - [15:8] - MR Address - [7:0] - MR data for writes don't care for reads This is 18-bits wide in configurations.."
rgroup.long 0x18++0x3
line.long 0x0 "MRSTAT,Mode Register Read/Write Status Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "PDA_DONE,The SoC might initiate a MR write operation in PDA/PBA mode only if this signal is low. This signal goes: - High when three consecutive MRS commands related to the PDA/PBA mode are issued to the SDRAM - Low when MRCTRL0.pda_en becomes 0.." "Indicates that mode register write operation..,Indicates that mode register write operation.."
newline
hexmask.long.byte 0x0 1.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "MR_WR_BUSY,The SoC might initiate a MR write operation only if this signal is low. This signal goes: - High in the clock after the uMCTL2 accepts the MRW/MRR request - Low when the MRW/MRR command is issued to the SDRAM It is recommended not to perform.." "Indicates that the SoC can initiate a mode..,Indicates that mode register write operation is.."
group.long 0x1C++0x1F
line.long 0x0 "MRCTRL2,Mode Register Read/Write Control Register 2"
hexmask.long 0x0 0.--31. 1. "MR_DEVICE_SEL,Indicates the devices to be selected during the MRS that happens in PDA mode. Each bit is associated with one device. For example bit[0] corresponds to Device 0 bit[1] to Device 1 and so on. - 1 - Indicates that the MRS command must be.."
line.long 0x4 "DERATEEN,Temperature Derate Enable Register"
hexmask.long.tbyte 0x4 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
rbitfld.long 0x4 11. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x4 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
rbitfld.long 0x4 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x4 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x8 "DERATEINT,Temperature Derate Interval Register"
hexmask.long 0x8 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
line.long 0xC "MSTR2,Master Register2"
hexmask.long 0xC 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 0.--1. "TARGET_FREQUENCY,If MSTR.frequency_mode = 1 this field specifies the target frequency. - 0 - Frequency 0/Normal - 1 - Frequency 1/FREQ1 - 2 - Frequency 2/FREQ2 - 3 - Frequency 3/FREQ3 If MSTR.frequency_mode=0 this field is ignored. Note: If the target.." "Frequency 0/Normal,Frequency 1/FREQ1,Frequency 2/FREQ2,Frequency 3/FREQ3"
line.long 0x10 "DERATECTL,Temperature Derate Control Register"
hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x10 2. "DERATE_TEMP_LIMIT_INTR_FORCE,Interrupt force bit for derate_temp_limit_intr. Setting this field to 1 causes the derate_temp_limit_intr output pin to be asserted. At the end of the interrupt force operation the uMCTL2 automatically clears this bit." "0,1"
newline
bitfld.long 0x10 1. "DERATE_TEMP_LIMIT_INTR_CLR,Interrupt clear bit for derate_temp_limit_intr. At the end of the interrupt clear operation the uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x10 0. "DERATE_TEMP_LIMIT_INTR_EN,Interrupt enable bit for derate_temp_limit_intr output pin. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
line.long 0x14 "PWRCTL,Low Power Control Register"
hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x14 8. "LPDDR4_SR_ALLOWED,Indicates whether transition from SR-PD to SR and back to SR-PD is allowed. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - SR-PD -> SR -> SR-PD not allowed - 1 - SR-PD -> SR -> SR-PD allowed Programming Mode:.." "SR-PD,SR-PD"
newline
bitfld.long 0x14 7. "DIS_CAM_DRAIN_SELFREF,Indicates whether skipping CAM draining is allowed when entering self-refresh. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - CAMs must be empty before entering SR - 1 - CAMs are not emptied before.." "CAMs must be empty before entering SR,CAMs are not emptied before entering SR"
newline
bitfld.long 0x14 6. "STAY_IN_SELFREF,Self-refresh state is an intermediate state to enter to self-refresh power down state or exit self-refresh power down state for LPDDR4. This register controls transition from the self-refresh state. - 1 - Prohibit transition from.." "Allow transition from self-refresh state,Prohibit transition from self-refresh state"
newline
bitfld.long 0x14 5. "SELFREF_SW,A value of 1 to this register causes system to move to self-refresh state immediately as long as it is not in INIT or DPD/MPSM operating_mode. This is referred to as Software Entry/Exit to self-refresh. - 1 - Software Entry to self-refresh -.." "Software Exit from self-refresh,Software Entry to self-refresh"
newline
bitfld.long 0x14 4. "MPSM_EN,When this bit is 1 the uMCTL2 puts the SDRAM into maximum power saving mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of maximum power saving mode. Present only in designs configured to support.." "0,1"
newline
bitfld.long 0x14 3. "EN_DFI_DRAM_CLK_DISABLE,Enables the assertion of dfi_dram_clk_disable whenever a clock is not required by the SDRAM. If set to 0 dfi_dram_clk_disable is never asserted. Assertion of dfi_dram_clk_disable is as follows: In DDR2/DDR3 can only be asserted.." "0,1"
newline
bitfld.long 0x14 2. "DEEPPOWERDOWN_EN,When this bit is 1 uMCTL2 puts the SDRAM into deep power-down mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of deep power-down mode. The controller performs automatic SDRAM.." "0,1"
newline
bitfld.long 0x14 1. "POWERDOWN_EN,If true then the uMCTL2 goes into power-down after a programmable number of cycles 'maximum idle clocks before power down' (PWRTMG.powerdown_to_x32). This register bit may be re-programmed during the course of normal operation. Programming.." "0,1"
newline
bitfld.long 0x14 0. "SELFREF_EN,If true then the uMCTL2 puts the SDRAM into self-refresh after a programmable number of cycles 'maximum idle clocks before self-refresh (PWRTMG.selfref_to_x32)'. This register bit may be re-programmed during the course of normal operation." "0,1"
line.long 0x18 "PWRTMG,Low Power Timing Register"
hexmask.long.byte 0x18 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x18 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
rbitfld.long 0x18 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x18 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
line.long 0x1C "HWLPCTL,Hardware Low Power Control Register"
hexmask.long.byte 0x1C 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x1C 16.--27. 1. "HW_LP_IDLE_X32,Hardware idle period. The cactive_ddrc output is driven low if the DDRC command channel is idle for hw_lp_idle * 32 cycles if not in INIT or DPD/MPSM operating_mode. The DDRC command channel is considered idle when there are no HIF.."
newline
hexmask.long.word 0x1C 2.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x1C 1. "HW_LP_EXIT_IDLE_EN,When this bit is programmed to 1 the cactive_in_ddrc pin of the DDRC can be used to exit from the automatic clock stop automatic power down or automatic self-refresh modes. Note it does not cause exit of self-refresh that was caused.." "0,1"
newline
bitfld.long 0x1C 0. "HW_LP_EN,Enable this bit for Hardware Low Power Interface. Programming Mode: Quasi-dynamic Group 2" "0,1"
group.long 0x50++0x7
line.long 0x0 "RFSHCTL0,Refresh Control Register 0"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
rbitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "RFSHCTL1,Refresh Control Register 1"
hexmask.long.byte 0x4 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--27. 1. "REFRESH_TIMER1_START_VALUE_X32,Refresh timer start for rank 1 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
newline
hexmask.long.byte 0x4 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--11. 1. "REFRESH_TIMER0_START_VALUE_X32,Refresh timer start for rank 0 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
group.long 0x60++0xB
line.long 0x0 "RFSHCTL3,Refresh Control Register 3"
hexmask.long 0x0 7.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--6. "REFRESH_MODE,Indicates fine granularity refresh mode. - 000 - Fixed 1x (Normal mode) - 001 - Fixed 2x - 010 - Fixed 4x - 101 - Enable on the fly 2x (not supported) - 110 - Enable on the fly 4x (not supported) - Everything else - reserved Note: - Only.." "Fixed 1x,The on-the-fly modes are not supported in this..,This register field has effect only if a DDR4..,?,?,?,?,?"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "REFRESH_UPDATE_LEVEL,Toggle this signal (either from 0 to 1 or from 1 to 0) to indicate that the refresh registers have been updated. refresh_update_level must not be toggled when the DDRC is in reset (core_ddrc_rstn = 0). The refresh registers are.." "0,1"
newline
bitfld.long 0x0 0. "DIS_AUTO_REFRESH,When '1' disable auto-refresh generated by the uMCTL2. When auto-refresh is disabled the SoC must generate refreshes using the registers DBGCMD.rankn_refresh. When dis_auto_refresh transitions from 0 to 1 any pending refreshes are.." "0,1"
line.long 0x4 "RFSHTMG,Refresh Timing Register"
bitfld.long 0x4 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
rbitfld.long 0x4 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x4 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.byte 0x4 10.--14. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x8 "RFSHTMG1,Refresh Timing Register1"
hexmask.long.byte 0x8 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
newline
hexmask.long.word 0x8 0.--15. 1. "RESERVED,Reserved"
group.long 0x70++0x7
line.long 0x0 "ECCCFG0,ECC Configuration Register 0"
bitfld.long 0x0 30.--31. "ECC_REGION_MAP_GRANU,Indicates granularity of selectable protected region. Define one region size for ECCCFG0.ecc_region_map. - 0 - 1/8 of memory spaces - 1 - 1/16 of memory spaces - 2 - 1/32 of memory spaces - 3 - 1/64 of memory spaces Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 29. "ECC_REGION_MAP_OTHER,When ECCCFG0.ecc_region_map_granu>0 there is a region which is not controlled by ecc_region_map. This register defines the region to be protected or non-protected for Inline ECC. - 0 - Non-Protected - 1 - Protected This register is.." "Non-Protected,Protected"
newline
rbitfld.long 0x0 27.--28. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 24.--26. "ECC_AP_ERR_THRESHOLD,Sets threshold for address parity error. ECCAPSTAT.ecc_ap_err is asserted if number of ECC errors (correctable/uncorrectable) within one burst exceeds this threshold. This register value must be less than 'Total number of ECC checks.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "BLK_CHANNEL_IDLE_TIME_X32,Indicates the number of cycles on HIF interface with no access to protected regions which causes flush of all the block channels. In order to flush block channel uMCTL2 injects write ECC command (when there is no incoming HIF.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "ECC_REGION_MAP,Selectable Protected Region setting. Memory space is divided to 8/16/32/64 regions which is determined by ECCCFG0.ecc_region_map_granu. Note: Highest 1/8 memory space is always ECC region. Lowest 7 regions are Selectable Protected Regions."
newline
bitfld.long 0x0 7. "ECC_REGION_REMAP_EN,Enables remapping ECC region feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
bitfld.long 0x0 6. "ECC_AP_EN,Enables address protection feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_SCRUB,Disables ECC scrubs. Valid only when ECCCFG0.ecc_mode = 3'b100 or 3'b101 and MEMC_USE_RMW is defined. Note: Scrub is not supported in inline ECC mode and the register value is don't care. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "ECC_MODE,ECC mode indicator. - 000 - ECC disabled - 100 - ECC enabled - SEC/DED over 1 beat - 101 - ECC enabled - Advanced ECC (Illegal value when MEMC_INLINE_ECC=1) - all other settings are reserved for future use Programming Mode: Static" "ECC disabled,?,?,?,?,?,?,?"
line.long 0x4 "ECCCFG1,ECC Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ACTIVE_BLK_CHANNEL,Indicated the number of active block channels. Total number of ECC block channels are defined by MEMC_NO_OF_BLK_CHANNEL hardware parameter. This register can limit the number of available channels. For example if set to 0 only one.."
newline
bitfld.long 0x4 7. "BLK_CHANNEL_ACTIVE_TERM,If enabled block channel is terminated when full block write or full block read is performed (all address within block are written or read). - 0 - Disable (only for debug purpose) - 1 - Enable (default) This is debug register.." "Disable,Enable"
newline
rbitfld.long 0x4 6. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 5. "ECC_REGION_WASTE_LOCK,Locks the remaining waste parts of the ECC region (hole) that are not locked by ecc_region_parity_lock. - 1 - Locked; if this region is accessed error response is generated - 0 - Unlocked; this region can be accessed normally.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
bitfld.long 0x4 4. "ECC_REGION_PARITY_LOCK,Locks the parity section of the ECC region (hole) which is the highest system address part of the memory that stores ECC parity for protected region. - 1 - Locked; if this region is accessed error response is generated - 0 -.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 1. "DATA_POISON_BIT,Selects whether to poison 1 or 2 bits. - if 0 -> 2-bit (uncorrectable) data poisoning - if 1 -> 1-bit (correctable) data poisoning if ECCCFG1.data_poison_en=1 Valid only when MEMC_ECC_SUPPORT==1 (SECDED ECC mode) Programming Mode:.." "?,bit"
newline
bitfld.long 0x4 0. "DATA_POISON_EN,Enables ECC data poisoning - introduces ECC errors on writes to address specified by the ECCPOISONADDR0/1 registers. This field must be set to 0 if ECC is disabled (ECCCFG0.ecc_mode = 0). Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x78++0x3
line.long 0x0 "ECCSTAT,SECDED ECC Status Register (Valid only in MEMC_ECC_SUPPORT==1 (SECDED ECC mode))"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "ECC_UNCORRECTED_ERR,Double-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR,Single-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
bitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 0.--6. 1. "ECC_CORRECTED_BIT_NUM,Indicates the bit number corrected by single-bit ECC error. For encoding of this field see ECC section in the Architecture chapter. If more than one data lane has an error the lower data lane is selected. This register is 7 bits.."
group.long 0x7C++0x3
line.long 0x0 "ECCCTL,ECC Clear Register"
hexmask.long.word 0x0 19.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "ECC_AP_ERR_INTR_FORCE,Interrupt force bit for ecc_ap_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "ECC_UNCORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_uncorrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an.." "0,1"
newline
bitfld.long 0x0 16. "ECC_CORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_corrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt.." "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "ECC_AP_ERR_INTR_EN,Interrupt enable bit for ecc_ap_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 9. "ECC_UNCORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_uncorrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_corrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "ECC_AP_ERR_INTR_CLR,Interrupt clear bit for ecc_ap_err. If this bit is set the ECCAPSTAT.ecc_ap_err/ecc_ap_err_intr is cleared. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 3. "ECC_UNCORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error count. The ECCERRCNT.ecc_uncorr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 2. "ECC_CORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error count. The ECCERRCNT.ecc_corr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "ECC_UNCORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error. The following registers are cleared: - ECCSTAT.ecc_uncorrected_err - ADVECCSTAT.advecc_uncorrected_err - ECCUSYN0 - ECCUSYN1 - ECCUSYN2 uMCTL2.." "ECCUSYN1,?"
newline
bitfld.long 0x0 0. "ECC_CORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error. The following registers are cleared: - ECCSTAT.ecc_corrected_err - ADVECCSTAT.advecc_corrected_err - ADVECCSTAT.advecc_num_err_symbol -.." "ECCCSYN1,ECCBITMASK2"
rgroup.long 0x80++0xB
line.long 0x0 "ECCERRCNT,ECC Error Counter Register"
hexmask.long.word 0x0 16.--31. 1. "ECC_UNCORR_ERR_CNT,Indicates the number of uncorrectable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC.."
newline
hexmask.long.word 0x0 0.--15. 1. "ECC_CORR_ERR_CNT,Indicates the number of correctable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC with.."
line.long 0x4 "ECCCADDR0,ECC Corrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_CORR_RANK,Indicates the rank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_CORR_ROW,Indicates the page/row number of a read resulting in a corrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCCADDR1,ECC Corrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_CORR_BG,Indicates the bank group number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_CORR_BANK,Indicates the bank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_CORR_COL,Indicates the block number of a read resulting in a corrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x8C)++0x3
line.long 0x0 "ECCCSYN$1,ECC Corrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_SYNDROMES_31_0,Indicates the data pattern that resulted in a corrected error. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0x94++0x3
line.long 0x0 "ECCCSYN2,ECC Corrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_SYNDROMES_71_64,Indicates the data pattern that resulted in a corrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16] for.."
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x98)++0x3
line.long 0x0 "ECCBITMASK$1,ECC Corrected Data Bit Mask Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_BIT_MASK_31_0,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
repeat.end
rgroup.long 0xA0++0xB
line.long 0x0 "ECCBITMASK2,ECC Corrected Data Bit Mask Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_BIT_MASK_71_64,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
line.long 0x4 "ECCUADDR0,ECC Uncorrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_UNCORR_RANK,Indicates the rank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_UNCORR_ROW,Indicates the page/row number of a read resulting in an uncorrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCUADDR1,ECC Uncorrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_UNCORR_BG,Indicates the bank group number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_UNCORR_BANK,Indicates the bank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_UNCORR_COL,Indicates the block number of a read resulting in an uncorrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xAC)++0x3
line.long 0x0 "ECCUSYN$1,ECC Uncorrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_UNCORR_SYNDROMES_31_0,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0xB4++0x3
line.long 0x0 "ECCUSYN2,ECC Uncorrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_UNCORR_SYNDROMES_71_64,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16].."
group.long 0xB8++0xF
line.long 0x0 "ECCPOISONADDR0,ECC Data Poisoning Address Register 0. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "ECC_POISON_RANK,Indicates the rank address for ECC poisoning. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 12.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "ECC_POISON_COL,Indicates the column address for ECC poisoning. Note that this column address must be burst aligned: - In full bus width mode ecc_poison_col[2:0] must be set to 0 - In half bus width mode ecc_poison_col[3:0] must be set to 0 - In quarter.."
line.long 0x4 "ECCPOISONADDR1,ECC Data Poisoning Address Register 1. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 28.--29. "ECC_POISON_BG,Bank Group address for ECC poisoning. Programming Mode: Static" "0,1,2,3"
newline
rbitfld.long 0x4 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24.--26. "ECC_POISON_BANK,Bank address for ECC poisoning. Programming Mode: Static" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_POISON_ROW,Row address for ECC poisoning. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Static"
line.long 0x8 "CRCPARCTL0,CRC Parity Control Register0. Note: Do not perform any APB access to CRCPARCTL0 within 32 pclk cycles of previous access to CRCPARCTL0. as this might lead to data loss."
hexmask.long 0x8 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 2. "DFI_ALERT_ERR_CNT_CLR,Indicates the clear bit for DFI alert error counter. Asserting this bit clears the DFI alert error counter CRCPARSTAT.dfi_alert_err_cnt. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 1. "DFI_ALERT_ERR_INT_CLR,Interrupt clear bit for DFI alert error. If this bit is set the alert error interrupt on CRCPARSTAT.dfi_alert_err_int is cleared. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 0. "DFI_ALERT_ERR_INT_EN,Interrupt enable bit for DFI alert error. If this bit is set any parity/CRC error detected on the dfi_alert_n input results in an interrupt being set on CRCPARSTAT.dfi_alert_err_int. Programming Mode: Dynamic" "0,1"
line.long 0xC "CRCPARCTL1,CRC Parity Control Register1"
hexmask.long.tbyte 0xC 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 12. "CAPARITY_DISABLE_BEFORE_SR,If DDR4-SDRAM's CA parity is enabled by INIT6.mr5[2:0]!=0 and this register is set to 1 CA parity is automatically disabled before self-refresh entry and enabled after self-refresh exit by issuing MR5. - 1 - CA parity is.." "CA parity is not disabled before self-refresh..,CA parity is disabled before self-refresh entry"
newline
hexmask.long.byte 0xC 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 7. "CRC_INC_DM,CRC calculation setting register. - 1 - CRC includes DM signal - 0 - CRC not includes DM signal Present only in designs configured to support DDR4. Programming Mode: Static" "CRC not includes DM signal,CRC includes DM signal"
newline
rbitfld.long 0xC 5.--6. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0xC 4. "CRC_ENABLE,CRC enable Register. - 1 - Enable generation of CRC - 0 - Disable generation of CRC The setting of this register should match the CRC mode register setting in the DRAM. Programming Mode: Quasi-dynamic Group 2" "Disable generation of CRC,Enable generation of CRC"
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "PARITY_ENABLE,C/A Parity enable register. - 1 - Enable generation of C/A parity and detection of C/A parity error - 0 - Disable generation of C/A parity and disable detection of C/A parity error If RCD's parity error detection or SDRAM's parity detection.." "Disable generation of C/A parity and disable..,Enable generation of C/A parity and detection of.."
rgroup.long 0xCC++0x3
line.long 0x0 "CRCPARSTAT,CRC Parity Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "DFI_ALERT_ERR_INT,DFI alert error interrupt. If a parity/CRC error is detected on dfi_alert_n and the interrupt is enabled by CRCPARCTL0.dfi_alert_err_int_en this interrupt bit is set. It remains set until cleared by CRCPARCTL0.dfi_alert_err_int_clr." "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "DFI_ALERT_ERR_CNT,DFI alert error count. If a parity/CRC error is detected on dfi_alert_n this counter be incremented. This is independent of the setting of CRCPARCTL0.dfi_alert_err_int_en. It saturates at 0xFFFF and can be cleared by asserting.."
group.long 0xD0++0xB
line.long 0x0 "INIT0,SDRAM Initialization Register 0"
bitfld.long 0x0 30.--31. "SKIP_DRAM_INIT,If lower bit is enabled the SDRAM initialization routine is skipped. The upper bit decides what state the controller starts up in when reset is removed. - 00 - SDRAM Initialization routine is run after power-up - 01 - SDRAM Initialization.." "SDRAM Initialization routine is run after power-up,SDRAM Initialization routine is skipped after..,?,?"
newline
hexmask.long.byte 0x0 26.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 16.--25. 1. "POST_CKE_X1024,Indicates the number of cycles to wait after driving CKE high to start the SDRAM initialization sequence. DDR2 typically requires a 400 ns delay requiring this value to be programmed to 2 at all clock speeds. LPDDR2/LPDDR3 typically.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "PRE_CKE_X1024,Indicates the number of cycles to wait after reset before driving CKE high to start the SDRAM initialization sequence. DDR2 specifications typically require this to be programmed for a delay of >= 200 us. LPDDR2/LPDDR3: tINIT1 of 100 ns.."
line.long 0x4 "INIT1,SDRAM Initialization Register 1"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--24. 1. "DRAM_RSTN_X1024,Indicates the number of cycles to assert SDRAM reset signal during init sequence. This is only present for designs supporting DDR3 DDR4 or LPDDR4 devices. For use with a Synopsys DDR PHY this must be set to a minimum of 1. When the.."
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "PRE_OCD_X32,Indicates the wait period before driving the OCD complete command to SDRAM. There is no known specific requirement for this; it may be set to zero. Unit: Multiples of 32 DFI clock cycles. For more information on how to program this register.."
line.long 0x8 "INIT2,SDRAM Initialization Register 2"
hexmask.long.word 0x8 16.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--15. 1. "IDLE_AFTER_RESET_X32,Indicates the idle time after the reset command tINIT4. Present only in designs configured to support LPDDR2. When the controller is operating in 1:2 frequency ratio mode program this to JEDEC spec value divided by 2 and round it.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "MIN_STABLE_CLOCK_X1,Indicates the time to wait after the first CKE high tINIT2. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 5 x tCK delay. When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xE4++0x3
line.long 0x0 "INIT5,SDRAM Initialization Register 5"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--23. 1. "DEV_ZQINIT_X32,ZQ initial calibration tZQINIT. Present only in designs configured to support DDR3 or DDR4 or LPDDR2/LPDDR3. DDR3 typically requires 512 SDRAM clock cycles. DDR4 requires 1024 SDRAM clock cycles. LPDDR2/LPDDR3 requires 1 us. When the.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "MAX_AUTO_INIT_X1024,Indicates the maximum duration of the auto initialization tINIT5. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 10 us. Unit: Multiples of 1024 DFI clock cycles. For more information on.."
group.long 0xF0++0x7
line.long 0x0 "DIMMCTL,DIMM Control Register"
hexmask.long.tbyte 0x0 15.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 14. "RCD_B_OUTPUT_DISABLED,Disables RCD outputs to B-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[3] before and after disabling CAL mode. It is recommended to set it to ~DIMMCTL.dimm_output_inv_en.." "Enable B outputs,Disable B outputs"
newline
bitfld.long 0x0 13. "RCD_A_OUTPUT_DISABLED,Disables RCD outputs to A-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[2] before and after disabling CAL mode. It is recommended to set it to 0 except for debug. - 1 -.." "Enable A outputs,Disable A outputs"
newline
bitfld.long 0x0 12. "RCD_WEAK_DRIVE,Indicates the weak drive mode to be set to the RCD. This field is used only when the uMCTL2 disables CAL mode. When weak drive mode in the RCD is enabled during initialization this field must be set to 1. When RCD is not used this field.." "Disable Weak Drive mode,Enable Weak Drive mode"
newline
hexmask.long.byte 0x0 7.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 6. "LRDIMM_BCOM_CMD_PROT,Protects the timing restrictions (tBCW/tMRC) between consecutive BCOM commands defined in the Data Buffer specification. When using DDR4 LRDIMM this bit must be set to 1. Otherwise this bit must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 5. "DIMM_DIS_BG_MIRRORING,Disables address mirroring for BG bits. When this is set to 1 BG0 and BG1 are NOT swapped even if Address Mirroring is enabled. This is required for DDR4 DIMMs with x16 devices. - 1 - BG0 and BG1 are NOT swapped - 0 - BG0 and BG1.." "BG0 and BG1 are swapped if address mirroring is..,BG0 and BG1 are NOT swapped"
newline
bitfld.long 0x0 4. "MRS_BG1_EN,Enable this field for BG1 bit of MRS command. BG1 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have BG1 are attached and both the CA.." "Disabled,Enabled"
newline
bitfld.long 0x0 3. "MRS_A17_EN,Enable this field for A17 bit of MRS command. A17 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have A17 are attached as DDR4 RDIMM/LRDIMM.." "Disabled,Enabled"
newline
bitfld.long 0x0 2. "DIMM_OUTPUT_INV_EN,Enables output inversion (for DDR4 RDIMM/LRDIMM implementations only). DDR4 RDIMM/LRDIMM implements the Output Inversion feature by default which means that the following address bank address and bank group bits of B-side DRAMs are.." "Do not implement output inversion for B-side DRAMs,Implement output inversion for B-side DRAMs"
newline
bitfld.long 0x0 1. "DIMM_ADDR_MIRR_EN,Enables address mirroring (for multi-rank UDIMM implementations and multi-rank DDR4 RDIMM/LRDIMM implementations). Some UDIMMs and DDR4 RDIMMs/LRDIMMs implement address mirroring for odd ranks which means that the following address.." "Do not implement address mirroring,For odd ranks"
newline
bitfld.long 0x0 0. "DIMM_STAGGER_CS_EN,Staggering enable for multi-rank accesses (for multi-rank UDIMM RDIMM and LRDIMM implementations only). This is not supported for mDDR LPDDR2 LPDDR3 or LPDDR4 SDRAMs. Note: Even if this bit is set it does not take care of software.." "Do not stagger accesses,?"
line.long 0x4 "RANKCTL,Rank Control Register"
hexmask.long.byte 0x4 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
rbitfld.long 0x4 25. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x4 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x4 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,SDRAM Timing Register 0"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,SDRAM Timing Register 1"
hexmask.long.word 0x4 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
rbitfld.long 0x4 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,SDRAM Timing Register 2"
rbitfld.long 0x8 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
rbitfld.long 0x8 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
rbitfld.long 0x8 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,SDRAM Timing Register 3"
rbitfld.long 0xC 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
rbitfld.long 0xC 18.--19. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
rbitfld.long 0xC 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,SDRAM Timing Register 4"
rbitfld.long 0x10 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,SDRAM Timing Register 5"
hexmask.long.byte 0x14 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
rbitfld.long 0x14 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
rbitfld.long 0x14 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,SDRAM Timing Register 6"
hexmask.long.byte 0x18 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.word 0x18 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,SDRAM Timing Register 7"
hexmask.long.tbyte 0x1C 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,SDRAM Timing Register 8"
rbitfld.long 0x20 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
rbitfld.long 0x20 23. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
rbitfld.long 0x20 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
rbitfld.long 0x20 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,SDRAM Timing Register 9"
rbitfld.long 0x24 31. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
hexmask.long.word 0x24 19.--29. 1. "RESERVED,Reserved"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
rbitfld.long 0x24 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,SDRAM Timing Register 10"
hexmask.long.word 0x28 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
rbitfld.long 0x28 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
hexmask.long.byte 0x28 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,SDRAM Timing Register 11"
rbitfld.long 0x2C 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
rbitfld.long 0x2C 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
hexmask.long.byte 0x2C 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
rbitfld.long 0x2C 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,SDRAM Timing Register 12"
rbitfld.long 0x30 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
hexmask.long.byte 0x30 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.word 0x30 5.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,SDRAM Timing Register 13"
rbitfld.long 0x34 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
rbitfld.long 0x34 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
hexmask.long.word 0x34 3.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,SDRAM Timing Register 14"
hexmask.long.tbyte 0x38 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.tbyte 0x3C 8.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0xB
line.long 0x0 "ZQCTL0,ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
rbitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x4 "ZQCTL1,ZQ Control Register 1"
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0x4 20.--29. 1. "T_ZQ_RESET_NOP,tZQReset: Number of DFI clock cycles of NOP required after a ZQReset (ZQ calibration Reset) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode program this to tZQReset/2 and round it up to the next.."
newline
hexmask.long.tbyte 0x4 0.--19. 1. "T_ZQ_SHORT_INTERVAL_X1024,Indicates the average interval to wait between automatically issuing ZQCS (ZQ calibration short)/MPC(ZQ calibration) commands to DDR3/DDR4/LPDDR2/LPDDR3/LPDDR4 devices. Meaningless if ZQCTL0.dis_auto_zq=1. This is only present.."
line.long 0x8 "ZQCTL2,ZQ Control Register 2"
hexmask.long 0x8 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 0. "ZQ_RESET,Setting this register bit to 1 triggers a ZQ Reset operation. When the ZQ Reset operation is complete the uMCTL2 automatically clears this bit. It is recommended NOT to set this register bit if in Init in self-refresh(except LPDDR4) or.." "0,1"
rgroup.long 0x18C++0x3
line.long 0x0 "ZQSTAT,ZQ Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ZQ_RESET_BUSY,SoC might initiate a ZQ Reset operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQ Reset request. It goes low when the ZQ Reset command is issued to the SDRAM and the associated NOP period.." "Indicates that the SoC can initiate a ZQ Reset..,Indicates that ZQ Reset operation is in progress"
group.long 0x190++0x1B
line.long 0x0 "DFITMG0,DFI Timing Register 0"
rbitfld.long 0x0 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
rbitfld.long 0x4 26.--27. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
rbitfld.long 0x4 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
rbitfld.long 0x4 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
rbitfld.long 0x4 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
line.long 0x8 "DFILPCFG0,DFI Low Power Configuration Register 0"
rbitfld.long 0x8 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--28. 1. "DFI_TLP_RESP,Indicates the setting in DFI clock cycles for DFI's tlp_resp time. Same value is used for both Power Down self-refresh Deep Power Down and Maximum Power Saving modes. For more information on recommended values see PHY databook Unit: DFI.."
newline
hexmask.long.byte 0x8 20.--23. 1. "DFI_LP_WAKEUP_DPD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Deep Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256.."
newline
rbitfld.long 0x8 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 16. "DFI_LP_EN_DPD,Enables DFI Low Power interface handshaking during Deep Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting mDDR or LPDDR2/LPDDR3 devices. Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 12.--15. 1. "DFI_LP_WAKEUP_SR,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when self-refresh mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles.."
newline
rbitfld.long 0x8 9.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 8. "DFI_LP_EN_SR,Enables DFI Low Power interface handshaking during self-refresh Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 4.--7. 1. "DFI_LP_WAKEUP_PD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles -.."
newline
rbitfld.long 0x8 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "DFI_LP_EN_PD,Enables DFI Low Power interface handshaking during Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
line.long 0xC "DFILPCFG1,DFI Low Power Configuration Register 1"
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0xC 4.--7. 1. "DFI_LP_WAKEUP_MPSM,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Maximum Power Saving Mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 -.."
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "DFI_LP_EN_MPSM,Enables DFI Low Power interface handshaking during Maximum Power Saving Mode Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting DDR4 devices. Programming Mode: Static" "Disabled,Enabled"
line.long 0x10 "DFIUPD0,DFI Update Register 0"
bitfld.long 0x10 31. "DIS_AUTO_CTRLUPD,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2. The controller must issue the dfi_ctrlupd_req signal using register DBGCMD.ctrlupd. When '0' uMCTL2 issues dfi_ctrlupd_req periodically. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 30. "DIS_AUTO_CTRLUPD_SRX,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2 at self-refresh exit. When '0' uMCTL2 issues a dfi_ctrlupd_req before or after exiting self-refresh depending on DFIUPD0.ctrlupd_pre_srx. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 29. "CTRLUPD_PRE_SRX,Selects dfi_ctrlupd_req requirements at SRX: - 0 - Send ctrlupd after SRX - 1 - Send ctrlupd before SRX If DFIUPD0.dis_auto_ctrlupd_srx=1 this register has no impact because no dfi_ctrlupd_req is issued when SRX. Programming Mode: Static" "Send ctrlupd after SRX,Send ctrlupd before SRX"
newline
rbitfld.long 0x10 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x10 16.--25. 1. "DFI_T_CTRLUP_MAX,Specifies the maximum number of DFI clock cycles that the dfi_ctrlupd_req signal can assert. Lowest value to assign to this variable is 0x40. Unit: DFI clock cycles. Programming Mode: Static"
newline
hexmask.long.byte 0x10 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x10 0.--9. 1. "DFI_T_CTRLUP_MIN,Specifies the minimum number of DFI clock cycles that the dfi_ctrlupd_req signal must be asserted. The uMCTL2 expects the PHY to respond within this time. If the PHY does not respond the uMCTL2 de-asserts dfi_ctrlupd_req after.."
line.long 0x14 "DFIUPD1,DFI Update Register 1"
hexmask.long.byte 0x14 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--23. 1. "DFI_T_CTRLUPD_INTERVAL_MIN_X1024,This is the minimum amount of time between uMCTL2 initiated DFI update requests (which is executed whenever the uMCTL2 is idle). Set this number higher to reduce the frequency of update requests which can have a small.."
newline
hexmask.long.byte 0x14 8.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 0.--7. 1. "DFI_T_CTRLUPD_INTERVAL_MAX_X1024,This is the maximum amount of time between uMCTL2 initiated DFI update requests. This timer resets with each update request; when the timer expires dfi_ctrlupd_req is sent and traffic is blocked until the dfi_ctrlupd_ackx.."
line.long 0x18 "DFIUPD2,DFI Update Register 2"
bitfld.long 0x18 31. "DFI_PHYUPD_EN,Enables the support for acknowledging PHY-initiated updates: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long 0x18 0.--30. 1. "RESERVED,Reserved"
group.long 0x1B0++0xB
line.long 0x0 "DFIMISC,DFI Miscellaneous Control Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--12. 1. "DFI_FREQUENCY,Indicates the operating frequency of the system. The number of supported frequencies and the mapping of signal values to clock frequencies are defined by the PHY. Programming Mode: Quasi-dynamic Group 1"
newline
bitfld.long 0x0 7. "LP_OPTIMIZED_WRITE,If this bit is 1 LPDDR4 write DQ is set to 8'hF8 if masked write with enabling DBI; otherwise that value is set to 8'hFF. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 6. "DIS_DYN_ADR_TRI,If this bit is 1 PHY specific Dynamic Tristating which is a specific feature to certain Synopsys PHYs is disabled. If this bit is 0 a special IDLE command is issued on the DFI while dfi_cs is inactive state so that the PHY can detect.." "?,phase 0 and 1) dfi_we_n= 1"
newline
bitfld.long 0x0 5. "DFI_INIT_START,PHY init start request signal.When asserted it triggers the PHY init start request. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "CTL_IDLE_EN,Enables support of ctl_idle signal which is non-DFI related pin specific to certain Synopsys PHYs. For more information on ctl_idle functionality see signal description of ctl_idle signal. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "DFI_DATA_CS_POLARITY,Defines polarity of dfi_wrdata_cs and dfi_rddata_cs signals. - 0 - Signals are active low - 1 - Signals are active high Programming Mode: Static" "Signals are active low,Signals are active high"
newline
bitfld.long 0x0 1. "PHY_DBI_MODE,DBI implemented in DDRC or PHY. - 0 - DDRC implements DBI functionality - 1 - PHY implements DBI functionality Present only in designs configured to support DDR4 and LPDDR4. Programming Mode: Static" "DDRC implements DBI functionality,PHY implements DBI functionality"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE_EN,PHY initialization complete enable signal. When asserted the dfi_init_complete signal can be used to trigger SDRAM initialisation Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "DFITMG2,DFI Timing Register 2"
hexmask.long.tbyte 0x4 15.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x8 "DFITMG3,DFI Timing Register 3"
hexmask.long 0x8 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
rgroup.long 0x1BC++0x3
line.long 0x0 "DFISTAT,DFI Status Register"
hexmask.long 0x0 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "DFI_LP_ACK,Stores the value of the dfi_lp_ack input to the controller. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE,This a status flag register which announces when the DFI initialization has been completed. The DFI INIT triggered by dfi_init_start signal and then the dfi_init_complete flag is polled to know when the initialization is done." "0,1"
group.long 0x1C0++0x7
line.long 0x0 "DBICTL,DM/DBI Control Register"
hexmask.long 0x0 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "RD_DBI_EN,Read DBI enable signal in DDRC. - 0 - Read DBI is disabled - 1 - Read DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A12. When x4 devices are used this signal must be set to 0 - LPDDR4 - MR3[6].." "LPDDR4,Read DBI is enabled"
newline
bitfld.long 0x0 1. "WR_DBI_EN,Write DBI enable signal in DDRC. - 0 - Write DBI is disabled - 1 - Write DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A11. When x4 devices are used this signal must be set to 0 - LPDDR4 -.." "LPDDR4,Write DBI is enabled"
newline
bitfld.long 0x0 0. "DM_EN,Indicates the DM enable signal in DDRC. - 0 - DM is disabled - 1 - DM is enabled This signal must be set the same logical value as DRAM's mode register. - DDR4 - Set this to same value as MR5 bit A10. When x4 devices are used this signal must be.." "LPDDR4,DM is enabled"
line.long 0x4 "DFIPHYMSTR,DFI PHY Master"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DFI_PHYMSTR_EN,Enables the PHY Master Interface: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
group.long 0x200++0x13
line.long 0x0 "ADDRMAP0,Address Map Register 0"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--4. 1. "ADDRMAP_CS_BIT0,Selects the HIF address bit used as rank address bit 0. Valid Range: 0 to 29 and 31 Internal Base: 6 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 31 and then rank.."
line.long 0x4 "ADDRMAP1,Address Map Register 1"
hexmask.long.word 0x4 22.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--21. 1. "ADDRMAP_BANK_B2,Selects the HIF address bit used as bank address bit 2. Valid Range: 0 to 31 and 63 Internal Base: 4 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 63 and then bank.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "ADDRMAP_BANK_B1,Selects the HIF address bits used as bank address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "ADDRMAP_BANK_B0,Selects the HIF address bits used as bank address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
line.long 0x8 "ADDRMAP2,Address Map Register 2"
hexmask.long.byte 0x8 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 24.--27. 1. "ADDRMAP_COL_B5,- Full bus width mode - Selects the HIF address bit used as column address bit 5 - Half bus width mode - Selects the HIF address bit used as column address bit 6 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--19. 1. "ADDRMAP_COL_B4,- Full bus width mode - Selects the HIF address bit used as column address bit 4 - Half bus width mode - Selects the HIF address bit used as column address bit 5 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0x8 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 8.--12. 1. "ADDRMAP_COL_B3,- Full bus width mode - Selects the HIF address bit used as column address bit 3 - Half bus width mode - Selects the HIF address bit used as column address bit 4 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "ADDRMAP_COL_B2,- Full bus width mode - Selects the HIF address bit used as column address bit 2 - Half bus width mode - Selects the HIF address bit used as column address bit 3 - Quarter bus width mode - Selects the HIF address bit used as column address.."
line.long 0xC "ADDRMAP3,Address Map Register 3"
rbitfld.long 0xC 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 24.--28. 1. "ADDRMAP_COL_B9,- Full bus width mode - Selects the HIF address bit used as column address bit 9 - Half bus width mode - Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Quarter bus width mode - Selects the HIF.."
newline
rbitfld.long 0xC 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 16.--20. 1. "ADDRMAP_COL_B8,- Full bus width mode - Selects the HIF address bit used as column address bit 8 - Half bus width mode - Selects the HIF address bit used as column address bit 9 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 8.--12. 1. "ADDRMAP_COL_B7,- Full bus width mode - Selects the HIF address bit used as column address bit 7 - Half bus width mode - Selects the HIF address bit used as column address bit 8 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 0.--4. 1. "ADDRMAP_COL_B6,- Full bus width mode - Selects the HIF address bit used as column address bit 6. - Half bus width mode - Selects the HIF address bit used as column address bit 7. - Quarter bus width mode - Selects the HIF address bit used as column.."
line.long 0x10 "ADDRMAP4,Address Map Register 4"
bitfld.long 0x10 31. "COL_ADDR_SHIFT,The register provides a capability to map column address to lower HIF address in specific cases required by inline ECC configuration. - If it is 1 internal base of all the column address can be -2 to make mapping range of column address.." "0,1"
newline
hexmask.long.tbyte 0x10 13.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--12. 1. "ADDRMAP_COL_B11,- Full bus width mode - Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Half bus width mode - UNUSED. See later in this description for value you need to set to make it unused - Quarter bus width.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "ADDRMAP_COL_B10,- Full bus width mode: Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Half bus width mode: Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Quarter bus width.."
repeat 3. (list 0x5 0x9 0xA )(list 0x0 0x10 0x14 )
group.long ($2+0x214)++0x3
line.long 0x0 "ADDRMAP$1,Address Map Register 5"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B11,Selects the HIF address bit used as row address bit 11. Valid Range: 0 to 11 and 15 Internal Base: 17 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B2_10,Selects the HIF address bits used as row address bits 2 to 10. Valid Range: 0 to 11 and 15 Internal Base: 8 (for row address bit 2) 9 (for row address bit 3) 10 (for row address bit 4) and so on increasing to 16 (for row address bit.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B1,Selects the HIF address bits used as row address bit 1. Valid Range: 0 to 11 Internal Base: 7 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B0,Selects the HIF address bits used as row address bit 0. Valid Range: 0 to 11 Internal Base: 6 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
repeat.end
group.long 0x218++0xB
line.long 0x0 "ADDRMAP6,Address Map Register 6"
bitfld.long 0x0 31. "LPDDR3_6GB_12GB,Set this to 1 if there is an LPDDR3 SDRAM 6Gb or 12Gb device in use. - 1 - LPDDR3 SDRAM 6Gb/12Gb device in use. Every address having row[14:13]==2'b11 is considered as invalid - 0 - non-LPDDR3 6Gb/12Gb device in use. All addresses are.." "non-LPDDR3 6Gb/12Gb device in use,LPDDR3 SDRAM 6Gb/12Gb device in use"
newline
bitfld.long 0x0 29.--30. "LPDDR4_3GB_6GB_12GB,Indicates what type of LPDDR4 SDRAM device is in use. Here the density size is per channel. - 2'b00 - No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use. All addresses are valid - 2'b01 - LPDDR4 SDRAM 3Gb device with x16 mode in use. Every.." "No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use,LPDDR4 SDRAM 3Gb device with x16 mode in use,LPDDR4 SDRAM 6Gb device with x16 mode or 3Gb..,LPDDR4 SDRAM 12Gb device with x16 mode or 6Gb.."
newline
rbitfld.long 0x0 28. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B15,Selects the HIF address bit used as row address bit 15. Valid Range: 0 to 11 and 15 Internal Base: 21 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B14,Selects the HIF address bit used as row address bit 14. Valid Range: 0 to 11 and 15 Internal Base: 20 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B13,Selects the HIF address bit used as row address bit 13. Valid Range: 0 to 11 and 15 Internal Base: 19 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B12,Selects the HIF address bit used as row address bit 12. Valid Range: 0 to 11 and 15 Internal Base: 18 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x4 "ADDRMAP7,Address Map Register 7"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ADDRMAP_ROW_B17,Selects the HIF address bit used as row address bit 17. Valid Range: 0 to 11 and 15 Internal Base: 23 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x4 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "ADDRMAP_ROW_B16,Selects the HIF address bit used as row address bit 16. Valid Range: 0 to 11 and 15 Internal Base: 22 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x8 "ADDRMAP8,Address Map Register 8"
hexmask.long.tbyte 0x8 14.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--13. 1. "ADDRMAP_BG_B1,Selects the HIF address bits used as bank group address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "ADDRMAP_BG_B0,Selects the HIF address bits used as bank group address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
group.long 0x22C++0x3
line.long 0x0 "ADDRMAP11,Address Map Register 11"
hexmask.long 0x0 4.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B10,Selects the HIF address bits used as row address bit 10. Valid Range: 0 to 11 Internal Base: 16 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. This.."
group.long 0x240++0x7
line.long 0x0 "ODTCFG,ODT Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
rbitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "ODTMAP,ODT/Rank Map Register"
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12.--13. "RANK1_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "RANK1_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 4.--5. "RANK0_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "RANK0_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
group.long 0x250++0x7
line.long 0x0 "SCHED,Scheduler Control Register"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "RDWR_IDLE_GAP,When the preferred transaction store is empty for these many clock cycles switch to the alternate transaction store if it is non-empty. The read transaction store (both high and low priority) is the default preferred transaction store and.."
newline
hexmask.long.byte 0x0 16.--23. 1. "GO2CRITICAL_HYSTERESIS,UNUSED. Programming Mode: Static"
newline
bitfld.long 0x0 15. "LPDDR4_OPT_ACT_TIMING,Optimized ACT timing control for LPDDR4. In LPDDR4 RD/WR/ACT takes 4 cycle. To stream Read/Write there are only 4 cycle space between Reads/Writes. If ACT is scheduled-out after RD/WR with 1 2 or 3 cycle gap next RD/WR may be.." "Disable this feature,Enable this feature"
newline
rbitfld.long 0x0 13.--14. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--12. 1. "LPR_NUM_ENTRIES,Number of entries in the low priority transaction store is this value + 1. (MEMC_NO_OF_ENTRY - (SCHED.lpr_num_entries + 1)) is the number of entries available for the high priority transaction store. Setting this to maximum value.."
newline
bitfld.long 0x0 7. "AUTOPRE_RMW,Selects behavior of hif_cmd_autopre if a RMW is received on HIF with hif_cmd_autopre=1 - 1 - Apply Autopre only for write part of RMW - 0 - Apply Autopre for both read and write parts of RMW Programming Mode: Static" "Apply Autopre for both read and write parts of RMW,Apply Autopre only for write part of RMW"
newline
hexmask.long.byte 0x0 3.--6. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "PAGECLOSE,If true bank is kept open only while there are page hit transactions available in the CAM to that bank. The last read or write command in the CAM with a bank and page hit is executed with auto-precharge if SCHED1.pageclose_timer=0. Even if.." "0,1"
newline
bitfld.long 0x0 1. "PREFER_WRITE,If set then the bank selector prefers writes over reads. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 0. "RESERVED,Reserved" "0,1"
line.long 0x4 "SCHED1,Scheduler Control Register 1"
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--7. 1. "PAGECLOSE_TIMER,This field works in conjunction with SCHED.pageclose.It only has meaning if SCHED.pageclose==1. If SCHED.pageclose==1 and pageclose_timer==0 then an auto-precharge may be scheduled for last read or write command in the CAM with a bank.."
group.long 0x25C++0x3
line.long 0x0 "PERFHPR1,High Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "HPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the HPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "HPR_MAX_STARVE,Indicates the number of DFI clocks that the HPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x264++0x3
line.long 0x0 "PERFLPR1,Low Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "LPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the LPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "LPR_MAX_STARVE,Indicates the number of DFI clocks that the LPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x26C++0x3
line.long 0x0 "PERFWR1,Write CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "W_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the WR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode: Quasi-dynamic.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "W_MAX_STARVE,Indicates the number of DFI clocks that the WR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x300++0x7
line.long 0x0 "DBG0,Debug Register 0"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "DIS_MAX_RANK_WR_OPT,Indicates the disable optimized max_rank_wr and max_logical_rank_wr feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 6. "DIS_MAX_RANK_RD_OPT,Indicates the disable optimized max_rank_rd and max_logical_rank_rd feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_COLLISION_PAGE_OPT,When this is set to '0' auto-precharge is disabled for the flushed command in a collision case. Collision cases are write followed by read to same address read followed by write to same address or write followed by write to same.." "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "DIS_WC,When 1 disable write combine. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
line.long 0x4 "DBG1,Debug Register 1"
hexmask.long 0x4 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 1. "DIS_HIF,When 1 uMCTL2 asserts the HIF command signal hif_cmd_stall. uMCTL2 ignores the hif_cmd_valid and all other associated request signals. This bit is intended to be switched on-the-fly. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x4 0. "DIS_DQ,When 1 uMCTL2 does not de-queue any transactions from the CAM. Bypass is also disabled. All transactions are queued in the CAM. No reads or writes are issued to SDRAM as long as this is asserted. This bit may be used to prevent reads or writes.." "0,1"
rgroup.long 0x308++0x3
line.long 0x0 "DBGCAM,CAM Debug Register"
bitfld.long 0x0 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 29. "WR_DATA_PIPELINE_EMPTY,This bit indicates that the write data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 28. "RD_DATA_PIPELINE_EMPTY,This bit indicates that the read data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 26. "DBG_WR_Q_EMPTY,When 1 all the Write command queues and Write data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 25. "DBG_RD_Q_EMPTY,When 1 all the Read command queues and Read data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 24. "DBG_STALL,Stall. FOR DEBUG ONLY. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "DBG_W_Q_DEPTH,This field indicates the Write queue depth. The last entry of WR queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--13. 1. "DBG_LPR_Q_DEPTH,This field indicates the low priority read queue depth. The last entry of Lpr queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_HPR_Q_DEPTH,This field indicates the high priority read queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x30C++0x3
line.long 0x0 "DBGCMD,Command Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD,Setting this register bit to 1 indicates to the uMCTL2 to issue a dfi_ctrlupd_req to the PHY. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation must only be performed when DFIUPD0.dis_auto_ctrlupd=1." "0,1"
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT,Setting this register bit to 1 indicates to the uMCTL2 to issue a ZQCS (ZQ calibration short)/MPC(ZQ calibration) command to the SDRAM. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation can be.." "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 1. Writing to this bit causes DBGSTAT.rank1_refresh_busy to be set. When DBGSTAT.rank1_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
newline
bitfld.long 0x0 0. "RANK0_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 0. Writing to this bit causes DBGSTAT.rank0_refresh_busy to be set. When DBGSTAT.rank0_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
rgroup.long 0x310++0x3
line.long 0x0 "DBGSTAT,Status Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD_BUSY,SoC might initiate a ctrlupd operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ctrlupd request. It goes low when the ctrlupd operation is initiated in the uMCTL2. It is recommended not to.." "Indicates that the SoC can initiate a ctrlupd..,Indicates that ctrlupd operation has not been.."
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT_BUSY,SoC might initiate a ZQCS (ZQ calibration short) operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQCS request. It goes low when the ZQCS operation is initiated in the uMCTL2. It is.." "Indicates that the SoC can initiate a ZQCS..,Indicates that ZQCS operation has not been.."
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH_BUSY,SoC might initiate a rank1_refresh operation (refresh operation to rank 1) only if this signal is low. This signal goes high in the clock after DBGCMD.rank1_refresh is set to one. It goes low when the rank1_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank1_refresh operation has not.."
newline
bitfld.long 0x0 0. "RANK0_REFRESH_BUSY,SoC might initiate a rank0_refresh operation (refresh operation to rank 0) only if this signal is low. This signal goes high in the clock after DBGCMD.rank0_refresh is set to one. It goes low when the rank0_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank0_refresh operation has not.."
rgroup.long 0x318++0x3
line.long 0x0 "DBGCAM1,CAM Debug Register 1"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_WRECC_Q_DEPTH,This field indicates the write ECC queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x320++0x3
line.long 0x0 "SWCTL,Software Register Programming Control Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE,Enables quasi-dynamic register programming outside reset. Program this register to 0 to enable quasi-dynamic programming. Set back register to 1 once programming is done. Programming Mode: Dynamic" "0,1"
rgroup.long 0x324++0x3
line.long 0x0 "SWSTAT,Software Register Programming Control Status"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE_ACK,Register programming done. This register is the echo of SWCTL.sw_done. Wait for sw_done value 1 to propagate to sw_done_ack at the end of the programming sequence to ensure that the correct registers values are propagated to the destination.." "0,1"
group.long 0x328++0x3
line.long 0x0 "SWCTLSTATIC,Static Registers Write Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_STATIC_UNLOCK,Enables static register programming outside reset. Program this register to 1 to enable static register programming. Set register back to 0 once programming is done. Programming Mode: Dynamic" "0,1"
group.long 0x330++0x7
line.long 0x0 "OCPARCFG0,On-Chip Parity Configuration Register 0"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "PAR_RADDR_ERR_INTR_FORCE,Interrupt force bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 25. "PAR_WADDR_ERR_INTR_FORCE,Interrupt force bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 24. "PAR_RADDR_ERR_INTR_CLR,Interrupt clear bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 23. "PAR_RADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_raddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22. "PAR_WADDR_ERR_INTR_CLR,Interrupt clear bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 21. "PAR_WADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_waddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 20. "PAR_ADDR_SLVERR_EN,Enables SLVERR generation on read response or write response when address parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 16.--19. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 15. "PAR_RDATA_ERR_INTR_FORCE,Interrupt force bit for all par_rdata_err_intr_n and par_rdata_in_err_ecc_intr (Inline-ECC only). uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 14. "PAR_RDATA_ERR_INTR_CLR,Interrupt clear bit for par_rdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 13. "PAR_RDATA_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_rdata_err_intr_n upon detection of parity error at the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 12. "PAR_RDATA_SLVERR_EN,Enables SLVERR generation on read response when read data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "PAR_WDATA_ERR_INTR_FORCE,Interrupt force bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 6. "PAR_WDATA_ERR_INTR_CLR,Interrupt clear bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 5. "PAR_WDATA_SLVERR_EN,Enables SLVERR generation on write response when write data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "PAR_WDATA_ERR_INTR_EN,Enables write data interrupt generation (par_wdata_err_intr) upon detection of parity error at the AXI or DFI interface. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "OC_PARITY_TYPE,Parity type: - 0 - Even parity - 1 - Odd parity Programming Mode: Quasi-dynamic Group 3" "Even parity,Odd parity"
newline
bitfld.long 0x0 0. "OC_PARITY_EN,Parity enable register. Enables On-Chip parity for all interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "OCPARCFG1,On-Chip Parity Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "PAR_POISON_LOC_WR_PORT,Enables parity poisoning on write data at the AXI interface before the input parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Programming Mode:.."
newline
hexmask.long.byte 0x4 4.--7. 1. "PAR_POISON_LOC_RD_PORT,Enables parity poisoning on read data at the AXI interface after the parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Error can be injected to one port at.."
newline
bitfld.long 0x4 3. "PAR_POISON_LOC_RD_IECC_TYPE,Selects which parity to poison at the DFI when inline ECC is enabled. If this register is set to 0 parity error is injected on the first read data going through the ECC path. If this register is set to 1 parity error is.." "0,1"
newline
bitfld.long 0x4 2. "PAR_POISON_LOC_RD_DFI,Enables parity poisoning on read data at the DFI interface after the parity generation logic. When MEMC_INLINE_ECC=1 enables poisoning of ECC word after the ECC encoder at the write data interface at the DFI. Programming Mode:.." "0,1"
newline
rbitfld.long 0x4 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 0. "PAR_POISON_EN,Enables on-chip parity poisoning on the data interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x338)++0x3
line.long 0x0 "OCPARSTAT$1,On-Chip Parity Status Register 0"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "PAR_RADDR_ERR_INTR_0,Read address parity error interrupt for port 0. This interrupt is asserted when an on-chip read address parity error occurred on the corresponding AXI port's read address channel. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "PAR_WADDR_ERR_INTR_0,Write address parity error interrupt for port 0. This interrupt is asserted when an on-chip write address parity error occurred on the corresponding AXI port's write address channel. Programming Mode: Static" "0,1"
repeat.end
rgroup.long 0x340++0x3
line.long 0x0 "OCPARSTAT2,On-Chip Parity Status Register 2"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4. "PAR_RDATA_IN_ERR_ECC_INTR,Interrupt on ECC data going into inline ECC decoder. Cleared by par_rdata_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "PAR_WDATA_OUT_ERR_INTR,Write data parity error interrupt on output. Cleared by register par_wdata_err_intr_clr. Programming Mode: Static" "0,1,2,3"
group.long 0x36C++0x3
line.long 0x0 "POISONCFG,AXI Poison Configuration Register. Common for all AXI ports."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "RD_POISON_INTR_CLR,Interrupt clear for read transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "RD_POISON_INTR_EN,If set to 1 enables interrupts for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 16. "RD_POISON_SLVERR_EN,If set to 1 enables SLVERR response for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "WR_POISON_INTR_CLR,Interrupt clear for write transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "WR_POISON_INTR_EN,If set to 1 enables interrupts for write transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "WR_POISON_SLVERR_EN,If set to 1 enables SLVERR response for write transaction poisoning. Programming Mode: Dynamic" "0,1"
rgroup.long 0x370++0x3
line.long 0x0 "POISONSTAT,AXI Poison Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "RD_POISON_INTR_0,Read transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's read address channel. Bit 0.." "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "WR_POISON_INTR_0,Write transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's write address channel. Bit.." "0,1"
group.long 0x374++0x3
line.long 0x0 "ADVECCINDEX,Advanced ECC Index Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 5.--8. 1. "ECC_POISON_BEATS_SEL,Selector of which DRAM beat's poison pattern is set by ECCPOISONPAT0/1/2 registers. For frequency ratio 1:1 mode 2 DRAM beats can be poisoned. Set ecc_poison_beats_sel to 0 and given ECCPOISONPAT0/1/2 to set 1st beat's poison.."
newline
bitfld.long 0x0 3.--4. "ECC_ERR_SYMBOL_SEL,Selector of which error symbol's status output to ADVECCSTAT.advecc_err_symbol_pos and advecc_err_symbol_bits. The default is first error symbol. The value must be less than ADVECCSTAT.advecc_num_err_symbol. Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 0.--2. "ECC_SYNDROME_SEL,Selector of which DRAM beat data output to ECCCSYN0/1/2 as well as ECCUCSYN. In Advanced ECC the syndrome consists of number of DRAM beats. This register selects which beats of data is output to ECCCSYN0/1/2 and ECCUCSYN0/1/2 registers." "0,1,2,3,4,5,6,7"
group.long 0x37C++0x3
line.long 0x0 "ECCPOISONPAT0,ECC Poison Pattern 0 Register"
hexmask.long 0x0 0.--31. 1. "ECC_POISON_DATA_31_0,Indicates the poison pattern for DRAM data[31:0]. setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
group.long 0x384++0x3
line.long 0x0 "ECCPOISONPAT2,ECC Poison Pattern 2 Register"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_POISON_DATA_71_64,Indicates the poison pattern for DRAM data[71:64]. Setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
rgroup.long 0x388++0x3
line.long 0x0 "ECCAPSTAT,Address protection within ECC Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ECC_AP_ERR,Indicates the number of ECC errors (correctable/uncorrectable) within one burst exceeded the threshold(ECCCFG0.ecc_ap_err_threshold). Programming Mode: Dynamic" "0,1"
group.long 0x3C0++0x3
line.long 0x0 "REGPARCFG,Register Parity Configuration Register (Note that all fields must be programmed with single write operation)."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "REG_PAR_POISON_EN,Enable Register Parity poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 3. "REG_PAR_ERR_INTR_FORCE,Interrupt force bit for reg_par_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 2. "REG_PAR_ERR_INTR_CLR,Interupt clear bit for reg_par_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "REG_PAR_ERR_INTR_EN,Enables interrupt generation if set to 1 on signal reg_par_err_intr upon detection of register parity error. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "REG_PAR_EN,Register Parity enable register. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3C4++0x3
line.long 0x0 "REGPARSTAT,Register Parity Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "REG_PAR_ERR_INTR,Interrupt asserted when Register Parity error is detected. Cleared by setting REGPARCFG.reg_par_err_intr_clr to 1. Programming Mode: Static" "0,1"
group.long 0x3E0++0x3
line.long 0x0 "OCCAPCFG,On-Chip command/Address Protection Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 27. "OCCAP_ARB_RAQ_POISON_EN,Enables poisoning for the Read Address Queues (RAQ) inside each XPI. Poisoning inverts all parity bits generated by the parity generator. Error is flagged as soon as the first RAQ is read. This register is not cleared.." "0,1"
newline
bitfld.long 0x0 26. "OCCAP_ARB_CMP_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP Arbiter logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT,OCCAPSTAT"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL,Enables full poisoning for compare logic inside XPI. Poisoning inverts all bits of all outputs coming from the duplicated modules before the XOR comparators together. uMCTL2 automatically clears this bit. Programming Mode:.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ,Enables poisoning for compare logic inside XPI. Poisoning inverts all bits coming from the duplicated modules before the XOR comparators one output at the time per each comparator. uMCTL2 automatically clears this bit." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_ARB_INTR_FORCE,Interrupt force bit for occap_arb_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_ARB_INTR_CLR,Interrupt clear bit for occap_arb_err_intr and occap_arb_cmp_poison_complete. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_INTR_EN,Enables interrupt generation upon detection of OCCAP Arbiter errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "OCCAP_EN,On Chip Command/Address Path Protection (OCCAP) enable register. Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x3E4++0x3
line.long 0x0 "OCCAPSTAT,On-Chip command/Address Protection Status Register"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL_ERR,Error when occap_arb_cmp_poison_full_en is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_arb_cmp_poison_full_en. It.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ_ERR,Error when occap_arb_cmp_poison_en is active due to incorrect number of errors being occurring. Internal logic checks that the correct number of errors detected while poisoning one output at the time occurred for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_ARB_CMP_POISON_COMPLETE,OCCAP ARB comparator poisoning complete interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_ERR_INTR,OCCAP Arbiter error interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "RESERVED,Reserved"
group.long 0x3E8++0x3
line.long 0x0 "OCCAPCFG1,On-Chip command/Address Protection Configuration Register 1"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "OCCAP_DDRC_CTRL_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC CTRL logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_DDRC_CTRL_INTR_FORCE,Interrupt force bit for occap_ddrc_ctrl_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_INTR_CLR,Interrupt clear bit for occap_ddrc_ctrl_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_INTR_EN,Enables interrupt generation on signal occap_ddrc_ctrl_err_intr upon detection of OCCAP DDRC CTRL errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "OCCAP_DDRC_DATA_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC DATA logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of.." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of instance[0] of.." "0,1"
newline
hexmask.long.byte 0x0 3.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "OCCAP_DDRC_DATA_INTR_FORCE,Interrupt force bit for occap_ddrc_data_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_INTR_CLR,Interrupt clear bit for occap_ddrc_data_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_INTR_EN,Enables interrupt generation on signal occap_ddrc_data_err_intr upon detection of OCCAP DDRC DATA errors. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3EC++0x7
line.long 0x0 "OCCAPSTAT1,On-Chip command/Address Protection Status Register 1"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL_ERR,Error when occap_ddrc_ctrl_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_parallel." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ_ERR,Error when occap_ddrc_ctrl_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_POISON_COMPLETE,Indicates the OCCAP DDRC CTRL poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_ERR_INTR,Indicates the OCCAP DDRC CTRL error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL_ERR,Error when occap_ddrc_data_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_parallel." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ_ERR,Error when occap_ddrc_data_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_POISON_COMPLETE,Indicates the OCCAP DDRC DATA poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_ERR_INTR,Indicates the OCCAP DDRC DATA error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
line.long 0x4 "DERATESTAT,Temperature Derate Status Register"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DERATE_TEMP_LIMIT_INTR,Derate temperature interrupt indicating LPDDR2/3/4 SDRAM temperature operating limit is exceeded. This register field is set to 1 when the value read from MR4[2:0] is 3'b000 or 3'b111. Cleared by register.." "0,1"
tree.end
tree "UMCTL2_MP (uMCTL2 Multi-Port Registers)"
base ad:0x1016
rgroup.long 0x4++0x3
line.long 0x0 "PSTAT,Port Status Register"
bitfld.long 0x0 16. "WR_PORT_BUSY_0,Indicates if there are outstanding writes for AXI port 0. Programming Mode: Dynamic" "0,1"
bitfld.long 0x0 0. "RD_PORT_BUSY_0,Indicates if there are outstanding reads for AXI port 0. Programming Mode: Dynamic" "0,1"
group.long 0x8++0xB
line.long 0x0 "PCCFG,Port Common Configuration Register"
bitfld.long 0x0 8. "BL_EXP_MODE,Burst length expansion mode. By default (that is bl_exp_mode==0) XPI expands every AXI burst into multiple HIF commands using the memory burst length as a unit. If set to 1 then XPI uses half of the memory burst length as a unit. This.." "UMCTL2_PARTIAL_WR=1,?"
bitfld.long 0x0 4. "PAGEMATCH_LIMIT,Page match four limit. If set to 1 limits the number of consecutive same page DDRC transactions that can be granted by the Port Arbiter to four when Page Match feature is enabled. If set to 0 there is no limit imposed on number of.." "0,1"
bitfld.long 0x0 0. "GO2CRITICAL_EN,If set to 1 (enabled) sets co_gs_go2critical_wr and co_gs_go2critical_lpr/co_gs_go2critical_hpr signals going to DDRC based on urgent input (awurgent arurgent) coming from AXI master. If set to 0 (disabled) co_gs_go2critical_wr and.." "0,1"
line.long 0x4 "PCFGR_0,Port n Configuration Read Register"
bitfld.long 0x4 16. "RDWR_ORDERED_EN,Enables ordered read/writes. If set to 1 preserves the ordering between read transaction and write transaction issued to the same address on a given port. In other words the controller ensures that all same address read and write.." "0,1"
bitfld.long 0x4 14. "RD_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x4 13. "RD_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (arurgent). When enabled and arurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_lpr/co_gs_go2critical_hpr signal to DDRC is asserted if.." "0,1"
newline
bitfld.long 0x4 12. "RD_PORT_AGING_EN,If set to 1 enables aging function for the read channel of the port. Programming Mode: Static" "0,1"
hexmask.long.word 0x4 0.--9. 1. "RD_PORT_PRIORITY,Determines the initial load value of read aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but not.."
line.long 0x8 "PCFGW_0,Port n Configuration Write Register"
bitfld.long 0x8 14. "WR_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x8 13. "WR_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (awurgent). When enabled and awurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_wr signal to DDRC is asserted if enabled in.." "0,1"
bitfld.long 0x8 12. "WR_PORT_AGING_EN,If set to 1 enables aging function for the write channel of the port. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x8 0.--9. 1. "WR_PORT_PRIORITY,Determines the initial load value of write aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but.."
group.long 0x98++0x13
line.long 0x0 "PCTRL_0,Port n Control Register"
bitfld.long 0x0 0. "PORT_EN,Enables AXI port n. Programming Mode: Dynamic" "0,1"
line.long 0x4 "PCFGQOS0_0,Port n Read QoS Configuration Register 0"
bitfld.long 0x4 24.--25. "RQOS_MAP_REGION2,This bitfield indicates the traffic class of region2. For dual address queue configurations region2 maps to the red address queue. Valid values are 1: VPR and 2: HPR only. When VPR support is disabled (UMCTL2_VPR_EN = 0) and traffic.." "?,VPR and,HPR only,?"
bitfld.long 0x4 20.--21. "RQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region1 maps to the blue address queue. In this case valid values are - 0 - LPR - 1 - VPR.." "LPR,VPR only,HPR,?"
bitfld.long 0x4 16.--17. "RQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region 0 maps to the blue address queue. In this case valid values are: 0: LPR and 1: VPR.." "LPR and,VPR only,HPR,?"
newline
hexmask.long.byte 0x4 8.--11. 1. "RQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to arqos. Region2 starts from (level2 + 1) up to 15. Note that for PA arqos.."
hexmask.long.byte 0x4 0.--3. 1. "RQOS_MAP_LEVEL1,Separation level1 indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 (for dual RAQ) or 0 to 14 (for single RAQ) which corresponds to arqos. Note that for PA arqos values are used directly.."
line.long 0x8 "PCFGQOS1_0,Port n Read QoS Configuration Register 1"
hexmask.long.word 0x8 16.--26. 1. "RQOS_MAP_TIMEOUTR,Specifies the timeout value for transactions mapped to the red address queue. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x8 0.--10. 1. "RQOS_MAP_TIMEOUTB,Specifies the timeout value for transactions mapped to the blue address queue. Programming Mode: Quasi-dynamic Group 3"
line.long 0xC "PCFGWQOS0_0,Port n Write QoS Configuration Register 0"
bitfld.long 0xC 24.--25. "WQOS_MAP_REGION2,This bit field indicates the traffic class of region 2. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 2 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 20.--21. "WQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: 0: NPW 1: VPW. When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 1 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 16.--17. "WQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 0 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
newline
hexmask.long.byte 0xC 8.--11. 1. "WQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to awqos. Region2 starts from (level2 + 1) up to 15. Note that for PA awqos.."
hexmask.long.byte 0xC 0.--3. 1. "WQOS_MAP_LEVEL1,Separation level indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 which corresponds to awqos. Note that for PA awqos values are used directly as port priorities where the higher the.."
line.long 0x10 "PCFGWQOS1_0,Port n Write QoS Configuration Register 1"
hexmask.long.word 0x10 16.--26. 1. "WQOS_MAP_TIMEOUT2,Specifies the timeout value for write transactions in region 2. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x10 0.--10. 1. "WQOS_MAP_TIMEOUT1,Specifies the timeout value for write transactions in region 0 and 1. Programming Mode: Quasi-dynamic Group 3"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB0C)++0x3
line.long 0x0 "SARBASE$1,SAR Base Address Register n"
hexmask.long.word 0x0 0.--11. 1. "BASE_ADDR,Base address for address region n specified as awaddr[UMCTL2_A_ADDRW-1:x] and araddr[UMCTL2_A_ADDRW-1:x] where x is determined by the minimum block size parameter UMCTL2_SARMINSIZE: (x=log2(block size)). Programming Mode: Static"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB10)++0x3
line.long 0x0 "SARSIZE$1,SAR Size Register n"
hexmask.long.byte 0x0 0.--7. 1. "NBLOCKS,Number of blocks for address region n. This register determines the total size of the region in multiples of minimum block size as specified by the hardware parameter UMCTL2_SARMINSIZE. The register value is encoded as number of blocks = nblocks.."
repeat.end
group.long 0xB2C++0x3
line.long 0x0 "SBRCTL,Scrubber Control Register"
hexmask.long.word 0x0 8.--20. 1. "SCRUB_INTERVAL,Scrub interval. (512 x scrub_interval) number of clock cycles between two scrub read commands. If set to 0 scrub commands are issued back-to-back. This mode of operation (scrub_interval=0) can typically be used for scrubbing the full.."
bitfld.long 0x0 4.--6. "SCRUB_BURST,Scrub burst count. Determines the number of back-to-back scrub read commands that can be issued together when the controller is in one of the HW controlled low power modes with Sideband ECC both normal operation mode and low-power mode with.." "0,1,2,3,4,5,6,7"
bitfld.long 0x0 2. "SCRUB_MODE,- scrub_mode:0 ECC scrubber performs reads - scrub_mode:1 ECC scrubber performs writes Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "SCRUB_DURING_LOWPOWER,Continue scrubbing during low power. If set to 1 burst of scrubs is issued in hardware controlled low power modes. There are two such modes: automatically initiated by idleness or initiated by Hardware low power interface. If set.." "0,1"
bitfld.long 0x0 0. "SCRUB_EN,Enables ECC scrubber. If set to 1 enables the scrubber to generate background read commands after the memories are initialized. If set to 0 disables the scrubber resets the address generator to 0 and clears the scrubber status. This bitfield.." "0,1"
rgroup.long 0xB30++0x3
line.long 0x0 "SBRSTAT,Scrubber Status Register"
bitfld.long 0x0 1. "SCRUB_DONE,Scrubber done. The controller sets this bit to 1 after full range of addresses are scrubbed once while scrub_interval is set to 0. Cleared if scrub_en is set to 0 (scrubber disabled) or scrub_interval is set to a non-zero value for normal.." "0,1"
bitfld.long 0x0 0. "SCRUB_BUSY,Scrubber busy. The controller sets this bit to 1 when the scrubber logic has outstanding read commands being executed. Cleared when there are no active outstanding scrub reads in the system. Programming Mode: Dynamic" "0,1"
group.long 0xB34++0x3
line.long 0x0 "SBRWDATA0,Scrubber Write Data Pattern0"
hexmask.long 0x0 0.--31. 1. "SCRUB_PATTERN0,ECC Scrubber write data pattern for data bus[31:0] Programming Mode: Dynamic"
group.long 0xB40++0xF
line.long 0x0 "SBRSTART0,Scrubber Start Address Mask Register 0"
hexmask.long 0x0 0.--31. 1. "SBR_ADDRESS_START_MASK_0,sbr_address_start_mask_0 holds the bits [31:0] of the starting address the ECC scrubber generates. The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber address registers.."
line.long 0x4 "SBRSTART1,Scrubber Start Address Mask Register 1"
hexmask.long.byte 0x4 0.--3. 1. "SBR_ADDRESS_START_MASK_1,sbr_address_start_mask_1 holds bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the starting address the ECC scrubber generates.The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber.."
line.long 0x8 "SBRRANGE0,Scrubber Address Range Mask Register 0"
hexmask.long 0x8 0.--31. 1. "SBR_ADDRESS_RANGE_MASK_0,sbr_address_range_mask_0 holds the bits [31:0] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be programmed as explained in.."
line.long 0xC "SBRRANGE1,Scrubber Address Range Mask Register 1"
hexmask.long.byte 0xC 0.--3. 1. "SBR_ADDRESS_RANGE_MASK_1,sbr_address_range_mask_1 holds the bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be.."
rgroup.long 0xBF8++0x7
line.long 0x0 "UMCTL2_VER_NUMBER,UMCTL2 Version Number Register"
hexmask.long 0x0 0.--31. 1. "VER_NUMBER,Indicates the Device Version Number value. Programming Mode: Static"
line.long 0x4 "UMCTL2_VER_TYPE,UMCTL2 Version Type Register"
hexmask.long 0x4 0.--31. 1. "VER_TYPE,Indicates the Device Version Type value. Programming Mode: Static"
tree.end
tree "UMCTL2_REGS_FREQ1 (uMCTL2 DDRC FREQ1 Registers)"
base ad:0x8192
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ1] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ1] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ1] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ1] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ1] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ1] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ1] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ1] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ1] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ1] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ1] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ1] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ1] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ1] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ1] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ1] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ1] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ1] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ1] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ1] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ1] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ1] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ1] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ1] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ1] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ1] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ1] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ1] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ1] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ1] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ2 (uMCTL2 DDRC FERQ2 Registers)"
base ad:0x12288
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ2] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ2] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ2] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ2] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ2] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ2] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ2] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ2] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ2] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ2] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ2] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ2] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ2] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ2] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ2] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ2] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ2] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ2] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ2] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ2] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ2] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ2] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ2] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ2] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ2] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ2] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ2] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ2] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ2] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ2] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ3 (uMCTL2 DDRC FREQ3 Registers)"
base ad:0x16384
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ3] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ3] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ3] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ3] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ3] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ3] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ3] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ3] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ3] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ3] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ3] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ3] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ3] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ3] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ3] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ3] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ3] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ3] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ3] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ3] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ3] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ3] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ3] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ3] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ3] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ3] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ3] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ3] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ3] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ3] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree.end
elif (CORENAME()=="CORTEXA55")
tree "DDR_CTRL"
base ad:0x33000000
tree "UMCTL2_REGS (uMCTL2 DDRC Registers)"
group.long 0x0++0x3
line.long 0x0 "MSTR,Master Register0"
bitfld.long 0x0 30.--31. "DEVICE_CONFIG,Indicates the configuration of the device used in the system. - 00 - x4 device - 01 - x8 device - 10 - x16 device - 11 - x32 device Programming Mode: Static" "x4 device,x8 device,?,?"
newline
bitfld.long 0x0 29. "FREQUENCY_MODE,Selects which registers are used. - 0 - Original registers - 1 - When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers When UMCTL2_FREQUENCY_NUM>2: Choosen by MSTR2.target_frequency register. Programming Mode: Quasi-dynamic Group 2" "Original registers,When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers"
newline
rbitfld.long 0x0 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24.--25. "ACTIVE_RANKS,Only present for multi-rank configurations. Each bit represents one rank. For two-rank configurations only bits[25:24] are present. - 1 - Populated - 0 - Unpopulated LSB is the lowest rank number. For two ranks following combinations are.." "Unpopulated,One rank,?,?"
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "BURST_RDWR,Indicates SDRAM burst length used: - 0001 - Burst length of 2 (only supported for mDDR) - 0010 - Burst length of 4 - 0100 - Burst length of 8 - 1000 - Burst length of 16 (only supported for mDDR LPDDR2 and LPDDR4) All other values are.."
newline
bitfld.long 0x0 15. "DLL_OFF_MODE,Set to: - 1 - When the uMCTL2 and DRAM has to be put in DLL-off mode for low frequency operation - 0 - To put uMCTL2 and DRAM in DLL-on mode for normal frequency operation If DDR4 CRC/parity retry is enabled.." "To put uMCTL2 and DRAM in DLL-on mode for normal..,When the uMCTL2 and DRAM has to be put in.."
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 12.--13. "DATA_BUS_WIDTH,Selects proportion of DQ bus width that is used by the SDRAM. - 00 - Full DQ bus width to SDRAM - 01 - Half DQ bus width to SDRAM - 10 - Quarter DQ bus width to SDRAM - 11 - Reserved Note that half bus width mode is only supported when the.." "Full DQ bus width to SDRAM,Half DQ bus width to SDRAM,?,?"
newline
bitfld.long 0x0 11. "GEARDOWN_MODE,- 1 - Indicates the DRAM in geardown mode (2N) - 0 - Indicates the DRAM in normal mode (1N) This register can be changed only when the controller is in the self-refresh mode. This signal must be set the same value as MR3 bit A3. Note: -.." "Indicates the DRAM in normal mode,Indicates the DRAM in geardown mode"
newline
bitfld.long 0x0 10. "EN_2T_TIMING_MODE,If 1 then uMCTL2 uses 2T timing otherwise uses 1T timing. In 2T timing all command signals (except chip select) are held for 2 clocks on the SDRAM bus. Chip select is asserted on the second cycle of the command. Note: - 2T timing is.." "0,1"
newline
bitfld.long 0x0 9. "BURSTCHOP,When this bit is set enables burst-chop (BC4 or 8 on-the-fly) in DDR3/DDR4. Burst Chop for reads is exercised only: - In HIF configurations (UMCTL2_INCL_ARB not set) - If in full bus width mode (MSTR.data_bus_width = 00) - If.." "0,1"
newline
rbitfld.long 0x0 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "LPDDR4,Selects LPDDR4 SDRAM. - 1 - LPDDR4 SDRAM device in use - 0 - non-LPDDR4 device in use Present only in designs configured to support LPDDR4. Programming Mode: Static" "non-LPDDR4 device in use,LPDDR4 SDRAM device in use"
newline
bitfld.long 0x0 4. "DDR4,Selects DDR4 SDRAM. - 1 - DDR4 SDRAM device in use - 0 - non-DDR4 device in use Present only in designs configured to support DDR4. Programming Mode: Static" "non-DDR4 device in use,DDR4 SDRAM device in use"
newline
bitfld.long 0x0 3. "LPDDR3,Selects LPDDR3 SDRAM. - 1 - LPDDR3 SDRAM device in use - 0 - non-LPDDR3 device in use Present only in designs configured to support LPDDR3. Programming Mode: Static" "non-LPDDR3 device in use,LPDDR3 SDRAM device in use"
newline
bitfld.long 0x0 2. "LPDDR2,Selects LPDDR2 SDRAM. - 1 - LPDDR2 SDRAM device in use - 0 - non-LPDDR2 device in use Present only in designs configured to support LPDDR2. Programming Mode: Static" "non-LPDDR2 device in use,LPDDR2 SDRAM device in use"
newline
rbitfld.long 0x0 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0. "DDR3,Selects DDR3 SDRAM. - 1 - DDR3 SDRAM device in use - 0 - non-DDR3 SDRAM device in use Present only in designs configured to support DDR3. Programming Mode: Static" "non-DDR3 SDRAM device in use,DDR3 SDRAM device in use"
rgroup.long 0x4++0x3
line.long 0x0 "STAT,Operating Mode Status Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 12. "SELFREF_CAM_NOT_EMPTY,Self-refresh with CAMs not empty. Set to 1 when self-refresh is entered but CAMs are not drained. Cleared after exiting self-refresh. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "SELFREF_STATE,This indicates self-refresh or self-refresh power down state for LPDDR4. This register is used for frequency change and MRR/MRW access during self-refresh. - 00 - SDRAM is not in self-refresh - 01 - Self-refresh 1 - 10 - Self-refresh power.." "SDRAM is not in self-refresh,Self-refresh 1,?,?"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "SELFREF_TYPE,Flags if self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4) is entered and if it is under automatic self-refresh control only or not. - 00 - SDRAM is not in self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4). If retry is enabled by.." "SDRAM is not in self-refresh,SDRAM is in self-refresh,?,?"
newline
bitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "OPERATING_MODE,This is 3-bits wide in configurations with mDDR/LPDDR2/LPDDR3/LPDDR4/DDR4 support and 2-bits in all other configurations. non-mDDR/LPDDR2/LPDDR3/LPDDR4 and non-DDR4 designs: - 00 - Init - 01 - Normal - 10 - Power-down - 11 - Self-refresh.." "Init,Normal,?,bits wide in configurations with..,?,?,?,?"
group.long 0x10++0x7
line.long 0x0 "MRCTRL0,Mode Register Read/Write Control Register 0. Note: Do not enable more than one of the following fields simultaneously: - sw_init_int - pda_en - mpr_en"
bitfld.long 0x0 31. "MR_WR,Setting this register bit to 1 triggers a mode register read or write operation. When the MR operation is complete the uMCTL2 automatically clears this bit. The other fields of this register must be written in a separate APB transaction before.." "0,1"
newline
bitfld.long 0x0 30. "PBA_MODE,Indicates whether PBA access is executed. When setting this bit to 1 along with setting pda_en to 1 uMCTL2 initiates PBA access instead of PDA access. - 0 - Per DRAM Addressability mode - 1 - Per Buffer Addressability mode The completion of PBA.." "Per DRAM Addressability mode,Per Buffer Addressability mode"
newline
hexmask.long.word 0x0 16.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 12.--15. 1. "MR_ADDR,Address of the mode register that is to be written to. - 0000 - MR0 - 0001 - MR1 - 0010 - MR2 - 0011 - MR3 - 0100 - MR4 - 0101 - MR5 - 0110 - MR6 - 0111 - MR7 Don't Care for LPDDR2/LPDDR3/LPDDR4 (see MRCTRL1.mr_data for mode register addressing.."
newline
hexmask.long.byte 0x0 6.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--5. "MR_RANK,Controls which rank is accessed by MRCTRL0.mr_wr. Normally it is desired to access all ranks so all bits must be set to 1. However for multi-rank UDIMMs/RDIMMs/LRDIMMs which implement address mirroring it might be necessary to access ranks.." "?,Select rank 0 only,Select rank 1 only,?"
newline
bitfld.long 0x0 3. "SW_INIT_INT,Indicates whether software intervention is allowed through MRCTRL0/MRCTRL1 before automatic SDRAM initialization routine or not. For DDR4 this bit can be used to initialize the DDR4 RCD (MR7) before automatic SDRAM initialization. For LPDDR4.." "Software intervention is not allowed,Software intervention is allowed"
newline
bitfld.long 0x0 2. "PDA_EN,Indicates whether the mode register operation is MRS in PDA mode or not. - 0 - MRS - 1 - MRS in Per DRAM Addressability mode Note that when pba_mode=1 PBA access is initiated instead of PDA access. Programming Mode: Dynamic" "MRS,MRS in Per DRAM Addressability mode"
newline
bitfld.long 0x0 1. "MPR_EN,Indicates whether the mode register operation is MRS or WR/RD for MPR (only supported for DDR4). - 0 - MRS - 1 - WR/RD for MPR Programming Mode: Dynamic" "MRS,WR/RD for MPR"
newline
bitfld.long 0x0 0. "MR_TYPE,Indicates whether the mode register operation is read or write. Only used for LPDDR2/LPDDR3/LPDDR4/DDR4. - 0 - Write - 1 - Read Programming Mode: Dynamic" "Write,Read"
line.long 0x4 "MRCTRL1,Mode Register Read/Write Control Register 1"
hexmask.long.word 0x4 18.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "MR_DATA,Mode register write data for all non-LPDDR2/non-LPDDR3/non-LPDDR4 modes. For LPDDR2/LPDDR3/LPDDR4 MRCTRL1[15:0] are interpreted as: - [15:8] - MR Address - [7:0] - MR data for writes don't care for reads This is 18-bits wide in configurations.."
rgroup.long 0x18++0x3
line.long 0x0 "MRSTAT,Mode Register Read/Write Status Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "PDA_DONE,The SoC might initiate a MR write operation in PDA/PBA mode only if this signal is low. This signal goes: - High when three consecutive MRS commands related to the PDA/PBA mode are issued to the SDRAM - Low when MRCTRL0.pda_en becomes 0.." "Indicates that mode register write operation..,Indicates that mode register write operation.."
newline
hexmask.long.byte 0x0 1.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "MR_WR_BUSY,The SoC might initiate a MR write operation only if this signal is low. This signal goes: - High in the clock after the uMCTL2 accepts the MRW/MRR request - Low when the MRW/MRR command is issued to the SDRAM It is recommended not to perform.." "Indicates that the SoC can initiate a mode..,Indicates that mode register write operation is.."
group.long 0x1C++0x1F
line.long 0x0 "MRCTRL2,Mode Register Read/Write Control Register 2"
hexmask.long 0x0 0.--31. 1. "MR_DEVICE_SEL,Indicates the devices to be selected during the MRS that happens in PDA mode. Each bit is associated with one device. For example bit[0] corresponds to Device 0 bit[1] to Device 1 and so on. - 1 - Indicates that the MRS command must be.."
line.long 0x4 "DERATEEN,Temperature Derate Enable Register"
hexmask.long.tbyte 0x4 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
rbitfld.long 0x4 11. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x4 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
rbitfld.long 0x4 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x4 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x8 "DERATEINT,Temperature Derate Interval Register"
hexmask.long 0x8 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
line.long 0xC "MSTR2,Master Register2"
hexmask.long 0xC 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 0.--1. "TARGET_FREQUENCY,If MSTR.frequency_mode = 1 this field specifies the target frequency. - 0 - Frequency 0/Normal - 1 - Frequency 1/FREQ1 - 2 - Frequency 2/FREQ2 - 3 - Frequency 3/FREQ3 If MSTR.frequency_mode=0 this field is ignored. Note: If the target.." "Frequency 0/Normal,Frequency 1/FREQ1,Frequency 2/FREQ2,Frequency 3/FREQ3"
line.long 0x10 "DERATECTL,Temperature Derate Control Register"
hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x10 2. "DERATE_TEMP_LIMIT_INTR_FORCE,Interrupt force bit for derate_temp_limit_intr. Setting this field to 1 causes the derate_temp_limit_intr output pin to be asserted. At the end of the interrupt force operation the uMCTL2 automatically clears this bit." "0,1"
newline
bitfld.long 0x10 1. "DERATE_TEMP_LIMIT_INTR_CLR,Interrupt clear bit for derate_temp_limit_intr. At the end of the interrupt clear operation the uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x10 0. "DERATE_TEMP_LIMIT_INTR_EN,Interrupt enable bit for derate_temp_limit_intr output pin. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
line.long 0x14 "PWRCTL,Low Power Control Register"
hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x14 8. "LPDDR4_SR_ALLOWED,Indicates whether transition from SR-PD to SR and back to SR-PD is allowed. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - SR-PD -> SR -> SR-PD not allowed - 1 - SR-PD -> SR -> SR-PD allowed Programming Mode:.." "SR-PD,SR-PD"
newline
bitfld.long 0x14 7. "DIS_CAM_DRAIN_SELFREF,Indicates whether skipping CAM draining is allowed when entering self-refresh. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - CAMs must be empty before entering SR - 1 - CAMs are not emptied before.." "CAMs must be empty before entering SR,CAMs are not emptied before entering SR"
newline
bitfld.long 0x14 6. "STAY_IN_SELFREF,Self-refresh state is an intermediate state to enter to self-refresh power down state or exit self-refresh power down state for LPDDR4. This register controls transition from the self-refresh state. - 1 - Prohibit transition from.." "Allow transition from self-refresh state,Prohibit transition from self-refresh state"
newline
bitfld.long 0x14 5. "SELFREF_SW,A value of 1 to this register causes system to move to self-refresh state immediately as long as it is not in INIT or DPD/MPSM operating_mode. This is referred to as Software Entry/Exit to self-refresh. - 1 - Software Entry to self-refresh -.." "Software Exit from self-refresh,Software Entry to self-refresh"
newline
bitfld.long 0x14 4. "MPSM_EN,When this bit is 1 the uMCTL2 puts the SDRAM into maximum power saving mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of maximum power saving mode. Present only in designs configured to support.." "0,1"
newline
bitfld.long 0x14 3. "EN_DFI_DRAM_CLK_DISABLE,Enables the assertion of dfi_dram_clk_disable whenever a clock is not required by the SDRAM. If set to 0 dfi_dram_clk_disable is never asserted. Assertion of dfi_dram_clk_disable is as follows: In DDR2/DDR3 can only be asserted.." "0,1"
newline
bitfld.long 0x14 2. "DEEPPOWERDOWN_EN,When this bit is 1 uMCTL2 puts the SDRAM into deep power-down mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of deep power-down mode. The controller performs automatic SDRAM.." "0,1"
newline
bitfld.long 0x14 1. "POWERDOWN_EN,If true then the uMCTL2 goes into power-down after a programmable number of cycles 'maximum idle clocks before power down' (PWRTMG.powerdown_to_x32). This register bit may be re-programmed during the course of normal operation. Programming.." "0,1"
newline
bitfld.long 0x14 0. "SELFREF_EN,If true then the uMCTL2 puts the SDRAM into self-refresh after a programmable number of cycles 'maximum idle clocks before self-refresh (PWRTMG.selfref_to_x32)'. This register bit may be re-programmed during the course of normal operation." "0,1"
line.long 0x18 "PWRTMG,Low Power Timing Register"
hexmask.long.byte 0x18 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x18 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
rbitfld.long 0x18 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x18 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
line.long 0x1C "HWLPCTL,Hardware Low Power Control Register"
hexmask.long.byte 0x1C 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x1C 16.--27. 1. "HW_LP_IDLE_X32,Hardware idle period. The cactive_ddrc output is driven low if the DDRC command channel is idle for hw_lp_idle * 32 cycles if not in INIT or DPD/MPSM operating_mode. The DDRC command channel is considered idle when there are no HIF.."
newline
hexmask.long.word 0x1C 2.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x1C 1. "HW_LP_EXIT_IDLE_EN,When this bit is programmed to 1 the cactive_in_ddrc pin of the DDRC can be used to exit from the automatic clock stop automatic power down or automatic self-refresh modes. Note it does not cause exit of self-refresh that was caused.." "0,1"
newline
bitfld.long 0x1C 0. "HW_LP_EN,Enable this bit for Hardware Low Power Interface. Programming Mode: Quasi-dynamic Group 2" "0,1"
group.long 0x50++0x7
line.long 0x0 "RFSHCTL0,Refresh Control Register 0"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
rbitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "RFSHCTL1,Refresh Control Register 1"
hexmask.long.byte 0x4 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--27. 1. "REFRESH_TIMER1_START_VALUE_X32,Refresh timer start for rank 1 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
newline
hexmask.long.byte 0x4 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--11. 1. "REFRESH_TIMER0_START_VALUE_X32,Refresh timer start for rank 0 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
group.long 0x60++0xB
line.long 0x0 "RFSHCTL3,Refresh Control Register 3"
hexmask.long 0x0 7.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--6. "REFRESH_MODE,Indicates fine granularity refresh mode. - 000 - Fixed 1x (Normal mode) - 001 - Fixed 2x - 010 - Fixed 4x - 101 - Enable on the fly 2x (not supported) - 110 - Enable on the fly 4x (not supported) - Everything else - reserved Note: - Only.." "Fixed 1x,The on-the-fly modes are not supported in this..,This register field has effect only if a DDR4..,?,?,?,?,?"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "REFRESH_UPDATE_LEVEL,Toggle this signal (either from 0 to 1 or from 1 to 0) to indicate that the refresh registers have been updated. refresh_update_level must not be toggled when the DDRC is in reset (core_ddrc_rstn = 0). The refresh registers are.." "0,1"
newline
bitfld.long 0x0 0. "DIS_AUTO_REFRESH,When '1' disable auto-refresh generated by the uMCTL2. When auto-refresh is disabled the SoC must generate refreshes using the registers DBGCMD.rankn_refresh. When dis_auto_refresh transitions from 0 to 1 any pending refreshes are.." "0,1"
line.long 0x4 "RFSHTMG,Refresh Timing Register"
bitfld.long 0x4 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
rbitfld.long 0x4 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x4 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.byte 0x4 10.--14. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x8 "RFSHTMG1,Refresh Timing Register1"
hexmask.long.byte 0x8 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
newline
hexmask.long.word 0x8 0.--15. 1. "RESERVED,Reserved"
group.long 0x70++0x7
line.long 0x0 "ECCCFG0,ECC Configuration Register 0"
bitfld.long 0x0 30.--31. "ECC_REGION_MAP_GRANU,Indicates granularity of selectable protected region. Define one region size for ECCCFG0.ecc_region_map. - 0 - 1/8 of memory spaces - 1 - 1/16 of memory spaces - 2 - 1/32 of memory spaces - 3 - 1/64 of memory spaces Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 29. "ECC_REGION_MAP_OTHER,When ECCCFG0.ecc_region_map_granu>0 there is a region which is not controlled by ecc_region_map. This register defines the region to be protected or non-protected for Inline ECC. - 0 - Non-Protected - 1 - Protected This register is.." "Non-Protected,Protected"
newline
rbitfld.long 0x0 27.--28. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 24.--26. "ECC_AP_ERR_THRESHOLD,Sets threshold for address parity error. ECCAPSTAT.ecc_ap_err is asserted if number of ECC errors (correctable/uncorrectable) within one burst exceeds this threshold. This register value must be less than 'Total number of ECC checks.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "BLK_CHANNEL_IDLE_TIME_X32,Indicates the number of cycles on HIF interface with no access to protected regions which causes flush of all the block channels. In order to flush block channel uMCTL2 injects write ECC command (when there is no incoming HIF.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "ECC_REGION_MAP,Selectable Protected Region setting. Memory space is divided to 8/16/32/64 regions which is determined by ECCCFG0.ecc_region_map_granu. Note: Highest 1/8 memory space is always ECC region. Lowest 7 regions are Selectable Protected Regions."
newline
bitfld.long 0x0 7. "ECC_REGION_REMAP_EN,Enables remapping ECC region feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
bitfld.long 0x0 6. "ECC_AP_EN,Enables address protection feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_SCRUB,Disables ECC scrubs. Valid only when ECCCFG0.ecc_mode = 3'b100 or 3'b101 and MEMC_USE_RMW is defined. Note: Scrub is not supported in inline ECC mode and the register value is don't care. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "ECC_MODE,ECC mode indicator. - 000 - ECC disabled - 100 - ECC enabled - SEC/DED over 1 beat - 101 - ECC enabled - Advanced ECC (Illegal value when MEMC_INLINE_ECC=1) - all other settings are reserved for future use Programming Mode: Static" "ECC disabled,?,?,?,?,?,?,?"
line.long 0x4 "ECCCFG1,ECC Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ACTIVE_BLK_CHANNEL,Indicated the number of active block channels. Total number of ECC block channels are defined by MEMC_NO_OF_BLK_CHANNEL hardware parameter. This register can limit the number of available channels. For example if set to 0 only one.."
newline
bitfld.long 0x4 7. "BLK_CHANNEL_ACTIVE_TERM,If enabled block channel is terminated when full block write or full block read is performed (all address within block are written or read). - 0 - Disable (only for debug purpose) - 1 - Enable (default) This is debug register.." "Disable,Enable"
newline
rbitfld.long 0x4 6. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 5. "ECC_REGION_WASTE_LOCK,Locks the remaining waste parts of the ECC region (hole) that are not locked by ecc_region_parity_lock. - 1 - Locked; if this region is accessed error response is generated - 0 - Unlocked; this region can be accessed normally.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
bitfld.long 0x4 4. "ECC_REGION_PARITY_LOCK,Locks the parity section of the ECC region (hole) which is the highest system address part of the memory that stores ECC parity for protected region. - 1 - Locked; if this region is accessed error response is generated - 0 -.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 1. "DATA_POISON_BIT,Selects whether to poison 1 or 2 bits. - if 0 -> 2-bit (uncorrectable) data poisoning - if 1 -> 1-bit (correctable) data poisoning if ECCCFG1.data_poison_en=1 Valid only when MEMC_ECC_SUPPORT==1 (SECDED ECC mode) Programming Mode:.." "?,bit"
newline
bitfld.long 0x4 0. "DATA_POISON_EN,Enables ECC data poisoning - introduces ECC errors on writes to address specified by the ECCPOISONADDR0/1 registers. This field must be set to 0 if ECC is disabled (ECCCFG0.ecc_mode = 0). Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x78++0x3
line.long 0x0 "ECCSTAT,SECDED ECC Status Register (Valid only in MEMC_ECC_SUPPORT==1 (SECDED ECC mode))"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "ECC_UNCORRECTED_ERR,Double-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR,Single-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
bitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 0.--6. 1. "ECC_CORRECTED_BIT_NUM,Indicates the bit number corrected by single-bit ECC error. For encoding of this field see ECC section in the Architecture chapter. If more than one data lane has an error the lower data lane is selected. This register is 7 bits.."
group.long 0x7C++0x3
line.long 0x0 "ECCCTL,ECC Clear Register"
hexmask.long.word 0x0 19.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "ECC_AP_ERR_INTR_FORCE,Interrupt force bit for ecc_ap_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "ECC_UNCORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_uncorrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an.." "0,1"
newline
bitfld.long 0x0 16. "ECC_CORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_corrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt.." "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "ECC_AP_ERR_INTR_EN,Interrupt enable bit for ecc_ap_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 9. "ECC_UNCORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_uncorrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_corrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "ECC_AP_ERR_INTR_CLR,Interrupt clear bit for ecc_ap_err. If this bit is set the ECCAPSTAT.ecc_ap_err/ecc_ap_err_intr is cleared. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 3. "ECC_UNCORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error count. The ECCERRCNT.ecc_uncorr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 2. "ECC_CORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error count. The ECCERRCNT.ecc_corr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "ECC_UNCORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error. The following registers are cleared: - ECCSTAT.ecc_uncorrected_err - ADVECCSTAT.advecc_uncorrected_err - ECCUSYN0 - ECCUSYN1 - ECCUSYN2 uMCTL2.." "ECCUSYN1,?"
newline
bitfld.long 0x0 0. "ECC_CORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error. The following registers are cleared: - ECCSTAT.ecc_corrected_err - ADVECCSTAT.advecc_corrected_err - ADVECCSTAT.advecc_num_err_symbol -.." "ECCCSYN1,ECCBITMASK2"
rgroup.long 0x80++0xB
line.long 0x0 "ECCERRCNT,ECC Error Counter Register"
hexmask.long.word 0x0 16.--31. 1. "ECC_UNCORR_ERR_CNT,Indicates the number of uncorrectable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC.."
newline
hexmask.long.word 0x0 0.--15. 1. "ECC_CORR_ERR_CNT,Indicates the number of correctable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC with.."
line.long 0x4 "ECCCADDR0,ECC Corrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_CORR_RANK,Indicates the rank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_CORR_ROW,Indicates the page/row number of a read resulting in a corrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCCADDR1,ECC Corrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_CORR_BG,Indicates the bank group number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_CORR_BANK,Indicates the bank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_CORR_COL,Indicates the block number of a read resulting in a corrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x8C)++0x3
line.long 0x0 "ECCCSYN$1,ECC Corrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_SYNDROMES_31_0,Indicates the data pattern that resulted in a corrected error. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0x94++0x3
line.long 0x0 "ECCCSYN2,ECC Corrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_SYNDROMES_71_64,Indicates the data pattern that resulted in a corrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16] for.."
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x98)++0x3
line.long 0x0 "ECCBITMASK$1,ECC Corrected Data Bit Mask Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_BIT_MASK_31_0,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
repeat.end
rgroup.long 0xA0++0xB
line.long 0x0 "ECCBITMASK2,ECC Corrected Data Bit Mask Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_BIT_MASK_71_64,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
line.long 0x4 "ECCUADDR0,ECC Uncorrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_UNCORR_RANK,Indicates the rank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_UNCORR_ROW,Indicates the page/row number of a read resulting in an uncorrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCUADDR1,ECC Uncorrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_UNCORR_BG,Indicates the bank group number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_UNCORR_BANK,Indicates the bank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_UNCORR_COL,Indicates the block number of a read resulting in an uncorrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xAC)++0x3
line.long 0x0 "ECCUSYN$1,ECC Uncorrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_UNCORR_SYNDROMES_31_0,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0xB4++0x3
line.long 0x0 "ECCUSYN2,ECC Uncorrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_UNCORR_SYNDROMES_71_64,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16].."
group.long 0xB8++0xF
line.long 0x0 "ECCPOISONADDR0,ECC Data Poisoning Address Register 0. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "ECC_POISON_RANK,Indicates the rank address for ECC poisoning. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 12.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "ECC_POISON_COL,Indicates the column address for ECC poisoning. Note that this column address must be burst aligned: - In full bus width mode ecc_poison_col[2:0] must be set to 0 - In half bus width mode ecc_poison_col[3:0] must be set to 0 - In quarter.."
line.long 0x4 "ECCPOISONADDR1,ECC Data Poisoning Address Register 1. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 28.--29. "ECC_POISON_BG,Bank Group address for ECC poisoning. Programming Mode: Static" "0,1,2,3"
newline
rbitfld.long 0x4 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24.--26. "ECC_POISON_BANK,Bank address for ECC poisoning. Programming Mode: Static" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_POISON_ROW,Row address for ECC poisoning. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Static"
line.long 0x8 "CRCPARCTL0,CRC Parity Control Register0. Note: Do not perform any APB access to CRCPARCTL0 within 32 pclk cycles of previous access to CRCPARCTL0. as this might lead to data loss."
hexmask.long 0x8 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 2. "DFI_ALERT_ERR_CNT_CLR,Indicates the clear bit for DFI alert error counter. Asserting this bit clears the DFI alert error counter CRCPARSTAT.dfi_alert_err_cnt. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 1. "DFI_ALERT_ERR_INT_CLR,Interrupt clear bit for DFI alert error. If this bit is set the alert error interrupt on CRCPARSTAT.dfi_alert_err_int is cleared. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 0. "DFI_ALERT_ERR_INT_EN,Interrupt enable bit for DFI alert error. If this bit is set any parity/CRC error detected on the dfi_alert_n input results in an interrupt being set on CRCPARSTAT.dfi_alert_err_int. Programming Mode: Dynamic" "0,1"
line.long 0xC "CRCPARCTL1,CRC Parity Control Register1"
hexmask.long.tbyte 0xC 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 12. "CAPARITY_DISABLE_BEFORE_SR,If DDR4-SDRAM's CA parity is enabled by INIT6.mr5[2:0]!=0 and this register is set to 1 CA parity is automatically disabled before self-refresh entry and enabled after self-refresh exit by issuing MR5. - 1 - CA parity is.." "CA parity is not disabled before self-refresh..,CA parity is disabled before self-refresh entry"
newline
hexmask.long.byte 0xC 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 7. "CRC_INC_DM,CRC calculation setting register. - 1 - CRC includes DM signal - 0 - CRC not includes DM signal Present only in designs configured to support DDR4. Programming Mode: Static" "CRC not includes DM signal,CRC includes DM signal"
newline
rbitfld.long 0xC 5.--6. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0xC 4. "CRC_ENABLE,CRC enable Register. - 1 - Enable generation of CRC - 0 - Disable generation of CRC The setting of this register should match the CRC mode register setting in the DRAM. Programming Mode: Quasi-dynamic Group 2" "Disable generation of CRC,Enable generation of CRC"
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "PARITY_ENABLE,C/A Parity enable register. - 1 - Enable generation of C/A parity and detection of C/A parity error - 0 - Disable generation of C/A parity and disable detection of C/A parity error If RCD's parity error detection or SDRAM's parity detection.." "Disable generation of C/A parity and disable..,Enable generation of C/A parity and detection of.."
rgroup.long 0xCC++0x3
line.long 0x0 "CRCPARSTAT,CRC Parity Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "DFI_ALERT_ERR_INT,DFI alert error interrupt. If a parity/CRC error is detected on dfi_alert_n and the interrupt is enabled by CRCPARCTL0.dfi_alert_err_int_en this interrupt bit is set. It remains set until cleared by CRCPARCTL0.dfi_alert_err_int_clr." "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "DFI_ALERT_ERR_CNT,DFI alert error count. If a parity/CRC error is detected on dfi_alert_n this counter be incremented. This is independent of the setting of CRCPARCTL0.dfi_alert_err_int_en. It saturates at 0xFFFF and can be cleared by asserting.."
group.long 0xD0++0xB
line.long 0x0 "INIT0,SDRAM Initialization Register 0"
bitfld.long 0x0 30.--31. "SKIP_DRAM_INIT,If lower bit is enabled the SDRAM initialization routine is skipped. The upper bit decides what state the controller starts up in when reset is removed. - 00 - SDRAM Initialization routine is run after power-up - 01 - SDRAM Initialization.." "SDRAM Initialization routine is run after power-up,SDRAM Initialization routine is skipped after..,?,?"
newline
hexmask.long.byte 0x0 26.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 16.--25. 1. "POST_CKE_X1024,Indicates the number of cycles to wait after driving CKE high to start the SDRAM initialization sequence. DDR2 typically requires a 400 ns delay requiring this value to be programmed to 2 at all clock speeds. LPDDR2/LPDDR3 typically.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "PRE_CKE_X1024,Indicates the number of cycles to wait after reset before driving CKE high to start the SDRAM initialization sequence. DDR2 specifications typically require this to be programmed for a delay of >= 200 us. LPDDR2/LPDDR3: tINIT1 of 100 ns.."
line.long 0x4 "INIT1,SDRAM Initialization Register 1"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--24. 1. "DRAM_RSTN_X1024,Indicates the number of cycles to assert SDRAM reset signal during init sequence. This is only present for designs supporting DDR3 DDR4 or LPDDR4 devices. For use with a Synopsys DDR PHY this must be set to a minimum of 1. When the.."
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "PRE_OCD_X32,Indicates the wait period before driving the OCD complete command to SDRAM. There is no known specific requirement for this; it may be set to zero. Unit: Multiples of 32 DFI clock cycles. For more information on how to program this register.."
line.long 0x8 "INIT2,SDRAM Initialization Register 2"
hexmask.long.word 0x8 16.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--15. 1. "IDLE_AFTER_RESET_X32,Indicates the idle time after the reset command tINIT4. Present only in designs configured to support LPDDR2. When the controller is operating in 1:2 frequency ratio mode program this to JEDEC spec value divided by 2 and round it.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "MIN_STABLE_CLOCK_X1,Indicates the time to wait after the first CKE high tINIT2. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 5 x tCK delay. When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xE4++0x3
line.long 0x0 "INIT5,SDRAM Initialization Register 5"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--23. 1. "DEV_ZQINIT_X32,ZQ initial calibration tZQINIT. Present only in designs configured to support DDR3 or DDR4 or LPDDR2/LPDDR3. DDR3 typically requires 512 SDRAM clock cycles. DDR4 requires 1024 SDRAM clock cycles. LPDDR2/LPDDR3 requires 1 us. When the.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "MAX_AUTO_INIT_X1024,Indicates the maximum duration of the auto initialization tINIT5. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 10 us. Unit: Multiples of 1024 DFI clock cycles. For more information on.."
group.long 0xF0++0x7
line.long 0x0 "DIMMCTL,DIMM Control Register"
hexmask.long.tbyte 0x0 15.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 14. "RCD_B_OUTPUT_DISABLED,Disables RCD outputs to B-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[3] before and after disabling CAL mode. It is recommended to set it to ~DIMMCTL.dimm_output_inv_en.." "Enable B outputs,Disable B outputs"
newline
bitfld.long 0x0 13. "RCD_A_OUTPUT_DISABLED,Disables RCD outputs to A-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[2] before and after disabling CAL mode. It is recommended to set it to 0 except for debug. - 1 -.." "Enable A outputs,Disable A outputs"
newline
bitfld.long 0x0 12. "RCD_WEAK_DRIVE,Indicates the weak drive mode to be set to the RCD. This field is used only when the uMCTL2 disables CAL mode. When weak drive mode in the RCD is enabled during initialization this field must be set to 1. When RCD is not used this field.." "Disable Weak Drive mode,Enable Weak Drive mode"
newline
hexmask.long.byte 0x0 7.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 6. "LRDIMM_BCOM_CMD_PROT,Protects the timing restrictions (tBCW/tMRC) between consecutive BCOM commands defined in the Data Buffer specification. When using DDR4 LRDIMM this bit must be set to 1. Otherwise this bit must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 5. "DIMM_DIS_BG_MIRRORING,Disables address mirroring for BG bits. When this is set to 1 BG0 and BG1 are NOT swapped even if Address Mirroring is enabled. This is required for DDR4 DIMMs with x16 devices. - 1 - BG0 and BG1 are NOT swapped - 0 - BG0 and BG1.." "BG0 and BG1 are swapped if address mirroring is..,BG0 and BG1 are NOT swapped"
newline
bitfld.long 0x0 4. "MRS_BG1_EN,Enable this field for BG1 bit of MRS command. BG1 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have BG1 are attached and both the CA.." "Disabled,Enabled"
newline
bitfld.long 0x0 3. "MRS_A17_EN,Enable this field for A17 bit of MRS command. A17 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have A17 are attached as DDR4 RDIMM/LRDIMM.." "Disabled,Enabled"
newline
bitfld.long 0x0 2. "DIMM_OUTPUT_INV_EN,Enables output inversion (for DDR4 RDIMM/LRDIMM implementations only). DDR4 RDIMM/LRDIMM implements the Output Inversion feature by default which means that the following address bank address and bank group bits of B-side DRAMs are.." "Do not implement output inversion for B-side DRAMs,Implement output inversion for B-side DRAMs"
newline
bitfld.long 0x0 1. "DIMM_ADDR_MIRR_EN,Enables address mirroring (for multi-rank UDIMM implementations and multi-rank DDR4 RDIMM/LRDIMM implementations). Some UDIMMs and DDR4 RDIMMs/LRDIMMs implement address mirroring for odd ranks which means that the following address.." "Do not implement address mirroring,For odd ranks"
newline
bitfld.long 0x0 0. "DIMM_STAGGER_CS_EN,Staggering enable for multi-rank accesses (for multi-rank UDIMM RDIMM and LRDIMM implementations only). This is not supported for mDDR LPDDR2 LPDDR3 or LPDDR4 SDRAMs. Note: Even if this bit is set it does not take care of software.." "Do not stagger accesses,?"
line.long 0x4 "RANKCTL,Rank Control Register"
hexmask.long.byte 0x4 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
rbitfld.long 0x4 25. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x4 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x4 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,SDRAM Timing Register 0"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,SDRAM Timing Register 1"
hexmask.long.word 0x4 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
rbitfld.long 0x4 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,SDRAM Timing Register 2"
rbitfld.long 0x8 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
rbitfld.long 0x8 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
rbitfld.long 0x8 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,SDRAM Timing Register 3"
rbitfld.long 0xC 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
rbitfld.long 0xC 18.--19. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
rbitfld.long 0xC 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,SDRAM Timing Register 4"
rbitfld.long 0x10 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,SDRAM Timing Register 5"
hexmask.long.byte 0x14 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
rbitfld.long 0x14 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
rbitfld.long 0x14 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,SDRAM Timing Register 6"
hexmask.long.byte 0x18 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.word 0x18 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,SDRAM Timing Register 7"
hexmask.long.tbyte 0x1C 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,SDRAM Timing Register 8"
rbitfld.long 0x20 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
rbitfld.long 0x20 23. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
rbitfld.long 0x20 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
rbitfld.long 0x20 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,SDRAM Timing Register 9"
rbitfld.long 0x24 31. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
hexmask.long.word 0x24 19.--29. 1. "RESERVED,Reserved"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
rbitfld.long 0x24 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,SDRAM Timing Register 10"
hexmask.long.word 0x28 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
rbitfld.long 0x28 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
hexmask.long.byte 0x28 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,SDRAM Timing Register 11"
rbitfld.long 0x2C 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
rbitfld.long 0x2C 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
hexmask.long.byte 0x2C 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
rbitfld.long 0x2C 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,SDRAM Timing Register 12"
rbitfld.long 0x30 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
hexmask.long.byte 0x30 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.word 0x30 5.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,SDRAM Timing Register 13"
rbitfld.long 0x34 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
rbitfld.long 0x34 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
hexmask.long.word 0x34 3.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,SDRAM Timing Register 14"
hexmask.long.tbyte 0x38 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.tbyte 0x3C 8.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0xB
line.long 0x0 "ZQCTL0,ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
rbitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x4 "ZQCTL1,ZQ Control Register 1"
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0x4 20.--29. 1. "T_ZQ_RESET_NOP,tZQReset: Number of DFI clock cycles of NOP required after a ZQReset (ZQ calibration Reset) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode program this to tZQReset/2 and round it up to the next.."
newline
hexmask.long.tbyte 0x4 0.--19. 1. "T_ZQ_SHORT_INTERVAL_X1024,Indicates the average interval to wait between automatically issuing ZQCS (ZQ calibration short)/MPC(ZQ calibration) commands to DDR3/DDR4/LPDDR2/LPDDR3/LPDDR4 devices. Meaningless if ZQCTL0.dis_auto_zq=1. This is only present.."
line.long 0x8 "ZQCTL2,ZQ Control Register 2"
hexmask.long 0x8 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 0. "ZQ_RESET,Setting this register bit to 1 triggers a ZQ Reset operation. When the ZQ Reset operation is complete the uMCTL2 automatically clears this bit. It is recommended NOT to set this register bit if in Init in self-refresh(except LPDDR4) or.." "0,1"
rgroup.long 0x18C++0x3
line.long 0x0 "ZQSTAT,ZQ Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ZQ_RESET_BUSY,SoC might initiate a ZQ Reset operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQ Reset request. It goes low when the ZQ Reset command is issued to the SDRAM and the associated NOP period.." "Indicates that the SoC can initiate a ZQ Reset..,Indicates that ZQ Reset operation is in progress"
group.long 0x190++0x1B
line.long 0x0 "DFITMG0,DFI Timing Register 0"
rbitfld.long 0x0 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
rbitfld.long 0x4 26.--27. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
rbitfld.long 0x4 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
rbitfld.long 0x4 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
rbitfld.long 0x4 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
line.long 0x8 "DFILPCFG0,DFI Low Power Configuration Register 0"
rbitfld.long 0x8 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--28. 1. "DFI_TLP_RESP,Indicates the setting in DFI clock cycles for DFI's tlp_resp time. Same value is used for both Power Down self-refresh Deep Power Down and Maximum Power Saving modes. For more information on recommended values see PHY databook Unit: DFI.."
newline
hexmask.long.byte 0x8 20.--23. 1. "DFI_LP_WAKEUP_DPD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Deep Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256.."
newline
rbitfld.long 0x8 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 16. "DFI_LP_EN_DPD,Enables DFI Low Power interface handshaking during Deep Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting mDDR or LPDDR2/LPDDR3 devices. Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 12.--15. 1. "DFI_LP_WAKEUP_SR,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when self-refresh mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles.."
newline
rbitfld.long 0x8 9.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 8. "DFI_LP_EN_SR,Enables DFI Low Power interface handshaking during self-refresh Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 4.--7. 1. "DFI_LP_WAKEUP_PD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles -.."
newline
rbitfld.long 0x8 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "DFI_LP_EN_PD,Enables DFI Low Power interface handshaking during Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
line.long 0xC "DFILPCFG1,DFI Low Power Configuration Register 1"
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0xC 4.--7. 1. "DFI_LP_WAKEUP_MPSM,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Maximum Power Saving Mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 -.."
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "DFI_LP_EN_MPSM,Enables DFI Low Power interface handshaking during Maximum Power Saving Mode Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting DDR4 devices. Programming Mode: Static" "Disabled,Enabled"
line.long 0x10 "DFIUPD0,DFI Update Register 0"
bitfld.long 0x10 31. "DIS_AUTO_CTRLUPD,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2. The controller must issue the dfi_ctrlupd_req signal using register DBGCMD.ctrlupd. When '0' uMCTL2 issues dfi_ctrlupd_req periodically. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 30. "DIS_AUTO_CTRLUPD_SRX,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2 at self-refresh exit. When '0' uMCTL2 issues a dfi_ctrlupd_req before or after exiting self-refresh depending on DFIUPD0.ctrlupd_pre_srx. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 29. "CTRLUPD_PRE_SRX,Selects dfi_ctrlupd_req requirements at SRX: - 0 - Send ctrlupd after SRX - 1 - Send ctrlupd before SRX If DFIUPD0.dis_auto_ctrlupd_srx=1 this register has no impact because no dfi_ctrlupd_req is issued when SRX. Programming Mode: Static" "Send ctrlupd after SRX,Send ctrlupd before SRX"
newline
rbitfld.long 0x10 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x10 16.--25. 1. "DFI_T_CTRLUP_MAX,Specifies the maximum number of DFI clock cycles that the dfi_ctrlupd_req signal can assert. Lowest value to assign to this variable is 0x40. Unit: DFI clock cycles. Programming Mode: Static"
newline
hexmask.long.byte 0x10 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x10 0.--9. 1. "DFI_T_CTRLUP_MIN,Specifies the minimum number of DFI clock cycles that the dfi_ctrlupd_req signal must be asserted. The uMCTL2 expects the PHY to respond within this time. If the PHY does not respond the uMCTL2 de-asserts dfi_ctrlupd_req after.."
line.long 0x14 "DFIUPD1,DFI Update Register 1"
hexmask.long.byte 0x14 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--23. 1. "DFI_T_CTRLUPD_INTERVAL_MIN_X1024,This is the minimum amount of time between uMCTL2 initiated DFI update requests (which is executed whenever the uMCTL2 is idle). Set this number higher to reduce the frequency of update requests which can have a small.."
newline
hexmask.long.byte 0x14 8.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 0.--7. 1. "DFI_T_CTRLUPD_INTERVAL_MAX_X1024,This is the maximum amount of time between uMCTL2 initiated DFI update requests. This timer resets with each update request; when the timer expires dfi_ctrlupd_req is sent and traffic is blocked until the dfi_ctrlupd_ackx.."
line.long 0x18 "DFIUPD2,DFI Update Register 2"
bitfld.long 0x18 31. "DFI_PHYUPD_EN,Enables the support for acknowledging PHY-initiated updates: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long 0x18 0.--30. 1. "RESERVED,Reserved"
group.long 0x1B0++0xB
line.long 0x0 "DFIMISC,DFI Miscellaneous Control Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--12. 1. "DFI_FREQUENCY,Indicates the operating frequency of the system. The number of supported frequencies and the mapping of signal values to clock frequencies are defined by the PHY. Programming Mode: Quasi-dynamic Group 1"
newline
bitfld.long 0x0 7. "LP_OPTIMIZED_WRITE,If this bit is 1 LPDDR4 write DQ is set to 8'hF8 if masked write with enabling DBI; otherwise that value is set to 8'hFF. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 6. "DIS_DYN_ADR_TRI,If this bit is 1 PHY specific Dynamic Tristating which is a specific feature to certain Synopsys PHYs is disabled. If this bit is 0 a special IDLE command is issued on the DFI while dfi_cs is inactive state so that the PHY can detect.." "?,phase 0 and 1) dfi_we_n= 1"
newline
bitfld.long 0x0 5. "DFI_INIT_START,PHY init start request signal.When asserted it triggers the PHY init start request. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "CTL_IDLE_EN,Enables support of ctl_idle signal which is non-DFI related pin specific to certain Synopsys PHYs. For more information on ctl_idle functionality see signal description of ctl_idle signal. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "DFI_DATA_CS_POLARITY,Defines polarity of dfi_wrdata_cs and dfi_rddata_cs signals. - 0 - Signals are active low - 1 - Signals are active high Programming Mode: Static" "Signals are active low,Signals are active high"
newline
bitfld.long 0x0 1. "PHY_DBI_MODE,DBI implemented in DDRC or PHY. - 0 - DDRC implements DBI functionality - 1 - PHY implements DBI functionality Present only in designs configured to support DDR4 and LPDDR4. Programming Mode: Static" "DDRC implements DBI functionality,PHY implements DBI functionality"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE_EN,PHY initialization complete enable signal. When asserted the dfi_init_complete signal can be used to trigger SDRAM initialisation Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "DFITMG2,DFI Timing Register 2"
hexmask.long.tbyte 0x4 15.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x8 "DFITMG3,DFI Timing Register 3"
hexmask.long 0x8 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
rgroup.long 0x1BC++0x3
line.long 0x0 "DFISTAT,DFI Status Register"
hexmask.long 0x0 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "DFI_LP_ACK,Stores the value of the dfi_lp_ack input to the controller. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE,This a status flag register which announces when the DFI initialization has been completed. The DFI INIT triggered by dfi_init_start signal and then the dfi_init_complete flag is polled to know when the initialization is done." "0,1"
group.long 0x1C0++0x7
line.long 0x0 "DBICTL,DM/DBI Control Register"
hexmask.long 0x0 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "RD_DBI_EN,Read DBI enable signal in DDRC. - 0 - Read DBI is disabled - 1 - Read DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A12. When x4 devices are used this signal must be set to 0 - LPDDR4 - MR3[6].." "LPDDR4,Read DBI is enabled"
newline
bitfld.long 0x0 1. "WR_DBI_EN,Write DBI enable signal in DDRC. - 0 - Write DBI is disabled - 1 - Write DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A11. When x4 devices are used this signal must be set to 0 - LPDDR4 -.." "LPDDR4,Write DBI is enabled"
newline
bitfld.long 0x0 0. "DM_EN,Indicates the DM enable signal in DDRC. - 0 - DM is disabled - 1 - DM is enabled This signal must be set the same logical value as DRAM's mode register. - DDR4 - Set this to same value as MR5 bit A10. When x4 devices are used this signal must be.." "LPDDR4,DM is enabled"
line.long 0x4 "DFIPHYMSTR,DFI PHY Master"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DFI_PHYMSTR_EN,Enables the PHY Master Interface: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
group.long 0x200++0x13
line.long 0x0 "ADDRMAP0,Address Map Register 0"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--4. 1. "ADDRMAP_CS_BIT0,Selects the HIF address bit used as rank address bit 0. Valid Range: 0 to 29 and 31 Internal Base: 6 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 31 and then rank.."
line.long 0x4 "ADDRMAP1,Address Map Register 1"
hexmask.long.word 0x4 22.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--21. 1. "ADDRMAP_BANK_B2,Selects the HIF address bit used as bank address bit 2. Valid Range: 0 to 31 and 63 Internal Base: 4 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 63 and then bank.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "ADDRMAP_BANK_B1,Selects the HIF address bits used as bank address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "ADDRMAP_BANK_B0,Selects the HIF address bits used as bank address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
line.long 0x8 "ADDRMAP2,Address Map Register 2"
hexmask.long.byte 0x8 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 24.--27. 1. "ADDRMAP_COL_B5,- Full bus width mode - Selects the HIF address bit used as column address bit 5 - Half bus width mode - Selects the HIF address bit used as column address bit 6 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--19. 1. "ADDRMAP_COL_B4,- Full bus width mode - Selects the HIF address bit used as column address bit 4 - Half bus width mode - Selects the HIF address bit used as column address bit 5 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0x8 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 8.--12. 1. "ADDRMAP_COL_B3,- Full bus width mode - Selects the HIF address bit used as column address bit 3 - Half bus width mode - Selects the HIF address bit used as column address bit 4 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "ADDRMAP_COL_B2,- Full bus width mode - Selects the HIF address bit used as column address bit 2 - Half bus width mode - Selects the HIF address bit used as column address bit 3 - Quarter bus width mode - Selects the HIF address bit used as column address.."
line.long 0xC "ADDRMAP3,Address Map Register 3"
rbitfld.long 0xC 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 24.--28. 1. "ADDRMAP_COL_B9,- Full bus width mode - Selects the HIF address bit used as column address bit 9 - Half bus width mode - Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Quarter bus width mode - Selects the HIF.."
newline
rbitfld.long 0xC 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 16.--20. 1. "ADDRMAP_COL_B8,- Full bus width mode - Selects the HIF address bit used as column address bit 8 - Half bus width mode - Selects the HIF address bit used as column address bit 9 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 8.--12. 1. "ADDRMAP_COL_B7,- Full bus width mode - Selects the HIF address bit used as column address bit 7 - Half bus width mode - Selects the HIF address bit used as column address bit 8 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 0.--4. 1. "ADDRMAP_COL_B6,- Full bus width mode - Selects the HIF address bit used as column address bit 6. - Half bus width mode - Selects the HIF address bit used as column address bit 7. - Quarter bus width mode - Selects the HIF address bit used as column.."
line.long 0x10 "ADDRMAP4,Address Map Register 4"
bitfld.long 0x10 31. "COL_ADDR_SHIFT,The register provides a capability to map column address to lower HIF address in specific cases required by inline ECC configuration. - If it is 1 internal base of all the column address can be -2 to make mapping range of column address.." "0,1"
newline
hexmask.long.tbyte 0x10 13.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--12. 1. "ADDRMAP_COL_B11,- Full bus width mode - Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Half bus width mode - UNUSED. See later in this description for value you need to set to make it unused - Quarter bus width.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "ADDRMAP_COL_B10,- Full bus width mode: Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Half bus width mode: Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Quarter bus width.."
repeat 3. (list 0x5 0x9 0xA )(list 0x0 0x10 0x14 )
group.long ($2+0x214)++0x3
line.long 0x0 "ADDRMAP$1,Address Map Register 5"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B11,Selects the HIF address bit used as row address bit 11. Valid Range: 0 to 11 and 15 Internal Base: 17 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B2_10,Selects the HIF address bits used as row address bits 2 to 10. Valid Range: 0 to 11 and 15 Internal Base: 8 (for row address bit 2) 9 (for row address bit 3) 10 (for row address bit 4) and so on increasing to 16 (for row address bit.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B1,Selects the HIF address bits used as row address bit 1. Valid Range: 0 to 11 Internal Base: 7 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B0,Selects the HIF address bits used as row address bit 0. Valid Range: 0 to 11 Internal Base: 6 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
repeat.end
group.long 0x218++0xB
line.long 0x0 "ADDRMAP6,Address Map Register 6"
bitfld.long 0x0 31. "LPDDR3_6GB_12GB,Set this to 1 if there is an LPDDR3 SDRAM 6Gb or 12Gb device in use. - 1 - LPDDR3 SDRAM 6Gb/12Gb device in use. Every address having row[14:13]==2'b11 is considered as invalid - 0 - non-LPDDR3 6Gb/12Gb device in use. All addresses are.." "non-LPDDR3 6Gb/12Gb device in use,LPDDR3 SDRAM 6Gb/12Gb device in use"
newline
bitfld.long 0x0 29.--30. "LPDDR4_3GB_6GB_12GB,Indicates what type of LPDDR4 SDRAM device is in use. Here the density size is per channel. - 2'b00 - No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use. All addresses are valid - 2'b01 - LPDDR4 SDRAM 3Gb device with x16 mode in use. Every.." "No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use,LPDDR4 SDRAM 3Gb device with x16 mode in use,LPDDR4 SDRAM 6Gb device with x16 mode or 3Gb..,LPDDR4 SDRAM 12Gb device with x16 mode or 6Gb.."
newline
rbitfld.long 0x0 28. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B15,Selects the HIF address bit used as row address bit 15. Valid Range: 0 to 11 and 15 Internal Base: 21 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B14,Selects the HIF address bit used as row address bit 14. Valid Range: 0 to 11 and 15 Internal Base: 20 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B13,Selects the HIF address bit used as row address bit 13. Valid Range: 0 to 11 and 15 Internal Base: 19 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B12,Selects the HIF address bit used as row address bit 12. Valid Range: 0 to 11 and 15 Internal Base: 18 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x4 "ADDRMAP7,Address Map Register 7"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ADDRMAP_ROW_B17,Selects the HIF address bit used as row address bit 17. Valid Range: 0 to 11 and 15 Internal Base: 23 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x4 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "ADDRMAP_ROW_B16,Selects the HIF address bit used as row address bit 16. Valid Range: 0 to 11 and 15 Internal Base: 22 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x8 "ADDRMAP8,Address Map Register 8"
hexmask.long.tbyte 0x8 14.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--13. 1. "ADDRMAP_BG_B1,Selects the HIF address bits used as bank group address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "ADDRMAP_BG_B0,Selects the HIF address bits used as bank group address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
group.long 0x22C++0x3
line.long 0x0 "ADDRMAP11,Address Map Register 11"
hexmask.long 0x0 4.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B10,Selects the HIF address bits used as row address bit 10. Valid Range: 0 to 11 Internal Base: 16 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. This.."
group.long 0x240++0x7
line.long 0x0 "ODTCFG,ODT Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
rbitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "ODTMAP,ODT/Rank Map Register"
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12.--13. "RANK1_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "RANK1_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 4.--5. "RANK0_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "RANK0_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
group.long 0x250++0x7
line.long 0x0 "SCHED,Scheduler Control Register"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "RDWR_IDLE_GAP,When the preferred transaction store is empty for these many clock cycles switch to the alternate transaction store if it is non-empty. The read transaction store (both high and low priority) is the default preferred transaction store and.."
newline
hexmask.long.byte 0x0 16.--23. 1. "GO2CRITICAL_HYSTERESIS,UNUSED. Programming Mode: Static"
newline
bitfld.long 0x0 15. "LPDDR4_OPT_ACT_TIMING,Optimized ACT timing control for LPDDR4. In LPDDR4 RD/WR/ACT takes 4 cycle. To stream Read/Write there are only 4 cycle space between Reads/Writes. If ACT is scheduled-out after RD/WR with 1 2 or 3 cycle gap next RD/WR may be.." "Disable this feature,Enable this feature"
newline
rbitfld.long 0x0 13.--14. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--12. 1. "LPR_NUM_ENTRIES,Number of entries in the low priority transaction store is this value + 1. (MEMC_NO_OF_ENTRY - (SCHED.lpr_num_entries + 1)) is the number of entries available for the high priority transaction store. Setting this to maximum value.."
newline
bitfld.long 0x0 7. "AUTOPRE_RMW,Selects behavior of hif_cmd_autopre if a RMW is received on HIF with hif_cmd_autopre=1 - 1 - Apply Autopre only for write part of RMW - 0 - Apply Autopre for both read and write parts of RMW Programming Mode: Static" "Apply Autopre for both read and write parts of RMW,Apply Autopre only for write part of RMW"
newline
hexmask.long.byte 0x0 3.--6. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "PAGECLOSE,If true bank is kept open only while there are page hit transactions available in the CAM to that bank. The last read or write command in the CAM with a bank and page hit is executed with auto-precharge if SCHED1.pageclose_timer=0. Even if.." "0,1"
newline
bitfld.long 0x0 1. "PREFER_WRITE,If set then the bank selector prefers writes over reads. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 0. "RESERVED,Reserved" "0,1"
line.long 0x4 "SCHED1,Scheduler Control Register 1"
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--7. 1. "PAGECLOSE_TIMER,This field works in conjunction with SCHED.pageclose.It only has meaning if SCHED.pageclose==1. If SCHED.pageclose==1 and pageclose_timer==0 then an auto-precharge may be scheduled for last read or write command in the CAM with a bank.."
group.long 0x25C++0x3
line.long 0x0 "PERFHPR1,High Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "HPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the HPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "HPR_MAX_STARVE,Indicates the number of DFI clocks that the HPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x264++0x3
line.long 0x0 "PERFLPR1,Low Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "LPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the LPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "LPR_MAX_STARVE,Indicates the number of DFI clocks that the LPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x26C++0x3
line.long 0x0 "PERFWR1,Write CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "W_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the WR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode: Quasi-dynamic.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "W_MAX_STARVE,Indicates the number of DFI clocks that the WR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x300++0x7
line.long 0x0 "DBG0,Debug Register 0"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "DIS_MAX_RANK_WR_OPT,Indicates the disable optimized max_rank_wr and max_logical_rank_wr feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 6. "DIS_MAX_RANK_RD_OPT,Indicates the disable optimized max_rank_rd and max_logical_rank_rd feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_COLLISION_PAGE_OPT,When this is set to '0' auto-precharge is disabled for the flushed command in a collision case. Collision cases are write followed by read to same address read followed by write to same address or write followed by write to same.." "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "DIS_WC,When 1 disable write combine. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
line.long 0x4 "DBG1,Debug Register 1"
hexmask.long 0x4 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 1. "DIS_HIF,When 1 uMCTL2 asserts the HIF command signal hif_cmd_stall. uMCTL2 ignores the hif_cmd_valid and all other associated request signals. This bit is intended to be switched on-the-fly. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x4 0. "DIS_DQ,When 1 uMCTL2 does not de-queue any transactions from the CAM. Bypass is also disabled. All transactions are queued in the CAM. No reads or writes are issued to SDRAM as long as this is asserted. This bit may be used to prevent reads or writes.." "0,1"
rgroup.long 0x308++0x3
line.long 0x0 "DBGCAM,CAM Debug Register"
bitfld.long 0x0 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 29. "WR_DATA_PIPELINE_EMPTY,This bit indicates that the write data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 28. "RD_DATA_PIPELINE_EMPTY,This bit indicates that the read data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 26. "DBG_WR_Q_EMPTY,When 1 all the Write command queues and Write data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 25. "DBG_RD_Q_EMPTY,When 1 all the Read command queues and Read data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 24. "DBG_STALL,Stall. FOR DEBUG ONLY. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "DBG_W_Q_DEPTH,This field indicates the Write queue depth. The last entry of WR queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--13. 1. "DBG_LPR_Q_DEPTH,This field indicates the low priority read queue depth. The last entry of Lpr queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_HPR_Q_DEPTH,This field indicates the high priority read queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x30C++0x3
line.long 0x0 "DBGCMD,Command Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD,Setting this register bit to 1 indicates to the uMCTL2 to issue a dfi_ctrlupd_req to the PHY. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation must only be performed when DFIUPD0.dis_auto_ctrlupd=1." "0,1"
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT,Setting this register bit to 1 indicates to the uMCTL2 to issue a ZQCS (ZQ calibration short)/MPC(ZQ calibration) command to the SDRAM. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation can be.." "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 1. Writing to this bit causes DBGSTAT.rank1_refresh_busy to be set. When DBGSTAT.rank1_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
newline
bitfld.long 0x0 0. "RANK0_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 0. Writing to this bit causes DBGSTAT.rank0_refresh_busy to be set. When DBGSTAT.rank0_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
rgroup.long 0x310++0x3
line.long 0x0 "DBGSTAT,Status Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD_BUSY,SoC might initiate a ctrlupd operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ctrlupd request. It goes low when the ctrlupd operation is initiated in the uMCTL2. It is recommended not to.." "Indicates that the SoC can initiate a ctrlupd..,Indicates that ctrlupd operation has not been.."
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT_BUSY,SoC might initiate a ZQCS (ZQ calibration short) operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQCS request. It goes low when the ZQCS operation is initiated in the uMCTL2. It is.." "Indicates that the SoC can initiate a ZQCS..,Indicates that ZQCS operation has not been.."
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH_BUSY,SoC might initiate a rank1_refresh operation (refresh operation to rank 1) only if this signal is low. This signal goes high in the clock after DBGCMD.rank1_refresh is set to one. It goes low when the rank1_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank1_refresh operation has not.."
newline
bitfld.long 0x0 0. "RANK0_REFRESH_BUSY,SoC might initiate a rank0_refresh operation (refresh operation to rank 0) only if this signal is low. This signal goes high in the clock after DBGCMD.rank0_refresh is set to one. It goes low when the rank0_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank0_refresh operation has not.."
rgroup.long 0x318++0x3
line.long 0x0 "DBGCAM1,CAM Debug Register 1"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_WRECC_Q_DEPTH,This field indicates the write ECC queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x320++0x3
line.long 0x0 "SWCTL,Software Register Programming Control Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE,Enables quasi-dynamic register programming outside reset. Program this register to 0 to enable quasi-dynamic programming. Set back register to 1 once programming is done. Programming Mode: Dynamic" "0,1"
rgroup.long 0x324++0x3
line.long 0x0 "SWSTAT,Software Register Programming Control Status"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE_ACK,Register programming done. This register is the echo of SWCTL.sw_done. Wait for sw_done value 1 to propagate to sw_done_ack at the end of the programming sequence to ensure that the correct registers values are propagated to the destination.." "0,1"
group.long 0x328++0x3
line.long 0x0 "SWCTLSTATIC,Static Registers Write Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_STATIC_UNLOCK,Enables static register programming outside reset. Program this register to 1 to enable static register programming. Set register back to 0 once programming is done. Programming Mode: Dynamic" "0,1"
group.long 0x330++0x7
line.long 0x0 "OCPARCFG0,On-Chip Parity Configuration Register 0"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "PAR_RADDR_ERR_INTR_FORCE,Interrupt force bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 25. "PAR_WADDR_ERR_INTR_FORCE,Interrupt force bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 24. "PAR_RADDR_ERR_INTR_CLR,Interrupt clear bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 23. "PAR_RADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_raddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22. "PAR_WADDR_ERR_INTR_CLR,Interrupt clear bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 21. "PAR_WADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_waddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 20. "PAR_ADDR_SLVERR_EN,Enables SLVERR generation on read response or write response when address parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 16.--19. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 15. "PAR_RDATA_ERR_INTR_FORCE,Interrupt force bit for all par_rdata_err_intr_n and par_rdata_in_err_ecc_intr (Inline-ECC only). uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 14. "PAR_RDATA_ERR_INTR_CLR,Interrupt clear bit for par_rdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 13. "PAR_RDATA_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_rdata_err_intr_n upon detection of parity error at the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 12. "PAR_RDATA_SLVERR_EN,Enables SLVERR generation on read response when read data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "PAR_WDATA_ERR_INTR_FORCE,Interrupt force bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 6. "PAR_WDATA_ERR_INTR_CLR,Interrupt clear bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 5. "PAR_WDATA_SLVERR_EN,Enables SLVERR generation on write response when write data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "PAR_WDATA_ERR_INTR_EN,Enables write data interrupt generation (par_wdata_err_intr) upon detection of parity error at the AXI or DFI interface. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "OC_PARITY_TYPE,Parity type: - 0 - Even parity - 1 - Odd parity Programming Mode: Quasi-dynamic Group 3" "Even parity,Odd parity"
newline
bitfld.long 0x0 0. "OC_PARITY_EN,Parity enable register. Enables On-Chip parity for all interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "OCPARCFG1,On-Chip Parity Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "PAR_POISON_LOC_WR_PORT,Enables parity poisoning on write data at the AXI interface before the input parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Programming Mode:.."
newline
hexmask.long.byte 0x4 4.--7. 1. "PAR_POISON_LOC_RD_PORT,Enables parity poisoning on read data at the AXI interface after the parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Error can be injected to one port at.."
newline
bitfld.long 0x4 3. "PAR_POISON_LOC_RD_IECC_TYPE,Selects which parity to poison at the DFI when inline ECC is enabled. If this register is set to 0 parity error is injected on the first read data going through the ECC path. If this register is set to 1 parity error is.." "0,1"
newline
bitfld.long 0x4 2. "PAR_POISON_LOC_RD_DFI,Enables parity poisoning on read data at the DFI interface after the parity generation logic. When MEMC_INLINE_ECC=1 enables poisoning of ECC word after the ECC encoder at the write data interface at the DFI. Programming Mode:.." "0,1"
newline
rbitfld.long 0x4 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 0. "PAR_POISON_EN,Enables on-chip parity poisoning on the data interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x338)++0x3
line.long 0x0 "OCPARSTAT$1,On-Chip Parity Status Register 0"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "PAR_RADDR_ERR_INTR_0,Read address parity error interrupt for port 0. This interrupt is asserted when an on-chip read address parity error occurred on the corresponding AXI port's read address channel. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "PAR_WADDR_ERR_INTR_0,Write address parity error interrupt for port 0. This interrupt is asserted when an on-chip write address parity error occurred on the corresponding AXI port's write address channel. Programming Mode: Static" "0,1"
repeat.end
rgroup.long 0x340++0x3
line.long 0x0 "OCPARSTAT2,On-Chip Parity Status Register 2"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4. "PAR_RDATA_IN_ERR_ECC_INTR,Interrupt on ECC data going into inline ECC decoder. Cleared by par_rdata_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "PAR_WDATA_OUT_ERR_INTR,Write data parity error interrupt on output. Cleared by register par_wdata_err_intr_clr. Programming Mode: Static" "0,1,2,3"
group.long 0x36C++0x3
line.long 0x0 "POISONCFG,AXI Poison Configuration Register. Common for all AXI ports."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "RD_POISON_INTR_CLR,Interrupt clear for read transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "RD_POISON_INTR_EN,If set to 1 enables interrupts for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 16. "RD_POISON_SLVERR_EN,If set to 1 enables SLVERR response for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "WR_POISON_INTR_CLR,Interrupt clear for write transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "WR_POISON_INTR_EN,If set to 1 enables interrupts for write transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "WR_POISON_SLVERR_EN,If set to 1 enables SLVERR response for write transaction poisoning. Programming Mode: Dynamic" "0,1"
rgroup.long 0x370++0x3
line.long 0x0 "POISONSTAT,AXI Poison Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "RD_POISON_INTR_0,Read transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's read address channel. Bit 0.." "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "WR_POISON_INTR_0,Write transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's write address channel. Bit.." "0,1"
group.long 0x374++0x3
line.long 0x0 "ADVECCINDEX,Advanced ECC Index Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 5.--8. 1. "ECC_POISON_BEATS_SEL,Selector of which DRAM beat's poison pattern is set by ECCPOISONPAT0/1/2 registers. For frequency ratio 1:1 mode 2 DRAM beats can be poisoned. Set ecc_poison_beats_sel to 0 and given ECCPOISONPAT0/1/2 to set 1st beat's poison.."
newline
bitfld.long 0x0 3.--4. "ECC_ERR_SYMBOL_SEL,Selector of which error symbol's status output to ADVECCSTAT.advecc_err_symbol_pos and advecc_err_symbol_bits. The default is first error symbol. The value must be less than ADVECCSTAT.advecc_num_err_symbol. Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 0.--2. "ECC_SYNDROME_SEL,Selector of which DRAM beat data output to ECCCSYN0/1/2 as well as ECCUCSYN. In Advanced ECC the syndrome consists of number of DRAM beats. This register selects which beats of data is output to ECCCSYN0/1/2 and ECCUCSYN0/1/2 registers." "0,1,2,3,4,5,6,7"
group.long 0x37C++0x3
line.long 0x0 "ECCPOISONPAT0,ECC Poison Pattern 0 Register"
hexmask.long 0x0 0.--31. 1. "ECC_POISON_DATA_31_0,Indicates the poison pattern for DRAM data[31:0]. setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
group.long 0x384++0x3
line.long 0x0 "ECCPOISONPAT2,ECC Poison Pattern 2 Register"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_POISON_DATA_71_64,Indicates the poison pattern for DRAM data[71:64]. Setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
rgroup.long 0x388++0x3
line.long 0x0 "ECCAPSTAT,Address protection within ECC Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ECC_AP_ERR,Indicates the number of ECC errors (correctable/uncorrectable) within one burst exceeded the threshold(ECCCFG0.ecc_ap_err_threshold). Programming Mode: Dynamic" "0,1"
group.long 0x3C0++0x3
line.long 0x0 "REGPARCFG,Register Parity Configuration Register (Note that all fields must be programmed with single write operation)."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "REG_PAR_POISON_EN,Enable Register Parity poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 3. "REG_PAR_ERR_INTR_FORCE,Interrupt force bit for reg_par_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 2. "REG_PAR_ERR_INTR_CLR,Interupt clear bit for reg_par_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "REG_PAR_ERR_INTR_EN,Enables interrupt generation if set to 1 on signal reg_par_err_intr upon detection of register parity error. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "REG_PAR_EN,Register Parity enable register. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3C4++0x3
line.long 0x0 "REGPARSTAT,Register Parity Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "REG_PAR_ERR_INTR,Interrupt asserted when Register Parity error is detected. Cleared by setting REGPARCFG.reg_par_err_intr_clr to 1. Programming Mode: Static" "0,1"
group.long 0x3E0++0x3
line.long 0x0 "OCCAPCFG,On-Chip command/Address Protection Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 27. "OCCAP_ARB_RAQ_POISON_EN,Enables poisoning for the Read Address Queues (RAQ) inside each XPI. Poisoning inverts all parity bits generated by the parity generator. Error is flagged as soon as the first RAQ is read. This register is not cleared.." "0,1"
newline
bitfld.long 0x0 26. "OCCAP_ARB_CMP_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP Arbiter logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT,OCCAPSTAT"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL,Enables full poisoning for compare logic inside XPI. Poisoning inverts all bits of all outputs coming from the duplicated modules before the XOR comparators together. uMCTL2 automatically clears this bit. Programming Mode:.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ,Enables poisoning for compare logic inside XPI. Poisoning inverts all bits coming from the duplicated modules before the XOR comparators one output at the time per each comparator. uMCTL2 automatically clears this bit." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_ARB_INTR_FORCE,Interrupt force bit for occap_arb_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_ARB_INTR_CLR,Interrupt clear bit for occap_arb_err_intr and occap_arb_cmp_poison_complete. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_INTR_EN,Enables interrupt generation upon detection of OCCAP Arbiter errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "OCCAP_EN,On Chip Command/Address Path Protection (OCCAP) enable register. Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x3E4++0x3
line.long 0x0 "OCCAPSTAT,On-Chip command/Address Protection Status Register"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL_ERR,Error when occap_arb_cmp_poison_full_en is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_arb_cmp_poison_full_en. It.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ_ERR,Error when occap_arb_cmp_poison_en is active due to incorrect number of errors being occurring. Internal logic checks that the correct number of errors detected while poisoning one output at the time occurred for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_ARB_CMP_POISON_COMPLETE,OCCAP ARB comparator poisoning complete interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_ERR_INTR,OCCAP Arbiter error interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "RESERVED,Reserved"
group.long 0x3E8++0x3
line.long 0x0 "OCCAPCFG1,On-Chip command/Address Protection Configuration Register 1"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "OCCAP_DDRC_CTRL_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC CTRL logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_DDRC_CTRL_INTR_FORCE,Interrupt force bit for occap_ddrc_ctrl_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_INTR_CLR,Interrupt clear bit for occap_ddrc_ctrl_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_INTR_EN,Enables interrupt generation on signal occap_ddrc_ctrl_err_intr upon detection of OCCAP DDRC CTRL errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "OCCAP_DDRC_DATA_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC DATA logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of.." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of instance[0] of.." "0,1"
newline
hexmask.long.byte 0x0 3.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "OCCAP_DDRC_DATA_INTR_FORCE,Interrupt force bit for occap_ddrc_data_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_INTR_CLR,Interrupt clear bit for occap_ddrc_data_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_INTR_EN,Enables interrupt generation on signal occap_ddrc_data_err_intr upon detection of OCCAP DDRC DATA errors. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3EC++0x7
line.long 0x0 "OCCAPSTAT1,On-Chip command/Address Protection Status Register 1"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL_ERR,Error when occap_ddrc_ctrl_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_parallel." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ_ERR,Error when occap_ddrc_ctrl_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_POISON_COMPLETE,Indicates the OCCAP DDRC CTRL poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_ERR_INTR,Indicates the OCCAP DDRC CTRL error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL_ERR,Error when occap_ddrc_data_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_parallel." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ_ERR,Error when occap_ddrc_data_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_POISON_COMPLETE,Indicates the OCCAP DDRC DATA poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_ERR_INTR,Indicates the OCCAP DDRC DATA error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
line.long 0x4 "DERATESTAT,Temperature Derate Status Register"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DERATE_TEMP_LIMIT_INTR,Derate temperature interrupt indicating LPDDR2/3/4 SDRAM temperature operating limit is exceeded. This register field is set to 1 when the value read from MR4[2:0] is 3'b000 or 3'b111. Cleared by register.." "0,1"
tree.end
tree "UMCTL2_MP (uMCTL2 Multi-Port Registers)"
base ad:0x1016
rgroup.long 0x4++0x3
line.long 0x0 "PSTAT,Port Status Register"
bitfld.long 0x0 16. "WR_PORT_BUSY_0,Indicates if there are outstanding writes for AXI port 0. Programming Mode: Dynamic" "0,1"
bitfld.long 0x0 0. "RD_PORT_BUSY_0,Indicates if there are outstanding reads for AXI port 0. Programming Mode: Dynamic" "0,1"
group.long 0x8++0xB
line.long 0x0 "PCCFG,Port Common Configuration Register"
bitfld.long 0x0 8. "BL_EXP_MODE,Burst length expansion mode. By default (that is bl_exp_mode==0) XPI expands every AXI burst into multiple HIF commands using the memory burst length as a unit. If set to 1 then XPI uses half of the memory burst length as a unit. This.." "UMCTL2_PARTIAL_WR=1,?"
bitfld.long 0x0 4. "PAGEMATCH_LIMIT,Page match four limit. If set to 1 limits the number of consecutive same page DDRC transactions that can be granted by the Port Arbiter to four when Page Match feature is enabled. If set to 0 there is no limit imposed on number of.." "0,1"
bitfld.long 0x0 0. "GO2CRITICAL_EN,If set to 1 (enabled) sets co_gs_go2critical_wr and co_gs_go2critical_lpr/co_gs_go2critical_hpr signals going to DDRC based on urgent input (awurgent arurgent) coming from AXI master. If set to 0 (disabled) co_gs_go2critical_wr and.." "0,1"
line.long 0x4 "PCFGR_0,Port n Configuration Read Register"
bitfld.long 0x4 16. "RDWR_ORDERED_EN,Enables ordered read/writes. If set to 1 preserves the ordering between read transaction and write transaction issued to the same address on a given port. In other words the controller ensures that all same address read and write.." "0,1"
bitfld.long 0x4 14. "RD_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x4 13. "RD_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (arurgent). When enabled and arurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_lpr/co_gs_go2critical_hpr signal to DDRC is asserted if.." "0,1"
newline
bitfld.long 0x4 12. "RD_PORT_AGING_EN,If set to 1 enables aging function for the read channel of the port. Programming Mode: Static" "0,1"
hexmask.long.word 0x4 0.--9. 1. "RD_PORT_PRIORITY,Determines the initial load value of read aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but not.."
line.long 0x8 "PCFGW_0,Port n Configuration Write Register"
bitfld.long 0x8 14. "WR_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x8 13. "WR_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (awurgent). When enabled and awurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_wr signal to DDRC is asserted if enabled in.." "0,1"
bitfld.long 0x8 12. "WR_PORT_AGING_EN,If set to 1 enables aging function for the write channel of the port. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x8 0.--9. 1. "WR_PORT_PRIORITY,Determines the initial load value of write aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but.."
group.long 0x98++0x13
line.long 0x0 "PCTRL_0,Port n Control Register"
bitfld.long 0x0 0. "PORT_EN,Enables AXI port n. Programming Mode: Dynamic" "0,1"
line.long 0x4 "PCFGQOS0_0,Port n Read QoS Configuration Register 0"
bitfld.long 0x4 24.--25. "RQOS_MAP_REGION2,This bitfield indicates the traffic class of region2. For dual address queue configurations region2 maps to the red address queue. Valid values are 1: VPR and 2: HPR only. When VPR support is disabled (UMCTL2_VPR_EN = 0) and traffic.." "?,VPR and,HPR only,?"
bitfld.long 0x4 20.--21. "RQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region1 maps to the blue address queue. In this case valid values are - 0 - LPR - 1 - VPR.." "LPR,VPR only,HPR,?"
bitfld.long 0x4 16.--17. "RQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region 0 maps to the blue address queue. In this case valid values are: 0: LPR and 1: VPR.." "LPR and,VPR only,HPR,?"
newline
hexmask.long.byte 0x4 8.--11. 1. "RQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to arqos. Region2 starts from (level2 + 1) up to 15. Note that for PA arqos.."
hexmask.long.byte 0x4 0.--3. 1. "RQOS_MAP_LEVEL1,Separation level1 indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 (for dual RAQ) or 0 to 14 (for single RAQ) which corresponds to arqos. Note that for PA arqos values are used directly.."
line.long 0x8 "PCFGQOS1_0,Port n Read QoS Configuration Register 1"
hexmask.long.word 0x8 16.--26. 1. "RQOS_MAP_TIMEOUTR,Specifies the timeout value for transactions mapped to the red address queue. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x8 0.--10. 1. "RQOS_MAP_TIMEOUTB,Specifies the timeout value for transactions mapped to the blue address queue. Programming Mode: Quasi-dynamic Group 3"
line.long 0xC "PCFGWQOS0_0,Port n Write QoS Configuration Register 0"
bitfld.long 0xC 24.--25. "WQOS_MAP_REGION2,This bit field indicates the traffic class of region 2. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 2 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 20.--21. "WQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: 0: NPW 1: VPW. When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 1 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 16.--17. "WQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 0 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
newline
hexmask.long.byte 0xC 8.--11. 1. "WQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to awqos. Region2 starts from (level2 + 1) up to 15. Note that for PA awqos.."
hexmask.long.byte 0xC 0.--3. 1. "WQOS_MAP_LEVEL1,Separation level indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 which corresponds to awqos. Note that for PA awqos values are used directly as port priorities where the higher the.."
line.long 0x10 "PCFGWQOS1_0,Port n Write QoS Configuration Register 1"
hexmask.long.word 0x10 16.--26. 1. "WQOS_MAP_TIMEOUT2,Specifies the timeout value for write transactions in region 2. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x10 0.--10. 1. "WQOS_MAP_TIMEOUT1,Specifies the timeout value for write transactions in region 0 and 1. Programming Mode: Quasi-dynamic Group 3"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB0C)++0x3
line.long 0x0 "SARBASE$1,SAR Base Address Register n"
hexmask.long.word 0x0 0.--11. 1. "BASE_ADDR,Base address for address region n specified as awaddr[UMCTL2_A_ADDRW-1:x] and araddr[UMCTL2_A_ADDRW-1:x] where x is determined by the minimum block size parameter UMCTL2_SARMINSIZE: (x=log2(block size)). Programming Mode: Static"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB10)++0x3
line.long 0x0 "SARSIZE$1,SAR Size Register n"
hexmask.long.byte 0x0 0.--7. 1. "NBLOCKS,Number of blocks for address region n. This register determines the total size of the region in multiples of minimum block size as specified by the hardware parameter UMCTL2_SARMINSIZE. The register value is encoded as number of blocks = nblocks.."
repeat.end
group.long 0xB2C++0x3
line.long 0x0 "SBRCTL,Scrubber Control Register"
hexmask.long.word 0x0 8.--20. 1. "SCRUB_INTERVAL,Scrub interval. (512 x scrub_interval) number of clock cycles between two scrub read commands. If set to 0 scrub commands are issued back-to-back. This mode of operation (scrub_interval=0) can typically be used for scrubbing the full.."
bitfld.long 0x0 4.--6. "SCRUB_BURST,Scrub burst count. Determines the number of back-to-back scrub read commands that can be issued together when the controller is in one of the HW controlled low power modes with Sideband ECC both normal operation mode and low-power mode with.." "0,1,2,3,4,5,6,7"
bitfld.long 0x0 2. "SCRUB_MODE,- scrub_mode:0 ECC scrubber performs reads - scrub_mode:1 ECC scrubber performs writes Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "SCRUB_DURING_LOWPOWER,Continue scrubbing during low power. If set to 1 burst of scrubs is issued in hardware controlled low power modes. There are two such modes: automatically initiated by idleness or initiated by Hardware low power interface. If set.." "0,1"
bitfld.long 0x0 0. "SCRUB_EN,Enables ECC scrubber. If set to 1 enables the scrubber to generate background read commands after the memories are initialized. If set to 0 disables the scrubber resets the address generator to 0 and clears the scrubber status. This bitfield.." "0,1"
rgroup.long 0xB30++0x3
line.long 0x0 "SBRSTAT,Scrubber Status Register"
bitfld.long 0x0 1. "SCRUB_DONE,Scrubber done. The controller sets this bit to 1 after full range of addresses are scrubbed once while scrub_interval is set to 0. Cleared if scrub_en is set to 0 (scrubber disabled) or scrub_interval is set to a non-zero value for normal.." "0,1"
bitfld.long 0x0 0. "SCRUB_BUSY,Scrubber busy. The controller sets this bit to 1 when the scrubber logic has outstanding read commands being executed. Cleared when there are no active outstanding scrub reads in the system. Programming Mode: Dynamic" "0,1"
group.long 0xB34++0x3
line.long 0x0 "SBRWDATA0,Scrubber Write Data Pattern0"
hexmask.long 0x0 0.--31. 1. "SCRUB_PATTERN0,ECC Scrubber write data pattern for data bus[31:0] Programming Mode: Dynamic"
group.long 0xB40++0xF
line.long 0x0 "SBRSTART0,Scrubber Start Address Mask Register 0"
hexmask.long 0x0 0.--31. 1. "SBR_ADDRESS_START_MASK_0,sbr_address_start_mask_0 holds the bits [31:0] of the starting address the ECC scrubber generates. The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber address registers.."
line.long 0x4 "SBRSTART1,Scrubber Start Address Mask Register 1"
hexmask.long.byte 0x4 0.--3. 1. "SBR_ADDRESS_START_MASK_1,sbr_address_start_mask_1 holds bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the starting address the ECC scrubber generates.The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber.."
line.long 0x8 "SBRRANGE0,Scrubber Address Range Mask Register 0"
hexmask.long 0x8 0.--31. 1. "SBR_ADDRESS_RANGE_MASK_0,sbr_address_range_mask_0 holds the bits [31:0] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be programmed as explained in.."
line.long 0xC "SBRRANGE1,Scrubber Address Range Mask Register 1"
hexmask.long.byte 0xC 0.--3. 1. "SBR_ADDRESS_RANGE_MASK_1,sbr_address_range_mask_1 holds the bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be.."
rgroup.long 0xBF8++0x7
line.long 0x0 "UMCTL2_VER_NUMBER,UMCTL2 Version Number Register"
hexmask.long 0x0 0.--31. 1. "VER_NUMBER,Indicates the Device Version Number value. Programming Mode: Static"
line.long 0x4 "UMCTL2_VER_TYPE,UMCTL2 Version Type Register"
hexmask.long 0x4 0.--31. 1. "VER_TYPE,Indicates the Device Version Type value. Programming Mode: Static"
tree.end
tree "UMCTL2_REGS_FREQ1 (uMCTL2 DDRC FREQ1 Registers)"
base ad:0x8192
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ1] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ1] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ1] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ1] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ1] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ1] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ1] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ1] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ1] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ1] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ1] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ1] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ1] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ1] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ1] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ1] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ1] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ1] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ1] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ1] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ1] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ1] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ1] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ1] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ1] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ1] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ1] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ1] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ1] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ1] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ2 (uMCTL2 DDRC FERQ2 Registers)"
base ad:0x12288
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ2] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ2] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ2] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ2] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ2] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ2] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ2] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ2] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ2] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ2] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ2] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ2] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ2] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ2] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ2] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ2] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ2] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ2] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ2] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ2] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ2] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ2] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ2] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ2] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ2] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ2] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ2] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ2] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ2] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ2] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ3 (uMCTL2 DDRC FREQ3 Registers)"
base ad:0x16384
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ3] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ3] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ3] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ3] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ3] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ3] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ3] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ3] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ3] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ3] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ3] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ3] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ3] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ3] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ3] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ3] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ3] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ3] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ3] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ3] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ3] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ3] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ3] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ3] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ3] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ3] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ3] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ3] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ3] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ3] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree.end
tree "DDR_PHY"
base ad:0x32000000
tree "UMCTL2_REGS (uMCTL2 DDRC Registers)"
group.long 0x0++0x3
line.long 0x0 "MSTR,Master Register0"
bitfld.long 0x0 30.--31. "DEVICE_CONFIG,Indicates the configuration of the device used in the system. - 00 - x4 device - 01 - x8 device - 10 - x16 device - 11 - x32 device Programming Mode: Static" "x4 device,x8 device,?,?"
newline
bitfld.long 0x0 29. "FREQUENCY_MODE,Selects which registers are used. - 0 - Original registers - 1 - When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers When UMCTL2_FREQUENCY_NUM>2: Choosen by MSTR2.target_frequency register. Programming Mode: Quasi-dynamic Group 2" "Original registers,When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers"
newline
rbitfld.long 0x0 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24.--25. "ACTIVE_RANKS,Only present for multi-rank configurations. Each bit represents one rank. For two-rank configurations only bits[25:24] are present. - 1 - Populated - 0 - Unpopulated LSB is the lowest rank number. For two ranks following combinations are.." "Unpopulated,One rank,?,?"
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "BURST_RDWR,Indicates SDRAM burst length used: - 0001 - Burst length of 2 (only supported for mDDR) - 0010 - Burst length of 4 - 0100 - Burst length of 8 - 1000 - Burst length of 16 (only supported for mDDR LPDDR2 and LPDDR4) All other values are.."
newline
bitfld.long 0x0 15. "DLL_OFF_MODE,Set to: - 1 - When the uMCTL2 and DRAM has to be put in DLL-off mode for low frequency operation - 0 - To put uMCTL2 and DRAM in DLL-on mode for normal frequency operation If DDR4 CRC/parity retry is enabled.." "To put uMCTL2 and DRAM in DLL-on mode for normal..,When the uMCTL2 and DRAM has to be put in.."
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 12.--13. "DATA_BUS_WIDTH,Selects proportion of DQ bus width that is used by the SDRAM. - 00 - Full DQ bus width to SDRAM - 01 - Half DQ bus width to SDRAM - 10 - Quarter DQ bus width to SDRAM - 11 - Reserved Note that half bus width mode is only supported when the.." "Full DQ bus width to SDRAM,Half DQ bus width to SDRAM,?,?"
newline
bitfld.long 0x0 11. "GEARDOWN_MODE,- 1 - Indicates the DRAM in geardown mode (2N) - 0 - Indicates the DRAM in normal mode (1N) This register can be changed only when the controller is in the self-refresh mode. This signal must be set the same value as MR3 bit A3. Note: -.." "Indicates the DRAM in normal mode,Indicates the DRAM in geardown mode"
newline
bitfld.long 0x0 10. "EN_2T_TIMING_MODE,If 1 then uMCTL2 uses 2T timing otherwise uses 1T timing. In 2T timing all command signals (except chip select) are held for 2 clocks on the SDRAM bus. Chip select is asserted on the second cycle of the command. Note: - 2T timing is.." "0,1"
newline
bitfld.long 0x0 9. "BURSTCHOP,When this bit is set enables burst-chop (BC4 or 8 on-the-fly) in DDR3/DDR4. Burst Chop for reads is exercised only: - In HIF configurations (UMCTL2_INCL_ARB not set) - If in full bus width mode (MSTR.data_bus_width = 00) - If.." "0,1"
newline
rbitfld.long 0x0 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "LPDDR4,Selects LPDDR4 SDRAM. - 1 - LPDDR4 SDRAM device in use - 0 - non-LPDDR4 device in use Present only in designs configured to support LPDDR4. Programming Mode: Static" "non-LPDDR4 device in use,LPDDR4 SDRAM device in use"
newline
bitfld.long 0x0 4. "DDR4,Selects DDR4 SDRAM. - 1 - DDR4 SDRAM device in use - 0 - non-DDR4 device in use Present only in designs configured to support DDR4. Programming Mode: Static" "non-DDR4 device in use,DDR4 SDRAM device in use"
newline
bitfld.long 0x0 3. "LPDDR3,Selects LPDDR3 SDRAM. - 1 - LPDDR3 SDRAM device in use - 0 - non-LPDDR3 device in use Present only in designs configured to support LPDDR3. Programming Mode: Static" "non-LPDDR3 device in use,LPDDR3 SDRAM device in use"
newline
bitfld.long 0x0 2. "LPDDR2,Selects LPDDR2 SDRAM. - 1 - LPDDR2 SDRAM device in use - 0 - non-LPDDR2 device in use Present only in designs configured to support LPDDR2. Programming Mode: Static" "non-LPDDR2 device in use,LPDDR2 SDRAM device in use"
newline
rbitfld.long 0x0 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0. "DDR3,Selects DDR3 SDRAM. - 1 - DDR3 SDRAM device in use - 0 - non-DDR3 SDRAM device in use Present only in designs configured to support DDR3. Programming Mode: Static" "non-DDR3 SDRAM device in use,DDR3 SDRAM device in use"
rgroup.long 0x4++0x3
line.long 0x0 "STAT,Operating Mode Status Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 12. "SELFREF_CAM_NOT_EMPTY,Self-refresh with CAMs not empty. Set to 1 when self-refresh is entered but CAMs are not drained. Cleared after exiting self-refresh. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "SELFREF_STATE,This indicates self-refresh or self-refresh power down state for LPDDR4. This register is used for frequency change and MRR/MRW access during self-refresh. - 00 - SDRAM is not in self-refresh - 01 - Self-refresh 1 - 10 - Self-refresh power.." "SDRAM is not in self-refresh,Self-refresh 1,?,?"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "SELFREF_TYPE,Flags if self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4) is entered and if it is under automatic self-refresh control only or not. - 00 - SDRAM is not in self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4). If retry is enabled by.." "SDRAM is not in self-refresh,SDRAM is in self-refresh,?,?"
newline
bitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "OPERATING_MODE,This is 3-bits wide in configurations with mDDR/LPDDR2/LPDDR3/LPDDR4/DDR4 support and 2-bits in all other configurations. non-mDDR/LPDDR2/LPDDR3/LPDDR4 and non-DDR4 designs: - 00 - Init - 01 - Normal - 10 - Power-down - 11 - Self-refresh.." "Init,Normal,?,bits wide in configurations with..,?,?,?,?"
group.long 0x10++0x7
line.long 0x0 "MRCTRL0,Mode Register Read/Write Control Register 0. Note: Do not enable more than one of the following fields simultaneously: - sw_init_int - pda_en - mpr_en"
bitfld.long 0x0 31. "MR_WR,Setting this register bit to 1 triggers a mode register read or write operation. When the MR operation is complete the uMCTL2 automatically clears this bit. The other fields of this register must be written in a separate APB transaction before.." "0,1"
newline
bitfld.long 0x0 30. "PBA_MODE,Indicates whether PBA access is executed. When setting this bit to 1 along with setting pda_en to 1 uMCTL2 initiates PBA access instead of PDA access. - 0 - Per DRAM Addressability mode - 1 - Per Buffer Addressability mode The completion of PBA.." "Per DRAM Addressability mode,Per Buffer Addressability mode"
newline
hexmask.long.word 0x0 16.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 12.--15. 1. "MR_ADDR,Address of the mode register that is to be written to. - 0000 - MR0 - 0001 - MR1 - 0010 - MR2 - 0011 - MR3 - 0100 - MR4 - 0101 - MR5 - 0110 - MR6 - 0111 - MR7 Don't Care for LPDDR2/LPDDR3/LPDDR4 (see MRCTRL1.mr_data for mode register addressing.."
newline
hexmask.long.byte 0x0 6.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--5. "MR_RANK,Controls which rank is accessed by MRCTRL0.mr_wr. Normally it is desired to access all ranks so all bits must be set to 1. However for multi-rank UDIMMs/RDIMMs/LRDIMMs which implement address mirroring it might be necessary to access ranks.." "?,Select rank 0 only,Select rank 1 only,?"
newline
bitfld.long 0x0 3. "SW_INIT_INT,Indicates whether software intervention is allowed through MRCTRL0/MRCTRL1 before automatic SDRAM initialization routine or not. For DDR4 this bit can be used to initialize the DDR4 RCD (MR7) before automatic SDRAM initialization. For LPDDR4.." "Software intervention is not allowed,Software intervention is allowed"
newline
bitfld.long 0x0 2. "PDA_EN,Indicates whether the mode register operation is MRS in PDA mode or not. - 0 - MRS - 1 - MRS in Per DRAM Addressability mode Note that when pba_mode=1 PBA access is initiated instead of PDA access. Programming Mode: Dynamic" "MRS,MRS in Per DRAM Addressability mode"
newline
bitfld.long 0x0 1. "MPR_EN,Indicates whether the mode register operation is MRS or WR/RD for MPR (only supported for DDR4). - 0 - MRS - 1 - WR/RD for MPR Programming Mode: Dynamic" "MRS,WR/RD for MPR"
newline
bitfld.long 0x0 0. "MR_TYPE,Indicates whether the mode register operation is read or write. Only used for LPDDR2/LPDDR3/LPDDR4/DDR4. - 0 - Write - 1 - Read Programming Mode: Dynamic" "Write,Read"
line.long 0x4 "MRCTRL1,Mode Register Read/Write Control Register 1"
hexmask.long.word 0x4 18.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "MR_DATA,Mode register write data for all non-LPDDR2/non-LPDDR3/non-LPDDR4 modes. For LPDDR2/LPDDR3/LPDDR4 MRCTRL1[15:0] are interpreted as: - [15:8] - MR Address - [7:0] - MR data for writes don't care for reads This is 18-bits wide in configurations.."
rgroup.long 0x18++0x3
line.long 0x0 "MRSTAT,Mode Register Read/Write Status Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "PDA_DONE,The SoC might initiate a MR write operation in PDA/PBA mode only if this signal is low. This signal goes: - High when three consecutive MRS commands related to the PDA/PBA mode are issued to the SDRAM - Low when MRCTRL0.pda_en becomes 0.." "Indicates that mode register write operation..,Indicates that mode register write operation.."
newline
hexmask.long.byte 0x0 1.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "MR_WR_BUSY,The SoC might initiate a MR write operation only if this signal is low. This signal goes: - High in the clock after the uMCTL2 accepts the MRW/MRR request - Low when the MRW/MRR command is issued to the SDRAM It is recommended not to perform.." "Indicates that the SoC can initiate a mode..,Indicates that mode register write operation is.."
group.long 0x1C++0x1F
line.long 0x0 "MRCTRL2,Mode Register Read/Write Control Register 2"
hexmask.long 0x0 0.--31. 1. "MR_DEVICE_SEL,Indicates the devices to be selected during the MRS that happens in PDA mode. Each bit is associated with one device. For example bit[0] corresponds to Device 0 bit[1] to Device 1 and so on. - 1 - Indicates that the MRS command must be.."
line.long 0x4 "DERATEEN,Temperature Derate Enable Register"
hexmask.long.tbyte 0x4 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
rbitfld.long 0x4 11. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x4 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
rbitfld.long 0x4 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x4 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x8 "DERATEINT,Temperature Derate Interval Register"
hexmask.long 0x8 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
line.long 0xC "MSTR2,Master Register2"
hexmask.long 0xC 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 0.--1. "TARGET_FREQUENCY,If MSTR.frequency_mode = 1 this field specifies the target frequency. - 0 - Frequency 0/Normal - 1 - Frequency 1/FREQ1 - 2 - Frequency 2/FREQ2 - 3 - Frequency 3/FREQ3 If MSTR.frequency_mode=0 this field is ignored. Note: If the target.." "Frequency 0/Normal,Frequency 1/FREQ1,Frequency 2/FREQ2,Frequency 3/FREQ3"
line.long 0x10 "DERATECTL,Temperature Derate Control Register"
hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x10 2. "DERATE_TEMP_LIMIT_INTR_FORCE,Interrupt force bit for derate_temp_limit_intr. Setting this field to 1 causes the derate_temp_limit_intr output pin to be asserted. At the end of the interrupt force operation the uMCTL2 automatically clears this bit." "0,1"
newline
bitfld.long 0x10 1. "DERATE_TEMP_LIMIT_INTR_CLR,Interrupt clear bit for derate_temp_limit_intr. At the end of the interrupt clear operation the uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x10 0. "DERATE_TEMP_LIMIT_INTR_EN,Interrupt enable bit for derate_temp_limit_intr output pin. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
line.long 0x14 "PWRCTL,Low Power Control Register"
hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x14 8. "LPDDR4_SR_ALLOWED,Indicates whether transition from SR-PD to SR and back to SR-PD is allowed. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - SR-PD -> SR -> SR-PD not allowed - 1 - SR-PD -> SR -> SR-PD allowed Programming Mode:.." "SR-PD,SR-PD"
newline
bitfld.long 0x14 7. "DIS_CAM_DRAIN_SELFREF,Indicates whether skipping CAM draining is allowed when entering self-refresh. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - CAMs must be empty before entering SR - 1 - CAMs are not emptied before.." "CAMs must be empty before entering SR,CAMs are not emptied before entering SR"
newline
bitfld.long 0x14 6. "STAY_IN_SELFREF,Self-refresh state is an intermediate state to enter to self-refresh power down state or exit self-refresh power down state for LPDDR4. This register controls transition from the self-refresh state. - 1 - Prohibit transition from.." "Allow transition from self-refresh state,Prohibit transition from self-refresh state"
newline
bitfld.long 0x14 5. "SELFREF_SW,A value of 1 to this register causes system to move to self-refresh state immediately as long as it is not in INIT or DPD/MPSM operating_mode. This is referred to as Software Entry/Exit to self-refresh. - 1 - Software Entry to self-refresh -.." "Software Exit from self-refresh,Software Entry to self-refresh"
newline
bitfld.long 0x14 4. "MPSM_EN,When this bit is 1 the uMCTL2 puts the SDRAM into maximum power saving mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of maximum power saving mode. Present only in designs configured to support.." "0,1"
newline
bitfld.long 0x14 3. "EN_DFI_DRAM_CLK_DISABLE,Enables the assertion of dfi_dram_clk_disable whenever a clock is not required by the SDRAM. If set to 0 dfi_dram_clk_disable is never asserted. Assertion of dfi_dram_clk_disable is as follows: In DDR2/DDR3 can only be asserted.." "0,1"
newline
bitfld.long 0x14 2. "DEEPPOWERDOWN_EN,When this bit is 1 uMCTL2 puts the SDRAM into deep power-down mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of deep power-down mode. The controller performs automatic SDRAM.." "0,1"
newline
bitfld.long 0x14 1. "POWERDOWN_EN,If true then the uMCTL2 goes into power-down after a programmable number of cycles 'maximum idle clocks before power down' (PWRTMG.powerdown_to_x32). This register bit may be re-programmed during the course of normal operation. Programming.." "0,1"
newline
bitfld.long 0x14 0. "SELFREF_EN,If true then the uMCTL2 puts the SDRAM into self-refresh after a programmable number of cycles 'maximum idle clocks before self-refresh (PWRTMG.selfref_to_x32)'. This register bit may be re-programmed during the course of normal operation." "0,1"
line.long 0x18 "PWRTMG,Low Power Timing Register"
hexmask.long.byte 0x18 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x18 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
rbitfld.long 0x18 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x18 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
line.long 0x1C "HWLPCTL,Hardware Low Power Control Register"
hexmask.long.byte 0x1C 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x1C 16.--27. 1. "HW_LP_IDLE_X32,Hardware idle period. The cactive_ddrc output is driven low if the DDRC command channel is idle for hw_lp_idle * 32 cycles if not in INIT or DPD/MPSM operating_mode. The DDRC command channel is considered idle when there are no HIF.."
newline
hexmask.long.word 0x1C 2.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x1C 1. "HW_LP_EXIT_IDLE_EN,When this bit is programmed to 1 the cactive_in_ddrc pin of the DDRC can be used to exit from the automatic clock stop automatic power down or automatic self-refresh modes. Note it does not cause exit of self-refresh that was caused.." "0,1"
newline
bitfld.long 0x1C 0. "HW_LP_EN,Enable this bit for Hardware Low Power Interface. Programming Mode: Quasi-dynamic Group 2" "0,1"
group.long 0x50++0x7
line.long 0x0 "RFSHCTL0,Refresh Control Register 0"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
rbitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "RFSHCTL1,Refresh Control Register 1"
hexmask.long.byte 0x4 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--27. 1. "REFRESH_TIMER1_START_VALUE_X32,Refresh timer start for rank 1 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
newline
hexmask.long.byte 0x4 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--11. 1. "REFRESH_TIMER0_START_VALUE_X32,Refresh timer start for rank 0 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
group.long 0x60++0xB
line.long 0x0 "RFSHCTL3,Refresh Control Register 3"
hexmask.long 0x0 7.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--6. "REFRESH_MODE,Indicates fine granularity refresh mode. - 000 - Fixed 1x (Normal mode) - 001 - Fixed 2x - 010 - Fixed 4x - 101 - Enable on the fly 2x (not supported) - 110 - Enable on the fly 4x (not supported) - Everything else - reserved Note: - Only.." "Fixed 1x,The on-the-fly modes are not supported in this..,This register field has effect only if a DDR4..,?,?,?,?,?"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "REFRESH_UPDATE_LEVEL,Toggle this signal (either from 0 to 1 or from 1 to 0) to indicate that the refresh registers have been updated. refresh_update_level must not be toggled when the DDRC is in reset (core_ddrc_rstn = 0). The refresh registers are.." "0,1"
newline
bitfld.long 0x0 0. "DIS_AUTO_REFRESH,When '1' disable auto-refresh generated by the uMCTL2. When auto-refresh is disabled the SoC must generate refreshes using the registers DBGCMD.rankn_refresh. When dis_auto_refresh transitions from 0 to 1 any pending refreshes are.." "0,1"
line.long 0x4 "RFSHTMG,Refresh Timing Register"
bitfld.long 0x4 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
rbitfld.long 0x4 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x4 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.byte 0x4 10.--14. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x8 "RFSHTMG1,Refresh Timing Register1"
hexmask.long.byte 0x8 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
newline
hexmask.long.word 0x8 0.--15. 1. "RESERVED,Reserved"
group.long 0x70++0x7
line.long 0x0 "ECCCFG0,ECC Configuration Register 0"
bitfld.long 0x0 30.--31. "ECC_REGION_MAP_GRANU,Indicates granularity of selectable protected region. Define one region size for ECCCFG0.ecc_region_map. - 0 - 1/8 of memory spaces - 1 - 1/16 of memory spaces - 2 - 1/32 of memory spaces - 3 - 1/64 of memory spaces Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 29. "ECC_REGION_MAP_OTHER,When ECCCFG0.ecc_region_map_granu>0 there is a region which is not controlled by ecc_region_map. This register defines the region to be protected or non-protected for Inline ECC. - 0 - Non-Protected - 1 - Protected This register is.." "Non-Protected,Protected"
newline
rbitfld.long 0x0 27.--28. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 24.--26. "ECC_AP_ERR_THRESHOLD,Sets threshold for address parity error. ECCAPSTAT.ecc_ap_err is asserted if number of ECC errors (correctable/uncorrectable) within one burst exceeds this threshold. This register value must be less than 'Total number of ECC checks.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "BLK_CHANNEL_IDLE_TIME_X32,Indicates the number of cycles on HIF interface with no access to protected regions which causes flush of all the block channels. In order to flush block channel uMCTL2 injects write ECC command (when there is no incoming HIF.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "ECC_REGION_MAP,Selectable Protected Region setting. Memory space is divided to 8/16/32/64 regions which is determined by ECCCFG0.ecc_region_map_granu. Note: Highest 1/8 memory space is always ECC region. Lowest 7 regions are Selectable Protected Regions."
newline
bitfld.long 0x0 7. "ECC_REGION_REMAP_EN,Enables remapping ECC region feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
bitfld.long 0x0 6. "ECC_AP_EN,Enables address protection feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_SCRUB,Disables ECC scrubs. Valid only when ECCCFG0.ecc_mode = 3'b100 or 3'b101 and MEMC_USE_RMW is defined. Note: Scrub is not supported in inline ECC mode and the register value is don't care. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "ECC_MODE,ECC mode indicator. - 000 - ECC disabled - 100 - ECC enabled - SEC/DED over 1 beat - 101 - ECC enabled - Advanced ECC (Illegal value when MEMC_INLINE_ECC=1) - all other settings are reserved for future use Programming Mode: Static" "ECC disabled,?,?,?,?,?,?,?"
line.long 0x4 "ECCCFG1,ECC Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ACTIVE_BLK_CHANNEL,Indicated the number of active block channels. Total number of ECC block channels are defined by MEMC_NO_OF_BLK_CHANNEL hardware parameter. This register can limit the number of available channels. For example if set to 0 only one.."
newline
bitfld.long 0x4 7. "BLK_CHANNEL_ACTIVE_TERM,If enabled block channel is terminated when full block write or full block read is performed (all address within block are written or read). - 0 - Disable (only for debug purpose) - 1 - Enable (default) This is debug register.." "Disable,Enable"
newline
rbitfld.long 0x4 6. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 5. "ECC_REGION_WASTE_LOCK,Locks the remaining waste parts of the ECC region (hole) that are not locked by ecc_region_parity_lock. - 1 - Locked; if this region is accessed error response is generated - 0 - Unlocked; this region can be accessed normally.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
bitfld.long 0x4 4. "ECC_REGION_PARITY_LOCK,Locks the parity section of the ECC region (hole) which is the highest system address part of the memory that stores ECC parity for protected region. - 1 - Locked; if this region is accessed error response is generated - 0 -.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 1. "DATA_POISON_BIT,Selects whether to poison 1 or 2 bits. - if 0 -> 2-bit (uncorrectable) data poisoning - if 1 -> 1-bit (correctable) data poisoning if ECCCFG1.data_poison_en=1 Valid only when MEMC_ECC_SUPPORT==1 (SECDED ECC mode) Programming Mode:.." "?,bit"
newline
bitfld.long 0x4 0. "DATA_POISON_EN,Enables ECC data poisoning - introduces ECC errors on writes to address specified by the ECCPOISONADDR0/1 registers. This field must be set to 0 if ECC is disabled (ECCCFG0.ecc_mode = 0). Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x78++0x3
line.long 0x0 "ECCSTAT,SECDED ECC Status Register (Valid only in MEMC_ECC_SUPPORT==1 (SECDED ECC mode))"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "ECC_UNCORRECTED_ERR,Double-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR,Single-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
bitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 0.--6. 1. "ECC_CORRECTED_BIT_NUM,Indicates the bit number corrected by single-bit ECC error. For encoding of this field see ECC section in the Architecture chapter. If more than one data lane has an error the lower data lane is selected. This register is 7 bits.."
group.long 0x7C++0x3
line.long 0x0 "ECCCTL,ECC Clear Register"
hexmask.long.word 0x0 19.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "ECC_AP_ERR_INTR_FORCE,Interrupt force bit for ecc_ap_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "ECC_UNCORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_uncorrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an.." "0,1"
newline
bitfld.long 0x0 16. "ECC_CORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_corrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt.." "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "ECC_AP_ERR_INTR_EN,Interrupt enable bit for ecc_ap_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 9. "ECC_UNCORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_uncorrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_corrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "ECC_AP_ERR_INTR_CLR,Interrupt clear bit for ecc_ap_err. If this bit is set the ECCAPSTAT.ecc_ap_err/ecc_ap_err_intr is cleared. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 3. "ECC_UNCORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error count. The ECCERRCNT.ecc_uncorr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 2. "ECC_CORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error count. The ECCERRCNT.ecc_corr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "ECC_UNCORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error. The following registers are cleared: - ECCSTAT.ecc_uncorrected_err - ADVECCSTAT.advecc_uncorrected_err - ECCUSYN0 - ECCUSYN1 - ECCUSYN2 uMCTL2.." "ECCUSYN1,?"
newline
bitfld.long 0x0 0. "ECC_CORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error. The following registers are cleared: - ECCSTAT.ecc_corrected_err - ADVECCSTAT.advecc_corrected_err - ADVECCSTAT.advecc_num_err_symbol -.." "ECCCSYN1,ECCBITMASK2"
rgroup.long 0x80++0xB
line.long 0x0 "ECCERRCNT,ECC Error Counter Register"
hexmask.long.word 0x0 16.--31. 1. "ECC_UNCORR_ERR_CNT,Indicates the number of uncorrectable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC.."
newline
hexmask.long.word 0x0 0.--15. 1. "ECC_CORR_ERR_CNT,Indicates the number of correctable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC with.."
line.long 0x4 "ECCCADDR0,ECC Corrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_CORR_RANK,Indicates the rank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_CORR_ROW,Indicates the page/row number of a read resulting in a corrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCCADDR1,ECC Corrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_CORR_BG,Indicates the bank group number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_CORR_BANK,Indicates the bank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_CORR_COL,Indicates the block number of a read resulting in a corrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x8C)++0x3
line.long 0x0 "ECCCSYN$1,ECC Corrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_SYNDROMES_31_0,Indicates the data pattern that resulted in a corrected error. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0x94++0x3
line.long 0x0 "ECCCSYN2,ECC Corrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_SYNDROMES_71_64,Indicates the data pattern that resulted in a corrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16] for.."
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x98)++0x3
line.long 0x0 "ECCBITMASK$1,ECC Corrected Data Bit Mask Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_BIT_MASK_31_0,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
repeat.end
rgroup.long 0xA0++0xB
line.long 0x0 "ECCBITMASK2,ECC Corrected Data Bit Mask Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_BIT_MASK_71_64,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
line.long 0x4 "ECCUADDR0,ECC Uncorrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_UNCORR_RANK,Indicates the rank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_UNCORR_ROW,Indicates the page/row number of a read resulting in an uncorrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCUADDR1,ECC Uncorrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_UNCORR_BG,Indicates the bank group number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_UNCORR_BANK,Indicates the bank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_UNCORR_COL,Indicates the block number of a read resulting in an uncorrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xAC)++0x3
line.long 0x0 "ECCUSYN$1,ECC Uncorrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_UNCORR_SYNDROMES_31_0,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0xB4++0x3
line.long 0x0 "ECCUSYN2,ECC Uncorrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_UNCORR_SYNDROMES_71_64,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16].."
group.long 0xB8++0xF
line.long 0x0 "ECCPOISONADDR0,ECC Data Poisoning Address Register 0. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "ECC_POISON_RANK,Indicates the rank address for ECC poisoning. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 12.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "ECC_POISON_COL,Indicates the column address for ECC poisoning. Note that this column address must be burst aligned: - In full bus width mode ecc_poison_col[2:0] must be set to 0 - In half bus width mode ecc_poison_col[3:0] must be set to 0 - In quarter.."
line.long 0x4 "ECCPOISONADDR1,ECC Data Poisoning Address Register 1. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 28.--29. "ECC_POISON_BG,Bank Group address for ECC poisoning. Programming Mode: Static" "0,1,2,3"
newline
rbitfld.long 0x4 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24.--26. "ECC_POISON_BANK,Bank address for ECC poisoning. Programming Mode: Static" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_POISON_ROW,Row address for ECC poisoning. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Static"
line.long 0x8 "CRCPARCTL0,CRC Parity Control Register0. Note: Do not perform any APB access to CRCPARCTL0 within 32 pclk cycles of previous access to CRCPARCTL0. as this might lead to data loss."
hexmask.long 0x8 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 2. "DFI_ALERT_ERR_CNT_CLR,Indicates the clear bit for DFI alert error counter. Asserting this bit clears the DFI alert error counter CRCPARSTAT.dfi_alert_err_cnt. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 1. "DFI_ALERT_ERR_INT_CLR,Interrupt clear bit for DFI alert error. If this bit is set the alert error interrupt on CRCPARSTAT.dfi_alert_err_int is cleared. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 0. "DFI_ALERT_ERR_INT_EN,Interrupt enable bit for DFI alert error. If this bit is set any parity/CRC error detected on the dfi_alert_n input results in an interrupt being set on CRCPARSTAT.dfi_alert_err_int. Programming Mode: Dynamic" "0,1"
line.long 0xC "CRCPARCTL1,CRC Parity Control Register1"
hexmask.long.tbyte 0xC 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 12. "CAPARITY_DISABLE_BEFORE_SR,If DDR4-SDRAM's CA parity is enabled by INIT6.mr5[2:0]!=0 and this register is set to 1 CA parity is automatically disabled before self-refresh entry and enabled after self-refresh exit by issuing MR5. - 1 - CA parity is.." "CA parity is not disabled before self-refresh..,CA parity is disabled before self-refresh entry"
newline
hexmask.long.byte 0xC 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 7. "CRC_INC_DM,CRC calculation setting register. - 1 - CRC includes DM signal - 0 - CRC not includes DM signal Present only in designs configured to support DDR4. Programming Mode: Static" "CRC not includes DM signal,CRC includes DM signal"
newline
rbitfld.long 0xC 5.--6. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0xC 4. "CRC_ENABLE,CRC enable Register. - 1 - Enable generation of CRC - 0 - Disable generation of CRC The setting of this register should match the CRC mode register setting in the DRAM. Programming Mode: Quasi-dynamic Group 2" "Disable generation of CRC,Enable generation of CRC"
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "PARITY_ENABLE,C/A Parity enable register. - 1 - Enable generation of C/A parity and detection of C/A parity error - 0 - Disable generation of C/A parity and disable detection of C/A parity error If RCD's parity error detection or SDRAM's parity detection.." "Disable generation of C/A parity and disable..,Enable generation of C/A parity and detection of.."
rgroup.long 0xCC++0x3
line.long 0x0 "CRCPARSTAT,CRC Parity Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "DFI_ALERT_ERR_INT,DFI alert error interrupt. If a parity/CRC error is detected on dfi_alert_n and the interrupt is enabled by CRCPARCTL0.dfi_alert_err_int_en this interrupt bit is set. It remains set until cleared by CRCPARCTL0.dfi_alert_err_int_clr." "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "DFI_ALERT_ERR_CNT,DFI alert error count. If a parity/CRC error is detected on dfi_alert_n this counter be incremented. This is independent of the setting of CRCPARCTL0.dfi_alert_err_int_en. It saturates at 0xFFFF and can be cleared by asserting.."
group.long 0xD0++0xB
line.long 0x0 "INIT0,SDRAM Initialization Register 0"
bitfld.long 0x0 30.--31. "SKIP_DRAM_INIT,If lower bit is enabled the SDRAM initialization routine is skipped. The upper bit decides what state the controller starts up in when reset is removed. - 00 - SDRAM Initialization routine is run after power-up - 01 - SDRAM Initialization.." "SDRAM Initialization routine is run after power-up,SDRAM Initialization routine is skipped after..,?,?"
newline
hexmask.long.byte 0x0 26.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 16.--25. 1. "POST_CKE_X1024,Indicates the number of cycles to wait after driving CKE high to start the SDRAM initialization sequence. DDR2 typically requires a 400 ns delay requiring this value to be programmed to 2 at all clock speeds. LPDDR2/LPDDR3 typically.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "PRE_CKE_X1024,Indicates the number of cycles to wait after reset before driving CKE high to start the SDRAM initialization sequence. DDR2 specifications typically require this to be programmed for a delay of >= 200 us. LPDDR2/LPDDR3: tINIT1 of 100 ns.."
line.long 0x4 "INIT1,SDRAM Initialization Register 1"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--24. 1. "DRAM_RSTN_X1024,Indicates the number of cycles to assert SDRAM reset signal during init sequence. This is only present for designs supporting DDR3 DDR4 or LPDDR4 devices. For use with a Synopsys DDR PHY this must be set to a minimum of 1. When the.."
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "PRE_OCD_X32,Indicates the wait period before driving the OCD complete command to SDRAM. There is no known specific requirement for this; it may be set to zero. Unit: Multiples of 32 DFI clock cycles. For more information on how to program this register.."
line.long 0x8 "INIT2,SDRAM Initialization Register 2"
hexmask.long.word 0x8 16.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--15. 1. "IDLE_AFTER_RESET_X32,Indicates the idle time after the reset command tINIT4. Present only in designs configured to support LPDDR2. When the controller is operating in 1:2 frequency ratio mode program this to JEDEC spec value divided by 2 and round it.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "MIN_STABLE_CLOCK_X1,Indicates the time to wait after the first CKE high tINIT2. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 5 x tCK delay. When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xE4++0x3
line.long 0x0 "INIT5,SDRAM Initialization Register 5"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--23. 1. "DEV_ZQINIT_X32,ZQ initial calibration tZQINIT. Present only in designs configured to support DDR3 or DDR4 or LPDDR2/LPDDR3. DDR3 typically requires 512 SDRAM clock cycles. DDR4 requires 1024 SDRAM clock cycles. LPDDR2/LPDDR3 requires 1 us. When the.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "MAX_AUTO_INIT_X1024,Indicates the maximum duration of the auto initialization tINIT5. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 10 us. Unit: Multiples of 1024 DFI clock cycles. For more information on.."
group.long 0xF0++0x7
line.long 0x0 "DIMMCTL,DIMM Control Register"
hexmask.long.tbyte 0x0 15.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 14. "RCD_B_OUTPUT_DISABLED,Disables RCD outputs to B-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[3] before and after disabling CAL mode. It is recommended to set it to ~DIMMCTL.dimm_output_inv_en.." "Enable B outputs,Disable B outputs"
newline
bitfld.long 0x0 13. "RCD_A_OUTPUT_DISABLED,Disables RCD outputs to A-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[2] before and after disabling CAL mode. It is recommended to set it to 0 except for debug. - 1 -.." "Enable A outputs,Disable A outputs"
newline
bitfld.long 0x0 12. "RCD_WEAK_DRIVE,Indicates the weak drive mode to be set to the RCD. This field is used only when the uMCTL2 disables CAL mode. When weak drive mode in the RCD is enabled during initialization this field must be set to 1. When RCD is not used this field.." "Disable Weak Drive mode,Enable Weak Drive mode"
newline
hexmask.long.byte 0x0 7.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 6. "LRDIMM_BCOM_CMD_PROT,Protects the timing restrictions (tBCW/tMRC) between consecutive BCOM commands defined in the Data Buffer specification. When using DDR4 LRDIMM this bit must be set to 1. Otherwise this bit must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 5. "DIMM_DIS_BG_MIRRORING,Disables address mirroring for BG bits. When this is set to 1 BG0 and BG1 are NOT swapped even if Address Mirroring is enabled. This is required for DDR4 DIMMs with x16 devices. - 1 - BG0 and BG1 are NOT swapped - 0 - BG0 and BG1.." "BG0 and BG1 are swapped if address mirroring is..,BG0 and BG1 are NOT swapped"
newline
bitfld.long 0x0 4. "MRS_BG1_EN,Enable this field for BG1 bit of MRS command. BG1 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have BG1 are attached and both the CA.." "Disabled,Enabled"
newline
bitfld.long 0x0 3. "MRS_A17_EN,Enable this field for A17 bit of MRS command. A17 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have A17 are attached as DDR4 RDIMM/LRDIMM.." "Disabled,Enabled"
newline
bitfld.long 0x0 2. "DIMM_OUTPUT_INV_EN,Enables output inversion (for DDR4 RDIMM/LRDIMM implementations only). DDR4 RDIMM/LRDIMM implements the Output Inversion feature by default which means that the following address bank address and bank group bits of B-side DRAMs are.." "Do not implement output inversion for B-side DRAMs,Implement output inversion for B-side DRAMs"
newline
bitfld.long 0x0 1. "DIMM_ADDR_MIRR_EN,Enables address mirroring (for multi-rank UDIMM implementations and multi-rank DDR4 RDIMM/LRDIMM implementations). Some UDIMMs and DDR4 RDIMMs/LRDIMMs implement address mirroring for odd ranks which means that the following address.." "Do not implement address mirroring,For odd ranks"
newline
bitfld.long 0x0 0. "DIMM_STAGGER_CS_EN,Staggering enable for multi-rank accesses (for multi-rank UDIMM RDIMM and LRDIMM implementations only). This is not supported for mDDR LPDDR2 LPDDR3 or LPDDR4 SDRAMs. Note: Even if this bit is set it does not take care of software.." "Do not stagger accesses,?"
line.long 0x4 "RANKCTL,Rank Control Register"
hexmask.long.byte 0x4 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
rbitfld.long 0x4 25. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x4 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x4 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,SDRAM Timing Register 0"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,SDRAM Timing Register 1"
hexmask.long.word 0x4 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
rbitfld.long 0x4 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,SDRAM Timing Register 2"
rbitfld.long 0x8 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
rbitfld.long 0x8 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
rbitfld.long 0x8 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,SDRAM Timing Register 3"
rbitfld.long 0xC 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
rbitfld.long 0xC 18.--19. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
rbitfld.long 0xC 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,SDRAM Timing Register 4"
rbitfld.long 0x10 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,SDRAM Timing Register 5"
hexmask.long.byte 0x14 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
rbitfld.long 0x14 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
rbitfld.long 0x14 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,SDRAM Timing Register 6"
hexmask.long.byte 0x18 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.word 0x18 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,SDRAM Timing Register 7"
hexmask.long.tbyte 0x1C 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,SDRAM Timing Register 8"
rbitfld.long 0x20 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
rbitfld.long 0x20 23. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
rbitfld.long 0x20 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
rbitfld.long 0x20 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,SDRAM Timing Register 9"
rbitfld.long 0x24 31. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
hexmask.long.word 0x24 19.--29. 1. "RESERVED,Reserved"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
rbitfld.long 0x24 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,SDRAM Timing Register 10"
hexmask.long.word 0x28 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
rbitfld.long 0x28 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
hexmask.long.byte 0x28 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,SDRAM Timing Register 11"
rbitfld.long 0x2C 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
rbitfld.long 0x2C 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
hexmask.long.byte 0x2C 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
rbitfld.long 0x2C 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,SDRAM Timing Register 12"
rbitfld.long 0x30 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
hexmask.long.byte 0x30 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.word 0x30 5.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,SDRAM Timing Register 13"
rbitfld.long 0x34 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
rbitfld.long 0x34 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
hexmask.long.word 0x34 3.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,SDRAM Timing Register 14"
hexmask.long.tbyte 0x38 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.tbyte 0x3C 8.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0xB
line.long 0x0 "ZQCTL0,ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
rbitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x4 "ZQCTL1,ZQ Control Register 1"
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0x4 20.--29. 1. "T_ZQ_RESET_NOP,tZQReset: Number of DFI clock cycles of NOP required after a ZQReset (ZQ calibration Reset) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode program this to tZQReset/2 and round it up to the next.."
newline
hexmask.long.tbyte 0x4 0.--19. 1. "T_ZQ_SHORT_INTERVAL_X1024,Indicates the average interval to wait between automatically issuing ZQCS (ZQ calibration short)/MPC(ZQ calibration) commands to DDR3/DDR4/LPDDR2/LPDDR3/LPDDR4 devices. Meaningless if ZQCTL0.dis_auto_zq=1. This is only present.."
line.long 0x8 "ZQCTL2,ZQ Control Register 2"
hexmask.long 0x8 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 0. "ZQ_RESET,Setting this register bit to 1 triggers a ZQ Reset operation. When the ZQ Reset operation is complete the uMCTL2 automatically clears this bit. It is recommended NOT to set this register bit if in Init in self-refresh(except LPDDR4) or.." "0,1"
rgroup.long 0x18C++0x3
line.long 0x0 "ZQSTAT,ZQ Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ZQ_RESET_BUSY,SoC might initiate a ZQ Reset operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQ Reset request. It goes low when the ZQ Reset command is issued to the SDRAM and the associated NOP period.." "Indicates that the SoC can initiate a ZQ Reset..,Indicates that ZQ Reset operation is in progress"
group.long 0x190++0x1B
line.long 0x0 "DFITMG0,DFI Timing Register 0"
rbitfld.long 0x0 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
rbitfld.long 0x4 26.--27. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
rbitfld.long 0x4 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
rbitfld.long 0x4 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
rbitfld.long 0x4 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
line.long 0x8 "DFILPCFG0,DFI Low Power Configuration Register 0"
rbitfld.long 0x8 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--28. 1. "DFI_TLP_RESP,Indicates the setting in DFI clock cycles for DFI's tlp_resp time. Same value is used for both Power Down self-refresh Deep Power Down and Maximum Power Saving modes. For more information on recommended values see PHY databook Unit: DFI.."
newline
hexmask.long.byte 0x8 20.--23. 1. "DFI_LP_WAKEUP_DPD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Deep Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256.."
newline
rbitfld.long 0x8 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 16. "DFI_LP_EN_DPD,Enables DFI Low Power interface handshaking during Deep Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting mDDR or LPDDR2/LPDDR3 devices. Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 12.--15. 1. "DFI_LP_WAKEUP_SR,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when self-refresh mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles.."
newline
rbitfld.long 0x8 9.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 8. "DFI_LP_EN_SR,Enables DFI Low Power interface handshaking during self-refresh Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 4.--7. 1. "DFI_LP_WAKEUP_PD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles -.."
newline
rbitfld.long 0x8 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "DFI_LP_EN_PD,Enables DFI Low Power interface handshaking during Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
line.long 0xC "DFILPCFG1,DFI Low Power Configuration Register 1"
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0xC 4.--7. 1. "DFI_LP_WAKEUP_MPSM,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Maximum Power Saving Mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 -.."
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "DFI_LP_EN_MPSM,Enables DFI Low Power interface handshaking during Maximum Power Saving Mode Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting DDR4 devices. Programming Mode: Static" "Disabled,Enabled"
line.long 0x10 "DFIUPD0,DFI Update Register 0"
bitfld.long 0x10 31. "DIS_AUTO_CTRLUPD,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2. The controller must issue the dfi_ctrlupd_req signal using register DBGCMD.ctrlupd. When '0' uMCTL2 issues dfi_ctrlupd_req periodically. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 30. "DIS_AUTO_CTRLUPD_SRX,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2 at self-refresh exit. When '0' uMCTL2 issues a dfi_ctrlupd_req before or after exiting self-refresh depending on DFIUPD0.ctrlupd_pre_srx. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 29. "CTRLUPD_PRE_SRX,Selects dfi_ctrlupd_req requirements at SRX: - 0 - Send ctrlupd after SRX - 1 - Send ctrlupd before SRX If DFIUPD0.dis_auto_ctrlupd_srx=1 this register has no impact because no dfi_ctrlupd_req is issued when SRX. Programming Mode: Static" "Send ctrlupd after SRX,Send ctrlupd before SRX"
newline
rbitfld.long 0x10 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x10 16.--25. 1. "DFI_T_CTRLUP_MAX,Specifies the maximum number of DFI clock cycles that the dfi_ctrlupd_req signal can assert. Lowest value to assign to this variable is 0x40. Unit: DFI clock cycles. Programming Mode: Static"
newline
hexmask.long.byte 0x10 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x10 0.--9. 1. "DFI_T_CTRLUP_MIN,Specifies the minimum number of DFI clock cycles that the dfi_ctrlupd_req signal must be asserted. The uMCTL2 expects the PHY to respond within this time. If the PHY does not respond the uMCTL2 de-asserts dfi_ctrlupd_req after.."
line.long 0x14 "DFIUPD1,DFI Update Register 1"
hexmask.long.byte 0x14 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--23. 1. "DFI_T_CTRLUPD_INTERVAL_MIN_X1024,This is the minimum amount of time between uMCTL2 initiated DFI update requests (which is executed whenever the uMCTL2 is idle). Set this number higher to reduce the frequency of update requests which can have a small.."
newline
hexmask.long.byte 0x14 8.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 0.--7. 1. "DFI_T_CTRLUPD_INTERVAL_MAX_X1024,This is the maximum amount of time between uMCTL2 initiated DFI update requests. This timer resets with each update request; when the timer expires dfi_ctrlupd_req is sent and traffic is blocked until the dfi_ctrlupd_ackx.."
line.long 0x18 "DFIUPD2,DFI Update Register 2"
bitfld.long 0x18 31. "DFI_PHYUPD_EN,Enables the support for acknowledging PHY-initiated updates: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long 0x18 0.--30. 1. "RESERVED,Reserved"
group.long 0x1B0++0xB
line.long 0x0 "DFIMISC,DFI Miscellaneous Control Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--12. 1. "DFI_FREQUENCY,Indicates the operating frequency of the system. The number of supported frequencies and the mapping of signal values to clock frequencies are defined by the PHY. Programming Mode: Quasi-dynamic Group 1"
newline
bitfld.long 0x0 7. "LP_OPTIMIZED_WRITE,If this bit is 1 LPDDR4 write DQ is set to 8'hF8 if masked write with enabling DBI; otherwise that value is set to 8'hFF. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 6. "DIS_DYN_ADR_TRI,If this bit is 1 PHY specific Dynamic Tristating which is a specific feature to certain Synopsys PHYs is disabled. If this bit is 0 a special IDLE command is issued on the DFI while dfi_cs is inactive state so that the PHY can detect.." "?,phase 0 and 1) dfi_we_n= 1"
newline
bitfld.long 0x0 5. "DFI_INIT_START,PHY init start request signal.When asserted it triggers the PHY init start request. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "CTL_IDLE_EN,Enables support of ctl_idle signal which is non-DFI related pin specific to certain Synopsys PHYs. For more information on ctl_idle functionality see signal description of ctl_idle signal. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "DFI_DATA_CS_POLARITY,Defines polarity of dfi_wrdata_cs and dfi_rddata_cs signals. - 0 - Signals are active low - 1 - Signals are active high Programming Mode: Static" "Signals are active low,Signals are active high"
newline
bitfld.long 0x0 1. "PHY_DBI_MODE,DBI implemented in DDRC or PHY. - 0 - DDRC implements DBI functionality - 1 - PHY implements DBI functionality Present only in designs configured to support DDR4 and LPDDR4. Programming Mode: Static" "DDRC implements DBI functionality,PHY implements DBI functionality"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE_EN,PHY initialization complete enable signal. When asserted the dfi_init_complete signal can be used to trigger SDRAM initialisation Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "DFITMG2,DFI Timing Register 2"
hexmask.long.tbyte 0x4 15.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x8 "DFITMG3,DFI Timing Register 3"
hexmask.long 0x8 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
rgroup.long 0x1BC++0x3
line.long 0x0 "DFISTAT,DFI Status Register"
hexmask.long 0x0 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "DFI_LP_ACK,Stores the value of the dfi_lp_ack input to the controller. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE,This a status flag register which announces when the DFI initialization has been completed. The DFI INIT triggered by dfi_init_start signal and then the dfi_init_complete flag is polled to know when the initialization is done." "0,1"
group.long 0x1C0++0x7
line.long 0x0 "DBICTL,DM/DBI Control Register"
hexmask.long 0x0 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "RD_DBI_EN,Read DBI enable signal in DDRC. - 0 - Read DBI is disabled - 1 - Read DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A12. When x4 devices are used this signal must be set to 0 - LPDDR4 - MR3[6].." "LPDDR4,Read DBI is enabled"
newline
bitfld.long 0x0 1. "WR_DBI_EN,Write DBI enable signal in DDRC. - 0 - Write DBI is disabled - 1 - Write DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A11. When x4 devices are used this signal must be set to 0 - LPDDR4 -.." "LPDDR4,Write DBI is enabled"
newline
bitfld.long 0x0 0. "DM_EN,Indicates the DM enable signal in DDRC. - 0 - DM is disabled - 1 - DM is enabled This signal must be set the same logical value as DRAM's mode register. - DDR4 - Set this to same value as MR5 bit A10. When x4 devices are used this signal must be.." "LPDDR4,DM is enabled"
line.long 0x4 "DFIPHYMSTR,DFI PHY Master"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DFI_PHYMSTR_EN,Enables the PHY Master Interface: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
group.long 0x200++0x13
line.long 0x0 "ADDRMAP0,Address Map Register 0"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--4. 1. "ADDRMAP_CS_BIT0,Selects the HIF address bit used as rank address bit 0. Valid Range: 0 to 29 and 31 Internal Base: 6 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 31 and then rank.."
line.long 0x4 "ADDRMAP1,Address Map Register 1"
hexmask.long.word 0x4 22.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--21. 1. "ADDRMAP_BANK_B2,Selects the HIF address bit used as bank address bit 2. Valid Range: 0 to 31 and 63 Internal Base: 4 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 63 and then bank.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "ADDRMAP_BANK_B1,Selects the HIF address bits used as bank address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "ADDRMAP_BANK_B0,Selects the HIF address bits used as bank address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
line.long 0x8 "ADDRMAP2,Address Map Register 2"
hexmask.long.byte 0x8 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 24.--27. 1. "ADDRMAP_COL_B5,- Full bus width mode - Selects the HIF address bit used as column address bit 5 - Half bus width mode - Selects the HIF address bit used as column address bit 6 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--19. 1. "ADDRMAP_COL_B4,- Full bus width mode - Selects the HIF address bit used as column address bit 4 - Half bus width mode - Selects the HIF address bit used as column address bit 5 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0x8 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 8.--12. 1. "ADDRMAP_COL_B3,- Full bus width mode - Selects the HIF address bit used as column address bit 3 - Half bus width mode - Selects the HIF address bit used as column address bit 4 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "ADDRMAP_COL_B2,- Full bus width mode - Selects the HIF address bit used as column address bit 2 - Half bus width mode - Selects the HIF address bit used as column address bit 3 - Quarter bus width mode - Selects the HIF address bit used as column address.."
line.long 0xC "ADDRMAP3,Address Map Register 3"
rbitfld.long 0xC 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 24.--28. 1. "ADDRMAP_COL_B9,- Full bus width mode - Selects the HIF address bit used as column address bit 9 - Half bus width mode - Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Quarter bus width mode - Selects the HIF.."
newline
rbitfld.long 0xC 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 16.--20. 1. "ADDRMAP_COL_B8,- Full bus width mode - Selects the HIF address bit used as column address bit 8 - Half bus width mode - Selects the HIF address bit used as column address bit 9 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 8.--12. 1. "ADDRMAP_COL_B7,- Full bus width mode - Selects the HIF address bit used as column address bit 7 - Half bus width mode - Selects the HIF address bit used as column address bit 8 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 0.--4. 1. "ADDRMAP_COL_B6,- Full bus width mode - Selects the HIF address bit used as column address bit 6. - Half bus width mode - Selects the HIF address bit used as column address bit 7. - Quarter bus width mode - Selects the HIF address bit used as column.."
line.long 0x10 "ADDRMAP4,Address Map Register 4"
bitfld.long 0x10 31. "COL_ADDR_SHIFT,The register provides a capability to map column address to lower HIF address in specific cases required by inline ECC configuration. - If it is 1 internal base of all the column address can be -2 to make mapping range of column address.." "0,1"
newline
hexmask.long.tbyte 0x10 13.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--12. 1. "ADDRMAP_COL_B11,- Full bus width mode - Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Half bus width mode - UNUSED. See later in this description for value you need to set to make it unused - Quarter bus width.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "ADDRMAP_COL_B10,- Full bus width mode: Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Half bus width mode: Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Quarter bus width.."
repeat 3. (list 0x5 0x9 0xA )(list 0x0 0x10 0x14 )
group.long ($2+0x214)++0x3
line.long 0x0 "ADDRMAP$1,Address Map Register 5"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B11,Selects the HIF address bit used as row address bit 11. Valid Range: 0 to 11 and 15 Internal Base: 17 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B2_10,Selects the HIF address bits used as row address bits 2 to 10. Valid Range: 0 to 11 and 15 Internal Base: 8 (for row address bit 2) 9 (for row address bit 3) 10 (for row address bit 4) and so on increasing to 16 (for row address bit.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B1,Selects the HIF address bits used as row address bit 1. Valid Range: 0 to 11 Internal Base: 7 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B0,Selects the HIF address bits used as row address bit 0. Valid Range: 0 to 11 Internal Base: 6 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
repeat.end
group.long 0x218++0xB
line.long 0x0 "ADDRMAP6,Address Map Register 6"
bitfld.long 0x0 31. "LPDDR3_6GB_12GB,Set this to 1 if there is an LPDDR3 SDRAM 6Gb or 12Gb device in use. - 1 - LPDDR3 SDRAM 6Gb/12Gb device in use. Every address having row[14:13]==2'b11 is considered as invalid - 0 - non-LPDDR3 6Gb/12Gb device in use. All addresses are.." "non-LPDDR3 6Gb/12Gb device in use,LPDDR3 SDRAM 6Gb/12Gb device in use"
newline
bitfld.long 0x0 29.--30. "LPDDR4_3GB_6GB_12GB,Indicates what type of LPDDR4 SDRAM device is in use. Here the density size is per channel. - 2'b00 - No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use. All addresses are valid - 2'b01 - LPDDR4 SDRAM 3Gb device with x16 mode in use. Every.." "No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use,LPDDR4 SDRAM 3Gb device with x16 mode in use,LPDDR4 SDRAM 6Gb device with x16 mode or 3Gb..,LPDDR4 SDRAM 12Gb device with x16 mode or 6Gb.."
newline
rbitfld.long 0x0 28. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B15,Selects the HIF address bit used as row address bit 15. Valid Range: 0 to 11 and 15 Internal Base: 21 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B14,Selects the HIF address bit used as row address bit 14. Valid Range: 0 to 11 and 15 Internal Base: 20 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B13,Selects the HIF address bit used as row address bit 13. Valid Range: 0 to 11 and 15 Internal Base: 19 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B12,Selects the HIF address bit used as row address bit 12. Valid Range: 0 to 11 and 15 Internal Base: 18 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x4 "ADDRMAP7,Address Map Register 7"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ADDRMAP_ROW_B17,Selects the HIF address bit used as row address bit 17. Valid Range: 0 to 11 and 15 Internal Base: 23 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x4 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "ADDRMAP_ROW_B16,Selects the HIF address bit used as row address bit 16. Valid Range: 0 to 11 and 15 Internal Base: 22 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x8 "ADDRMAP8,Address Map Register 8"
hexmask.long.tbyte 0x8 14.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--13. 1. "ADDRMAP_BG_B1,Selects the HIF address bits used as bank group address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "ADDRMAP_BG_B0,Selects the HIF address bits used as bank group address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
group.long 0x22C++0x3
line.long 0x0 "ADDRMAP11,Address Map Register 11"
hexmask.long 0x0 4.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B10,Selects the HIF address bits used as row address bit 10. Valid Range: 0 to 11 Internal Base: 16 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. This.."
group.long 0x240++0x7
line.long 0x0 "ODTCFG,ODT Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
rbitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "ODTMAP,ODT/Rank Map Register"
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12.--13. "RANK1_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "RANK1_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 4.--5. "RANK0_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "RANK0_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
group.long 0x250++0x7
line.long 0x0 "SCHED,Scheduler Control Register"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "RDWR_IDLE_GAP,When the preferred transaction store is empty for these many clock cycles switch to the alternate transaction store if it is non-empty. The read transaction store (both high and low priority) is the default preferred transaction store and.."
newline
hexmask.long.byte 0x0 16.--23. 1. "GO2CRITICAL_HYSTERESIS,UNUSED. Programming Mode: Static"
newline
bitfld.long 0x0 15. "LPDDR4_OPT_ACT_TIMING,Optimized ACT timing control for LPDDR4. In LPDDR4 RD/WR/ACT takes 4 cycle. To stream Read/Write there are only 4 cycle space between Reads/Writes. If ACT is scheduled-out after RD/WR with 1 2 or 3 cycle gap next RD/WR may be.." "Disable this feature,Enable this feature"
newline
rbitfld.long 0x0 13.--14. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--12. 1. "LPR_NUM_ENTRIES,Number of entries in the low priority transaction store is this value + 1. (MEMC_NO_OF_ENTRY - (SCHED.lpr_num_entries + 1)) is the number of entries available for the high priority transaction store. Setting this to maximum value.."
newline
bitfld.long 0x0 7. "AUTOPRE_RMW,Selects behavior of hif_cmd_autopre if a RMW is received on HIF with hif_cmd_autopre=1 - 1 - Apply Autopre only for write part of RMW - 0 - Apply Autopre for both read and write parts of RMW Programming Mode: Static" "Apply Autopre for both read and write parts of RMW,Apply Autopre only for write part of RMW"
newline
hexmask.long.byte 0x0 3.--6. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "PAGECLOSE,If true bank is kept open only while there are page hit transactions available in the CAM to that bank. The last read or write command in the CAM with a bank and page hit is executed with auto-precharge if SCHED1.pageclose_timer=0. Even if.." "0,1"
newline
bitfld.long 0x0 1. "PREFER_WRITE,If set then the bank selector prefers writes over reads. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 0. "RESERVED,Reserved" "0,1"
line.long 0x4 "SCHED1,Scheduler Control Register 1"
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--7. 1. "PAGECLOSE_TIMER,This field works in conjunction with SCHED.pageclose.It only has meaning if SCHED.pageclose==1. If SCHED.pageclose==1 and pageclose_timer==0 then an auto-precharge may be scheduled for last read or write command in the CAM with a bank.."
group.long 0x25C++0x3
line.long 0x0 "PERFHPR1,High Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "HPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the HPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "HPR_MAX_STARVE,Indicates the number of DFI clocks that the HPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x264++0x3
line.long 0x0 "PERFLPR1,Low Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "LPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the LPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "LPR_MAX_STARVE,Indicates the number of DFI clocks that the LPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x26C++0x3
line.long 0x0 "PERFWR1,Write CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "W_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the WR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode: Quasi-dynamic.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "W_MAX_STARVE,Indicates the number of DFI clocks that the WR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x300++0x7
line.long 0x0 "DBG0,Debug Register 0"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "DIS_MAX_RANK_WR_OPT,Indicates the disable optimized max_rank_wr and max_logical_rank_wr feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 6. "DIS_MAX_RANK_RD_OPT,Indicates the disable optimized max_rank_rd and max_logical_rank_rd feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_COLLISION_PAGE_OPT,When this is set to '0' auto-precharge is disabled for the flushed command in a collision case. Collision cases are write followed by read to same address read followed by write to same address or write followed by write to same.." "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "DIS_WC,When 1 disable write combine. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
line.long 0x4 "DBG1,Debug Register 1"
hexmask.long 0x4 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 1. "DIS_HIF,When 1 uMCTL2 asserts the HIF command signal hif_cmd_stall. uMCTL2 ignores the hif_cmd_valid and all other associated request signals. This bit is intended to be switched on-the-fly. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x4 0. "DIS_DQ,When 1 uMCTL2 does not de-queue any transactions from the CAM. Bypass is also disabled. All transactions are queued in the CAM. No reads or writes are issued to SDRAM as long as this is asserted. This bit may be used to prevent reads or writes.." "0,1"
rgroup.long 0x308++0x3
line.long 0x0 "DBGCAM,CAM Debug Register"
bitfld.long 0x0 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 29. "WR_DATA_PIPELINE_EMPTY,This bit indicates that the write data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 28. "RD_DATA_PIPELINE_EMPTY,This bit indicates that the read data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 26. "DBG_WR_Q_EMPTY,When 1 all the Write command queues and Write data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 25. "DBG_RD_Q_EMPTY,When 1 all the Read command queues and Read data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 24. "DBG_STALL,Stall. FOR DEBUG ONLY. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "DBG_W_Q_DEPTH,This field indicates the Write queue depth. The last entry of WR queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--13. 1. "DBG_LPR_Q_DEPTH,This field indicates the low priority read queue depth. The last entry of Lpr queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_HPR_Q_DEPTH,This field indicates the high priority read queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x30C++0x3
line.long 0x0 "DBGCMD,Command Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD,Setting this register bit to 1 indicates to the uMCTL2 to issue a dfi_ctrlupd_req to the PHY. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation must only be performed when DFIUPD0.dis_auto_ctrlupd=1." "0,1"
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT,Setting this register bit to 1 indicates to the uMCTL2 to issue a ZQCS (ZQ calibration short)/MPC(ZQ calibration) command to the SDRAM. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation can be.." "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 1. Writing to this bit causes DBGSTAT.rank1_refresh_busy to be set. When DBGSTAT.rank1_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
newline
bitfld.long 0x0 0. "RANK0_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 0. Writing to this bit causes DBGSTAT.rank0_refresh_busy to be set. When DBGSTAT.rank0_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
rgroup.long 0x310++0x3
line.long 0x0 "DBGSTAT,Status Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD_BUSY,SoC might initiate a ctrlupd operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ctrlupd request. It goes low when the ctrlupd operation is initiated in the uMCTL2. It is recommended not to.." "Indicates that the SoC can initiate a ctrlupd..,Indicates that ctrlupd operation has not been.."
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT_BUSY,SoC might initiate a ZQCS (ZQ calibration short) operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQCS request. It goes low when the ZQCS operation is initiated in the uMCTL2. It is.." "Indicates that the SoC can initiate a ZQCS..,Indicates that ZQCS operation has not been.."
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH_BUSY,SoC might initiate a rank1_refresh operation (refresh operation to rank 1) only if this signal is low. This signal goes high in the clock after DBGCMD.rank1_refresh is set to one. It goes low when the rank1_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank1_refresh operation has not.."
newline
bitfld.long 0x0 0. "RANK0_REFRESH_BUSY,SoC might initiate a rank0_refresh operation (refresh operation to rank 0) only if this signal is low. This signal goes high in the clock after DBGCMD.rank0_refresh is set to one. It goes low when the rank0_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank0_refresh operation has not.."
rgroup.long 0x318++0x3
line.long 0x0 "DBGCAM1,CAM Debug Register 1"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_WRECC_Q_DEPTH,This field indicates the write ECC queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x320++0x3
line.long 0x0 "SWCTL,Software Register Programming Control Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE,Enables quasi-dynamic register programming outside reset. Program this register to 0 to enable quasi-dynamic programming. Set back register to 1 once programming is done. Programming Mode: Dynamic" "0,1"
rgroup.long 0x324++0x3
line.long 0x0 "SWSTAT,Software Register Programming Control Status"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE_ACK,Register programming done. This register is the echo of SWCTL.sw_done. Wait for sw_done value 1 to propagate to sw_done_ack at the end of the programming sequence to ensure that the correct registers values are propagated to the destination.." "0,1"
group.long 0x328++0x3
line.long 0x0 "SWCTLSTATIC,Static Registers Write Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_STATIC_UNLOCK,Enables static register programming outside reset. Program this register to 1 to enable static register programming. Set register back to 0 once programming is done. Programming Mode: Dynamic" "0,1"
group.long 0x330++0x7
line.long 0x0 "OCPARCFG0,On-Chip Parity Configuration Register 0"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "PAR_RADDR_ERR_INTR_FORCE,Interrupt force bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 25. "PAR_WADDR_ERR_INTR_FORCE,Interrupt force bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 24. "PAR_RADDR_ERR_INTR_CLR,Interrupt clear bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 23. "PAR_RADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_raddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22. "PAR_WADDR_ERR_INTR_CLR,Interrupt clear bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 21. "PAR_WADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_waddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 20. "PAR_ADDR_SLVERR_EN,Enables SLVERR generation on read response or write response when address parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 16.--19. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 15. "PAR_RDATA_ERR_INTR_FORCE,Interrupt force bit for all par_rdata_err_intr_n and par_rdata_in_err_ecc_intr (Inline-ECC only). uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 14. "PAR_RDATA_ERR_INTR_CLR,Interrupt clear bit for par_rdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 13. "PAR_RDATA_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_rdata_err_intr_n upon detection of parity error at the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 12. "PAR_RDATA_SLVERR_EN,Enables SLVERR generation on read response when read data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "PAR_WDATA_ERR_INTR_FORCE,Interrupt force bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 6. "PAR_WDATA_ERR_INTR_CLR,Interrupt clear bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 5. "PAR_WDATA_SLVERR_EN,Enables SLVERR generation on write response when write data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "PAR_WDATA_ERR_INTR_EN,Enables write data interrupt generation (par_wdata_err_intr) upon detection of parity error at the AXI or DFI interface. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "OC_PARITY_TYPE,Parity type: - 0 - Even parity - 1 - Odd parity Programming Mode: Quasi-dynamic Group 3" "Even parity,Odd parity"
newline
bitfld.long 0x0 0. "OC_PARITY_EN,Parity enable register. Enables On-Chip parity for all interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "OCPARCFG1,On-Chip Parity Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "PAR_POISON_LOC_WR_PORT,Enables parity poisoning on write data at the AXI interface before the input parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Programming Mode:.."
newline
hexmask.long.byte 0x4 4.--7. 1. "PAR_POISON_LOC_RD_PORT,Enables parity poisoning on read data at the AXI interface after the parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Error can be injected to one port at.."
newline
bitfld.long 0x4 3. "PAR_POISON_LOC_RD_IECC_TYPE,Selects which parity to poison at the DFI when inline ECC is enabled. If this register is set to 0 parity error is injected on the first read data going through the ECC path. If this register is set to 1 parity error is.." "0,1"
newline
bitfld.long 0x4 2. "PAR_POISON_LOC_RD_DFI,Enables parity poisoning on read data at the DFI interface after the parity generation logic. When MEMC_INLINE_ECC=1 enables poisoning of ECC word after the ECC encoder at the write data interface at the DFI. Programming Mode:.." "0,1"
newline
rbitfld.long 0x4 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 0. "PAR_POISON_EN,Enables on-chip parity poisoning on the data interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x338)++0x3
line.long 0x0 "OCPARSTAT$1,On-Chip Parity Status Register 0"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "PAR_RADDR_ERR_INTR_0,Read address parity error interrupt for port 0. This interrupt is asserted when an on-chip read address parity error occurred on the corresponding AXI port's read address channel. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "PAR_WADDR_ERR_INTR_0,Write address parity error interrupt for port 0. This interrupt is asserted when an on-chip write address parity error occurred on the corresponding AXI port's write address channel. Programming Mode: Static" "0,1"
repeat.end
rgroup.long 0x340++0x3
line.long 0x0 "OCPARSTAT2,On-Chip Parity Status Register 2"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4. "PAR_RDATA_IN_ERR_ECC_INTR,Interrupt on ECC data going into inline ECC decoder. Cleared by par_rdata_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "PAR_WDATA_OUT_ERR_INTR,Write data parity error interrupt on output. Cleared by register par_wdata_err_intr_clr. Programming Mode: Static" "0,1,2,3"
group.long 0x36C++0x3
line.long 0x0 "POISONCFG,AXI Poison Configuration Register. Common for all AXI ports."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "RD_POISON_INTR_CLR,Interrupt clear for read transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "RD_POISON_INTR_EN,If set to 1 enables interrupts for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 16. "RD_POISON_SLVERR_EN,If set to 1 enables SLVERR response for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "WR_POISON_INTR_CLR,Interrupt clear for write transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "WR_POISON_INTR_EN,If set to 1 enables interrupts for write transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "WR_POISON_SLVERR_EN,If set to 1 enables SLVERR response for write transaction poisoning. Programming Mode: Dynamic" "0,1"
rgroup.long 0x370++0x3
line.long 0x0 "POISONSTAT,AXI Poison Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "RD_POISON_INTR_0,Read transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's read address channel. Bit 0.." "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "WR_POISON_INTR_0,Write transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's write address channel. Bit.." "0,1"
group.long 0x374++0x3
line.long 0x0 "ADVECCINDEX,Advanced ECC Index Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 5.--8. 1. "ECC_POISON_BEATS_SEL,Selector of which DRAM beat's poison pattern is set by ECCPOISONPAT0/1/2 registers. For frequency ratio 1:1 mode 2 DRAM beats can be poisoned. Set ecc_poison_beats_sel to 0 and given ECCPOISONPAT0/1/2 to set 1st beat's poison.."
newline
bitfld.long 0x0 3.--4. "ECC_ERR_SYMBOL_SEL,Selector of which error symbol's status output to ADVECCSTAT.advecc_err_symbol_pos and advecc_err_symbol_bits. The default is first error symbol. The value must be less than ADVECCSTAT.advecc_num_err_symbol. Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 0.--2. "ECC_SYNDROME_SEL,Selector of which DRAM beat data output to ECCCSYN0/1/2 as well as ECCUCSYN. In Advanced ECC the syndrome consists of number of DRAM beats. This register selects which beats of data is output to ECCCSYN0/1/2 and ECCUCSYN0/1/2 registers." "0,1,2,3,4,5,6,7"
group.long 0x37C++0x3
line.long 0x0 "ECCPOISONPAT0,ECC Poison Pattern 0 Register"
hexmask.long 0x0 0.--31. 1. "ECC_POISON_DATA_31_0,Indicates the poison pattern for DRAM data[31:0]. setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
group.long 0x384++0x3
line.long 0x0 "ECCPOISONPAT2,ECC Poison Pattern 2 Register"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_POISON_DATA_71_64,Indicates the poison pattern for DRAM data[71:64]. Setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
rgroup.long 0x388++0x3
line.long 0x0 "ECCAPSTAT,Address protection within ECC Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ECC_AP_ERR,Indicates the number of ECC errors (correctable/uncorrectable) within one burst exceeded the threshold(ECCCFG0.ecc_ap_err_threshold). Programming Mode: Dynamic" "0,1"
group.long 0x3C0++0x3
line.long 0x0 "REGPARCFG,Register Parity Configuration Register (Note that all fields must be programmed with single write operation)."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "REG_PAR_POISON_EN,Enable Register Parity poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 3. "REG_PAR_ERR_INTR_FORCE,Interrupt force bit for reg_par_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 2. "REG_PAR_ERR_INTR_CLR,Interupt clear bit for reg_par_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "REG_PAR_ERR_INTR_EN,Enables interrupt generation if set to 1 on signal reg_par_err_intr upon detection of register parity error. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "REG_PAR_EN,Register Parity enable register. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3C4++0x3
line.long 0x0 "REGPARSTAT,Register Parity Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "REG_PAR_ERR_INTR,Interrupt asserted when Register Parity error is detected. Cleared by setting REGPARCFG.reg_par_err_intr_clr to 1. Programming Mode: Static" "0,1"
group.long 0x3E0++0x3
line.long 0x0 "OCCAPCFG,On-Chip command/Address Protection Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 27. "OCCAP_ARB_RAQ_POISON_EN,Enables poisoning for the Read Address Queues (RAQ) inside each XPI. Poisoning inverts all parity bits generated by the parity generator. Error is flagged as soon as the first RAQ is read. This register is not cleared.." "0,1"
newline
bitfld.long 0x0 26. "OCCAP_ARB_CMP_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP Arbiter logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT,OCCAPSTAT"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL,Enables full poisoning for compare logic inside XPI. Poisoning inverts all bits of all outputs coming from the duplicated modules before the XOR comparators together. uMCTL2 automatically clears this bit. Programming Mode:.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ,Enables poisoning for compare logic inside XPI. Poisoning inverts all bits coming from the duplicated modules before the XOR comparators one output at the time per each comparator. uMCTL2 automatically clears this bit." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_ARB_INTR_FORCE,Interrupt force bit for occap_arb_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_ARB_INTR_CLR,Interrupt clear bit for occap_arb_err_intr and occap_arb_cmp_poison_complete. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_INTR_EN,Enables interrupt generation upon detection of OCCAP Arbiter errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "OCCAP_EN,On Chip Command/Address Path Protection (OCCAP) enable register. Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x3E4++0x3
line.long 0x0 "OCCAPSTAT,On-Chip command/Address Protection Status Register"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL_ERR,Error when occap_arb_cmp_poison_full_en is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_arb_cmp_poison_full_en. It.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ_ERR,Error when occap_arb_cmp_poison_en is active due to incorrect number of errors being occurring. Internal logic checks that the correct number of errors detected while poisoning one output at the time occurred for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_ARB_CMP_POISON_COMPLETE,OCCAP ARB comparator poisoning complete interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_ERR_INTR,OCCAP Arbiter error interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "RESERVED,Reserved"
group.long 0x3E8++0x3
line.long 0x0 "OCCAPCFG1,On-Chip command/Address Protection Configuration Register 1"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "OCCAP_DDRC_CTRL_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC CTRL logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_DDRC_CTRL_INTR_FORCE,Interrupt force bit for occap_ddrc_ctrl_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_INTR_CLR,Interrupt clear bit for occap_ddrc_ctrl_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_INTR_EN,Enables interrupt generation on signal occap_ddrc_ctrl_err_intr upon detection of OCCAP DDRC CTRL errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "OCCAP_DDRC_DATA_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC DATA logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of.." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of instance[0] of.." "0,1"
newline
hexmask.long.byte 0x0 3.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "OCCAP_DDRC_DATA_INTR_FORCE,Interrupt force bit for occap_ddrc_data_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_INTR_CLR,Interrupt clear bit for occap_ddrc_data_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_INTR_EN,Enables interrupt generation on signal occap_ddrc_data_err_intr upon detection of OCCAP DDRC DATA errors. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3EC++0x7
line.long 0x0 "OCCAPSTAT1,On-Chip command/Address Protection Status Register 1"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL_ERR,Error when occap_ddrc_ctrl_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_parallel." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ_ERR,Error when occap_ddrc_ctrl_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_POISON_COMPLETE,Indicates the OCCAP DDRC CTRL poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_ERR_INTR,Indicates the OCCAP DDRC CTRL error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL_ERR,Error when occap_ddrc_data_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_parallel." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ_ERR,Error when occap_ddrc_data_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_POISON_COMPLETE,Indicates the OCCAP DDRC DATA poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_ERR_INTR,Indicates the OCCAP DDRC DATA error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
line.long 0x4 "DERATESTAT,Temperature Derate Status Register"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DERATE_TEMP_LIMIT_INTR,Derate temperature interrupt indicating LPDDR2/3/4 SDRAM temperature operating limit is exceeded. This register field is set to 1 when the value read from MR4[2:0] is 3'b000 or 3'b111. Cleared by register.." "0,1"
tree.end
tree "UMCTL2_MP (uMCTL2 Multi-Port Registers)"
base ad:0x1016
rgroup.long 0x4++0x3
line.long 0x0 "PSTAT,Port Status Register"
bitfld.long 0x0 16. "WR_PORT_BUSY_0,Indicates if there are outstanding writes for AXI port 0. Programming Mode: Dynamic" "0,1"
bitfld.long 0x0 0. "RD_PORT_BUSY_0,Indicates if there are outstanding reads for AXI port 0. Programming Mode: Dynamic" "0,1"
group.long 0x8++0xB
line.long 0x0 "PCCFG,Port Common Configuration Register"
bitfld.long 0x0 8. "BL_EXP_MODE,Burst length expansion mode. By default (that is bl_exp_mode==0) XPI expands every AXI burst into multiple HIF commands using the memory burst length as a unit. If set to 1 then XPI uses half of the memory burst length as a unit. This.." "UMCTL2_PARTIAL_WR=1,?"
bitfld.long 0x0 4. "PAGEMATCH_LIMIT,Page match four limit. If set to 1 limits the number of consecutive same page DDRC transactions that can be granted by the Port Arbiter to four when Page Match feature is enabled. If set to 0 there is no limit imposed on number of.." "0,1"
bitfld.long 0x0 0. "GO2CRITICAL_EN,If set to 1 (enabled) sets co_gs_go2critical_wr and co_gs_go2critical_lpr/co_gs_go2critical_hpr signals going to DDRC based on urgent input (awurgent arurgent) coming from AXI master. If set to 0 (disabled) co_gs_go2critical_wr and.." "0,1"
line.long 0x4 "PCFGR_0,Port n Configuration Read Register"
bitfld.long 0x4 16. "RDWR_ORDERED_EN,Enables ordered read/writes. If set to 1 preserves the ordering between read transaction and write transaction issued to the same address on a given port. In other words the controller ensures that all same address read and write.." "0,1"
bitfld.long 0x4 14. "RD_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x4 13. "RD_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (arurgent). When enabled and arurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_lpr/co_gs_go2critical_hpr signal to DDRC is asserted if.." "0,1"
newline
bitfld.long 0x4 12. "RD_PORT_AGING_EN,If set to 1 enables aging function for the read channel of the port. Programming Mode: Static" "0,1"
hexmask.long.word 0x4 0.--9. 1. "RD_PORT_PRIORITY,Determines the initial load value of read aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but not.."
line.long 0x8 "PCFGW_0,Port n Configuration Write Register"
bitfld.long 0x8 14. "WR_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x8 13. "WR_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (awurgent). When enabled and awurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_wr signal to DDRC is asserted if enabled in.." "0,1"
bitfld.long 0x8 12. "WR_PORT_AGING_EN,If set to 1 enables aging function for the write channel of the port. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x8 0.--9. 1. "WR_PORT_PRIORITY,Determines the initial load value of write aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but.."
group.long 0x98++0x13
line.long 0x0 "PCTRL_0,Port n Control Register"
bitfld.long 0x0 0. "PORT_EN,Enables AXI port n. Programming Mode: Dynamic" "0,1"
line.long 0x4 "PCFGQOS0_0,Port n Read QoS Configuration Register 0"
bitfld.long 0x4 24.--25. "RQOS_MAP_REGION2,This bitfield indicates the traffic class of region2. For dual address queue configurations region2 maps to the red address queue. Valid values are 1: VPR and 2: HPR only. When VPR support is disabled (UMCTL2_VPR_EN = 0) and traffic.." "?,VPR and,HPR only,?"
bitfld.long 0x4 20.--21. "RQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region1 maps to the blue address queue. In this case valid values are - 0 - LPR - 1 - VPR.." "LPR,VPR only,HPR,?"
bitfld.long 0x4 16.--17. "RQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region 0 maps to the blue address queue. In this case valid values are: 0: LPR and 1: VPR.." "LPR and,VPR only,HPR,?"
newline
hexmask.long.byte 0x4 8.--11. 1. "RQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to arqos. Region2 starts from (level2 + 1) up to 15. Note that for PA arqos.."
hexmask.long.byte 0x4 0.--3. 1. "RQOS_MAP_LEVEL1,Separation level1 indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 (for dual RAQ) or 0 to 14 (for single RAQ) which corresponds to arqos. Note that for PA arqos values are used directly.."
line.long 0x8 "PCFGQOS1_0,Port n Read QoS Configuration Register 1"
hexmask.long.word 0x8 16.--26. 1. "RQOS_MAP_TIMEOUTR,Specifies the timeout value for transactions mapped to the red address queue. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x8 0.--10. 1. "RQOS_MAP_TIMEOUTB,Specifies the timeout value for transactions mapped to the blue address queue. Programming Mode: Quasi-dynamic Group 3"
line.long 0xC "PCFGWQOS0_0,Port n Write QoS Configuration Register 0"
bitfld.long 0xC 24.--25. "WQOS_MAP_REGION2,This bit field indicates the traffic class of region 2. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 2 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 20.--21. "WQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: 0: NPW 1: VPW. When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 1 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 16.--17. "WQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 0 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
newline
hexmask.long.byte 0xC 8.--11. 1. "WQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to awqos. Region2 starts from (level2 + 1) up to 15. Note that for PA awqos.."
hexmask.long.byte 0xC 0.--3. 1. "WQOS_MAP_LEVEL1,Separation level indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 which corresponds to awqos. Note that for PA awqos values are used directly as port priorities where the higher the.."
line.long 0x10 "PCFGWQOS1_0,Port n Write QoS Configuration Register 1"
hexmask.long.word 0x10 16.--26. 1. "WQOS_MAP_TIMEOUT2,Specifies the timeout value for write transactions in region 2. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x10 0.--10. 1. "WQOS_MAP_TIMEOUT1,Specifies the timeout value for write transactions in region 0 and 1. Programming Mode: Quasi-dynamic Group 3"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB0C)++0x3
line.long 0x0 "SARBASE$1,SAR Base Address Register n"
hexmask.long.word 0x0 0.--11. 1. "BASE_ADDR,Base address for address region n specified as awaddr[UMCTL2_A_ADDRW-1:x] and araddr[UMCTL2_A_ADDRW-1:x] where x is determined by the minimum block size parameter UMCTL2_SARMINSIZE: (x=log2(block size)). Programming Mode: Static"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB10)++0x3
line.long 0x0 "SARSIZE$1,SAR Size Register n"
hexmask.long.byte 0x0 0.--7. 1. "NBLOCKS,Number of blocks for address region n. This register determines the total size of the region in multiples of minimum block size as specified by the hardware parameter UMCTL2_SARMINSIZE. The register value is encoded as number of blocks = nblocks.."
repeat.end
group.long 0xB2C++0x3
line.long 0x0 "SBRCTL,Scrubber Control Register"
hexmask.long.word 0x0 8.--20. 1. "SCRUB_INTERVAL,Scrub interval. (512 x scrub_interval) number of clock cycles between two scrub read commands. If set to 0 scrub commands are issued back-to-back. This mode of operation (scrub_interval=0) can typically be used for scrubbing the full.."
bitfld.long 0x0 4.--6. "SCRUB_BURST,Scrub burst count. Determines the number of back-to-back scrub read commands that can be issued together when the controller is in one of the HW controlled low power modes with Sideband ECC both normal operation mode and low-power mode with.." "0,1,2,3,4,5,6,7"
bitfld.long 0x0 2. "SCRUB_MODE,- scrub_mode:0 ECC scrubber performs reads - scrub_mode:1 ECC scrubber performs writes Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "SCRUB_DURING_LOWPOWER,Continue scrubbing during low power. If set to 1 burst of scrubs is issued in hardware controlled low power modes. There are two such modes: automatically initiated by idleness or initiated by Hardware low power interface. If set.." "0,1"
bitfld.long 0x0 0. "SCRUB_EN,Enables ECC scrubber. If set to 1 enables the scrubber to generate background read commands after the memories are initialized. If set to 0 disables the scrubber resets the address generator to 0 and clears the scrubber status. This bitfield.." "0,1"
rgroup.long 0xB30++0x3
line.long 0x0 "SBRSTAT,Scrubber Status Register"
bitfld.long 0x0 1. "SCRUB_DONE,Scrubber done. The controller sets this bit to 1 after full range of addresses are scrubbed once while scrub_interval is set to 0. Cleared if scrub_en is set to 0 (scrubber disabled) or scrub_interval is set to a non-zero value for normal.." "0,1"
bitfld.long 0x0 0. "SCRUB_BUSY,Scrubber busy. The controller sets this bit to 1 when the scrubber logic has outstanding read commands being executed. Cleared when there are no active outstanding scrub reads in the system. Programming Mode: Dynamic" "0,1"
group.long 0xB34++0x3
line.long 0x0 "SBRWDATA0,Scrubber Write Data Pattern0"
hexmask.long 0x0 0.--31. 1. "SCRUB_PATTERN0,ECC Scrubber write data pattern for data bus[31:0] Programming Mode: Dynamic"
group.long 0xB40++0xF
line.long 0x0 "SBRSTART0,Scrubber Start Address Mask Register 0"
hexmask.long 0x0 0.--31. 1. "SBR_ADDRESS_START_MASK_0,sbr_address_start_mask_0 holds the bits [31:0] of the starting address the ECC scrubber generates. The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber address registers.."
line.long 0x4 "SBRSTART1,Scrubber Start Address Mask Register 1"
hexmask.long.byte 0x4 0.--3. 1. "SBR_ADDRESS_START_MASK_1,sbr_address_start_mask_1 holds bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the starting address the ECC scrubber generates.The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber.."
line.long 0x8 "SBRRANGE0,Scrubber Address Range Mask Register 0"
hexmask.long 0x8 0.--31. 1. "SBR_ADDRESS_RANGE_MASK_0,sbr_address_range_mask_0 holds the bits [31:0] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be programmed as explained in.."
line.long 0xC "SBRRANGE1,Scrubber Address Range Mask Register 1"
hexmask.long.byte 0xC 0.--3. 1. "SBR_ADDRESS_RANGE_MASK_1,sbr_address_range_mask_1 holds the bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be.."
rgroup.long 0xBF8++0x7
line.long 0x0 "UMCTL2_VER_NUMBER,UMCTL2 Version Number Register"
hexmask.long 0x0 0.--31. 1. "VER_NUMBER,Indicates the Device Version Number value. Programming Mode: Static"
line.long 0x4 "UMCTL2_VER_TYPE,UMCTL2 Version Type Register"
hexmask.long 0x4 0.--31. 1. "VER_TYPE,Indicates the Device Version Type value. Programming Mode: Static"
tree.end
tree "UMCTL2_REGS_FREQ1 (uMCTL2 DDRC FREQ1 Registers)"
base ad:0x8192
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ1] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ1] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ1] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ1] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ1] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ1] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ1] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ1] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ1] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ1] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ1] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ1] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ1] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ1] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ1] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ1] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ1] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ1] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ1] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ1] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ1] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ1] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ1] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ1] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ1] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ1] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ1] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ1] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ1] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ1] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ2 (uMCTL2 DDRC FERQ2 Registers)"
base ad:0x12288
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ2] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ2] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ2] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ2] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ2] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ2] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ2] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ2] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ2] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ2] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ2] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ2] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ2] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ2] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ2] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ2] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ2] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ2] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ2] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ2] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ2] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ2] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ2] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ2] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ2] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ2] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ2] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ2] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ2] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ2] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ3 (uMCTL2 DDRC FREQ3 Registers)"
base ad:0x16384
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ3] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ3] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ3] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ3] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ3] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ3] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ3] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ3] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ3] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ3] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ3] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ3] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ3] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ3] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ3] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ3] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ3] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ3] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ3] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ3] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ3] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ3] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ3] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ3] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ3] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ3] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ3] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ3] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ3] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ3] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree.end
endif
tree.end
tree "DISP_MUX (Display MUX)"
sif (CORENAME()=="CORTEXR5F")
base ad:0xF0D50000
tree "UMCTL2_REGS (uMCTL2 DDRC Registers)"
group.long 0x0++0x3
line.long 0x0 "MSTR,Master Register0"
bitfld.long 0x0 30.--31. "DEVICE_CONFIG,Indicates the configuration of the device used in the system. - 00 - x4 device - 01 - x8 device - 10 - x16 device - 11 - x32 device Programming Mode: Static" "x4 device,x8 device,?,?"
newline
bitfld.long 0x0 29. "FREQUENCY_MODE,Selects which registers are used. - 0 - Original registers - 1 - When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers When UMCTL2_FREQUENCY_NUM>2: Choosen by MSTR2.target_frequency register. Programming Mode: Quasi-dynamic Group 2" "Original registers,When UMCTL2_FREQUENCY_NUM=2: FREQ1 registers"
newline
rbitfld.long 0x0 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24.--25. "ACTIVE_RANKS,Only present for multi-rank configurations. Each bit represents one rank. For two-rank configurations only bits[25:24] are present. - 1 - Populated - 0 - Unpopulated LSB is the lowest rank number. For two ranks following combinations are.." "Unpopulated,One rank,?,?"
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "BURST_RDWR,Indicates SDRAM burst length used: - 0001 - Burst length of 2 (only supported for mDDR) - 0010 - Burst length of 4 - 0100 - Burst length of 8 - 1000 - Burst length of 16 (only supported for mDDR LPDDR2 and LPDDR4) All other values are.."
newline
bitfld.long 0x0 15. "DLL_OFF_MODE,Set to: - 1 - When the uMCTL2 and DRAM has to be put in DLL-off mode for low frequency operation - 0 - To put uMCTL2 and DRAM in DLL-on mode for normal frequency operation If DDR4 CRC/parity retry is enabled.." "To put uMCTL2 and DRAM in DLL-on mode for normal..,When the uMCTL2 and DRAM has to be put in.."
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 12.--13. "DATA_BUS_WIDTH,Selects proportion of DQ bus width that is used by the SDRAM. - 00 - Full DQ bus width to SDRAM - 01 - Half DQ bus width to SDRAM - 10 - Quarter DQ bus width to SDRAM - 11 - Reserved Note that half bus width mode is only supported when the.." "Full DQ bus width to SDRAM,Half DQ bus width to SDRAM,?,?"
newline
bitfld.long 0x0 11. "GEARDOWN_MODE,- 1 - Indicates the DRAM in geardown mode (2N) - 0 - Indicates the DRAM in normal mode (1N) This register can be changed only when the controller is in the self-refresh mode. This signal must be set the same value as MR3 bit A3. Note: -.." "Indicates the DRAM in normal mode,Indicates the DRAM in geardown mode"
newline
bitfld.long 0x0 10. "EN_2T_TIMING_MODE,If 1 then uMCTL2 uses 2T timing otherwise uses 1T timing. In 2T timing all command signals (except chip select) are held for 2 clocks on the SDRAM bus. Chip select is asserted on the second cycle of the command. Note: - 2T timing is.." "0,1"
newline
bitfld.long 0x0 9. "BURSTCHOP,When this bit is set enables burst-chop (BC4 or 8 on-the-fly) in DDR3/DDR4. Burst Chop for reads is exercised only: - In HIF configurations (UMCTL2_INCL_ARB not set) - If in full bus width mode (MSTR.data_bus_width = 00) - If.." "0,1"
newline
rbitfld.long 0x0 6.--8. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "LPDDR4,Selects LPDDR4 SDRAM. - 1 - LPDDR4 SDRAM device in use - 0 - non-LPDDR4 device in use Present only in designs configured to support LPDDR4. Programming Mode: Static" "non-LPDDR4 device in use,LPDDR4 SDRAM device in use"
newline
bitfld.long 0x0 4. "DDR4,Selects DDR4 SDRAM. - 1 - DDR4 SDRAM device in use - 0 - non-DDR4 device in use Present only in designs configured to support DDR4. Programming Mode: Static" "non-DDR4 device in use,DDR4 SDRAM device in use"
newline
bitfld.long 0x0 3. "LPDDR3,Selects LPDDR3 SDRAM. - 1 - LPDDR3 SDRAM device in use - 0 - non-LPDDR3 device in use Present only in designs configured to support LPDDR3. Programming Mode: Static" "non-LPDDR3 device in use,LPDDR3 SDRAM device in use"
newline
bitfld.long 0x0 2. "LPDDR2,Selects LPDDR2 SDRAM. - 1 - LPDDR2 SDRAM device in use - 0 - non-LPDDR2 device in use Present only in designs configured to support LPDDR2. Programming Mode: Static" "non-LPDDR2 device in use,LPDDR2 SDRAM device in use"
newline
rbitfld.long 0x0 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0. "DDR3,Selects DDR3 SDRAM. - 1 - DDR3 SDRAM device in use - 0 - non-DDR3 SDRAM device in use Present only in designs configured to support DDR3. Programming Mode: Static" "non-DDR3 SDRAM device in use,DDR3 SDRAM device in use"
rgroup.long 0x4++0x3
line.long 0x0 "STAT,Operating Mode Status Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 12. "SELFREF_CAM_NOT_EMPTY,Self-refresh with CAMs not empty. Set to 1 when self-refresh is entered but CAMs are not drained. Cleared after exiting self-refresh. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "SELFREF_STATE,This indicates self-refresh or self-refresh power down state for LPDDR4. This register is used for frequency change and MRR/MRW access during self-refresh. - 00 - SDRAM is not in self-refresh - 01 - Self-refresh 1 - 10 - Self-refresh power.." "SDRAM is not in self-refresh,Self-refresh 1,?,?"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "SELFREF_TYPE,Flags if self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4) is entered and if it is under automatic self-refresh control only or not. - 00 - SDRAM is not in self-refresh (except LPDDR4) or SR-Powerdown (LPDDR4). If retry is enabled by.." "SDRAM is not in self-refresh,SDRAM is in self-refresh,?,?"
newline
bitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "OPERATING_MODE,This is 3-bits wide in configurations with mDDR/LPDDR2/LPDDR3/LPDDR4/DDR4 support and 2-bits in all other configurations. non-mDDR/LPDDR2/LPDDR3/LPDDR4 and non-DDR4 designs: - 00 - Init - 01 - Normal - 10 - Power-down - 11 - Self-refresh.." "Init,Normal,?,bits wide in configurations with..,?,?,?,?"
group.long 0x10++0x7
line.long 0x0 "MRCTRL0,Mode Register Read/Write Control Register 0. Note: Do not enable more than one of the following fields simultaneously: - sw_init_int - pda_en - mpr_en"
bitfld.long 0x0 31. "MR_WR,Setting this register bit to 1 triggers a mode register read or write operation. When the MR operation is complete the uMCTL2 automatically clears this bit. The other fields of this register must be written in a separate APB transaction before.." "0,1"
newline
bitfld.long 0x0 30. "PBA_MODE,Indicates whether PBA access is executed. When setting this bit to 1 along with setting pda_en to 1 uMCTL2 initiates PBA access instead of PDA access. - 0 - Per DRAM Addressability mode - 1 - Per Buffer Addressability mode The completion of PBA.." "Per DRAM Addressability mode,Per Buffer Addressability mode"
newline
hexmask.long.word 0x0 16.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 12.--15. 1. "MR_ADDR,Address of the mode register that is to be written to. - 0000 - MR0 - 0001 - MR1 - 0010 - MR2 - 0011 - MR3 - 0100 - MR4 - 0101 - MR5 - 0110 - MR6 - 0111 - MR7 Don't Care for LPDDR2/LPDDR3/LPDDR4 (see MRCTRL1.mr_data for mode register addressing.."
newline
hexmask.long.byte 0x0 6.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--5. "MR_RANK,Controls which rank is accessed by MRCTRL0.mr_wr. Normally it is desired to access all ranks so all bits must be set to 1. However for multi-rank UDIMMs/RDIMMs/LRDIMMs which implement address mirroring it might be necessary to access ranks.." "?,Select rank 0 only,Select rank 1 only,?"
newline
bitfld.long 0x0 3. "SW_INIT_INT,Indicates whether software intervention is allowed through MRCTRL0/MRCTRL1 before automatic SDRAM initialization routine or not. For DDR4 this bit can be used to initialize the DDR4 RCD (MR7) before automatic SDRAM initialization. For LPDDR4.." "Software intervention is not allowed,Software intervention is allowed"
newline
bitfld.long 0x0 2. "PDA_EN,Indicates whether the mode register operation is MRS in PDA mode or not. - 0 - MRS - 1 - MRS in Per DRAM Addressability mode Note that when pba_mode=1 PBA access is initiated instead of PDA access. Programming Mode: Dynamic" "MRS,MRS in Per DRAM Addressability mode"
newline
bitfld.long 0x0 1. "MPR_EN,Indicates whether the mode register operation is MRS or WR/RD for MPR (only supported for DDR4). - 0 - MRS - 1 - WR/RD for MPR Programming Mode: Dynamic" "MRS,WR/RD for MPR"
newline
bitfld.long 0x0 0. "MR_TYPE,Indicates whether the mode register operation is read or write. Only used for LPDDR2/LPDDR3/LPDDR4/DDR4. - 0 - Write - 1 - Read Programming Mode: Dynamic" "Write,Read"
line.long 0x4 "MRCTRL1,Mode Register Read/Write Control Register 1"
hexmask.long.word 0x4 18.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "MR_DATA,Mode register write data for all non-LPDDR2/non-LPDDR3/non-LPDDR4 modes. For LPDDR2/LPDDR3/LPDDR4 MRCTRL1[15:0] are interpreted as: - [15:8] - MR Address - [7:0] - MR data for writes don't care for reads This is 18-bits wide in configurations.."
rgroup.long 0x18++0x3
line.long 0x0 "MRSTAT,Mode Register Read/Write Status Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "PDA_DONE,The SoC might initiate a MR write operation in PDA/PBA mode only if this signal is low. This signal goes: - High when three consecutive MRS commands related to the PDA/PBA mode are issued to the SDRAM - Low when MRCTRL0.pda_en becomes 0.." "Indicates that mode register write operation..,Indicates that mode register write operation.."
newline
hexmask.long.byte 0x0 1.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "MR_WR_BUSY,The SoC might initiate a MR write operation only if this signal is low. This signal goes: - High in the clock after the uMCTL2 accepts the MRW/MRR request - Low when the MRW/MRR command is issued to the SDRAM It is recommended not to perform.." "Indicates that the SoC can initiate a mode..,Indicates that mode register write operation is.."
group.long 0x1C++0x1F
line.long 0x0 "MRCTRL2,Mode Register Read/Write Control Register 2"
hexmask.long 0x0 0.--31. 1. "MR_DEVICE_SEL,Indicates the devices to be selected during the MRS that happens in PDA mode. Each bit is associated with one device. For example bit[0] corresponds to Device 0 bit[1] to Device 1 and so on. - 1 - Indicates that the MRS command must be.."
line.long 0x4 "DERATEEN,Temperature Derate Enable Register"
hexmask.long.tbyte 0x4 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
rbitfld.long 0x4 11. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x4 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
rbitfld.long 0x4 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x4 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x8 "DERATEINT,Temperature Derate Interval Register"
hexmask.long 0x8 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
line.long 0xC "MSTR2,Master Register2"
hexmask.long 0xC 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 0.--1. "TARGET_FREQUENCY,If MSTR.frequency_mode = 1 this field specifies the target frequency. - 0 - Frequency 0/Normal - 1 - Frequency 1/FREQ1 - 2 - Frequency 2/FREQ2 - 3 - Frequency 3/FREQ3 If MSTR.frequency_mode=0 this field is ignored. Note: If the target.." "Frequency 0/Normal,Frequency 1/FREQ1,Frequency 2/FREQ2,Frequency 3/FREQ3"
line.long 0x10 "DERATECTL,Temperature Derate Control Register"
hexmask.long 0x10 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x10 2. "DERATE_TEMP_LIMIT_INTR_FORCE,Interrupt force bit for derate_temp_limit_intr. Setting this field to 1 causes the derate_temp_limit_intr output pin to be asserted. At the end of the interrupt force operation the uMCTL2 automatically clears this bit." "0,1"
newline
bitfld.long 0x10 1. "DERATE_TEMP_LIMIT_INTR_CLR,Interrupt clear bit for derate_temp_limit_intr. At the end of the interrupt clear operation the uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x10 0. "DERATE_TEMP_LIMIT_INTR_EN,Interrupt enable bit for derate_temp_limit_intr output pin. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
line.long 0x14 "PWRCTL,Low Power Control Register"
hexmask.long.tbyte 0x14 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x14 8. "LPDDR4_SR_ALLOWED,Indicates whether transition from SR-PD to SR and back to SR-PD is allowed. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - SR-PD -> SR -> SR-PD not allowed - 1 - SR-PD -> SR -> SR-PD allowed Programming Mode:.." "SR-PD,SR-PD"
newline
bitfld.long 0x14 7. "DIS_CAM_DRAIN_SELFREF,Indicates whether skipping CAM draining is allowed when entering self-refresh. This register field cannot be modified while PWRCTL.selfref_sw == 1. - 0 - CAMs must be empty before entering SR - 1 - CAMs are not emptied before.." "CAMs must be empty before entering SR,CAMs are not emptied before entering SR"
newline
bitfld.long 0x14 6. "STAY_IN_SELFREF,Self-refresh state is an intermediate state to enter to self-refresh power down state or exit self-refresh power down state for LPDDR4. This register controls transition from the self-refresh state. - 1 - Prohibit transition from.." "Allow transition from self-refresh state,Prohibit transition from self-refresh state"
newline
bitfld.long 0x14 5. "SELFREF_SW,A value of 1 to this register causes system to move to self-refresh state immediately as long as it is not in INIT or DPD/MPSM operating_mode. This is referred to as Software Entry/Exit to self-refresh. - 1 - Software Entry to self-refresh -.." "Software Exit from self-refresh,Software Entry to self-refresh"
newline
bitfld.long 0x14 4. "MPSM_EN,When this bit is 1 the uMCTL2 puts the SDRAM into maximum power saving mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of maximum power saving mode. Present only in designs configured to support.." "0,1"
newline
bitfld.long 0x14 3. "EN_DFI_DRAM_CLK_DISABLE,Enables the assertion of dfi_dram_clk_disable whenever a clock is not required by the SDRAM. If set to 0 dfi_dram_clk_disable is never asserted. Assertion of dfi_dram_clk_disable is as follows: In DDR2/DDR3 can only be asserted.." "0,1"
newline
bitfld.long 0x14 2. "DEEPPOWERDOWN_EN,When this bit is 1 uMCTL2 puts the SDRAM into deep power-down mode when the transaction store is empty. This register must be reset to '0' to bring uMCTL2 out of deep power-down mode. The controller performs automatic SDRAM.." "0,1"
newline
bitfld.long 0x14 1. "POWERDOWN_EN,If true then the uMCTL2 goes into power-down after a programmable number of cycles 'maximum idle clocks before power down' (PWRTMG.powerdown_to_x32). This register bit may be re-programmed during the course of normal operation. Programming.." "0,1"
newline
bitfld.long 0x14 0. "SELFREF_EN,If true then the uMCTL2 puts the SDRAM into self-refresh after a programmable number of cycles 'maximum idle clocks before self-refresh (PWRTMG.selfref_to_x32)'. This register bit may be re-programmed during the course of normal operation." "0,1"
line.long 0x18 "PWRTMG,Low Power Timing Register"
hexmask.long.byte 0x18 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x18 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
rbitfld.long 0x18 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x18 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
line.long 0x1C "HWLPCTL,Hardware Low Power Control Register"
hexmask.long.byte 0x1C 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x1C 16.--27. 1. "HW_LP_IDLE_X32,Hardware idle period. The cactive_ddrc output is driven low if the DDRC command channel is idle for hw_lp_idle * 32 cycles if not in INIT or DPD/MPSM operating_mode. The DDRC command channel is considered idle when there are no HIF.."
newline
hexmask.long.word 0x1C 2.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x1C 1. "HW_LP_EXIT_IDLE_EN,When this bit is programmed to 1 the cactive_in_ddrc pin of the DDRC can be used to exit from the automatic clock stop automatic power down or automatic self-refresh modes. Note it does not cause exit of self-refresh that was caused.." "0,1"
newline
bitfld.long 0x1C 0. "HW_LP_EN,Enable this bit for Hardware Low Power Interface. Programming Mode: Quasi-dynamic Group 2" "0,1"
group.long 0x50++0x7
line.long 0x0 "RFSHCTL0,Refresh Control Register 0"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
rbitfld.long 0x0 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "RFSHCTL1,Refresh Control Register 1"
hexmask.long.byte 0x4 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--27. 1. "REFRESH_TIMER1_START_VALUE_X32,Refresh timer start for rank 1 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
newline
hexmask.long.byte 0x4 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--11. 1. "REFRESH_TIMER0_START_VALUE_X32,Refresh timer start for rank 0 (only present in multi-rank configurations). This is useful in staggering the refreshes to multiple ranks to help traffic to proceed. This is explained in Refresh Controls section of the.."
group.long 0x60++0xB
line.long 0x0 "RFSHCTL3,Refresh Control Register 3"
hexmask.long 0x0 7.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4.--6. "REFRESH_MODE,Indicates fine granularity refresh mode. - 000 - Fixed 1x (Normal mode) - 001 - Fixed 2x - 010 - Fixed 4x - 101 - Enable on the fly 2x (not supported) - 110 - Enable on the fly 4x (not supported) - Everything else - reserved Note: - Only.." "Fixed 1x,The on-the-fly modes are not supported in this..,This register field has effect only if a DDR4..,?,?,?,?,?"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "REFRESH_UPDATE_LEVEL,Toggle this signal (either from 0 to 1 or from 1 to 0) to indicate that the refresh registers have been updated. refresh_update_level must not be toggled when the DDRC is in reset (core_ddrc_rstn = 0). The refresh registers are.." "0,1"
newline
bitfld.long 0x0 0. "DIS_AUTO_REFRESH,When '1' disable auto-refresh generated by the uMCTL2. When auto-refresh is disabled the SoC must generate refreshes using the registers DBGCMD.rankn_refresh. When dis_auto_refresh transitions from 0 to 1 any pending refreshes are.." "0,1"
line.long 0x4 "RFSHTMG,Refresh Timing Register"
bitfld.long 0x4 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
rbitfld.long 0x4 28.--30. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x4 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.byte 0x4 10.--14. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x8 "RFSHTMG1,Refresh Timing Register1"
hexmask.long.byte 0x8 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
newline
hexmask.long.word 0x8 0.--15. 1. "RESERVED,Reserved"
group.long 0x70++0x7
line.long 0x0 "ECCCFG0,ECC Configuration Register 0"
bitfld.long 0x0 30.--31. "ECC_REGION_MAP_GRANU,Indicates granularity of selectable protected region. Define one region size for ECCCFG0.ecc_region_map. - 0 - 1/8 of memory spaces - 1 - 1/16 of memory spaces - 2 - 1/32 of memory spaces - 3 - 1/64 of memory spaces Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 29. "ECC_REGION_MAP_OTHER,When ECCCFG0.ecc_region_map_granu>0 there is a region which is not controlled by ecc_region_map. This register defines the region to be protected or non-protected for Inline ECC. - 0 - Non-Protected - 1 - Protected This register is.." "Non-Protected,Protected"
newline
rbitfld.long 0x0 27.--28. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 24.--26. "ECC_AP_ERR_THRESHOLD,Sets threshold for address parity error. ECCAPSTAT.ecc_ap_err is asserted if number of ECC errors (correctable/uncorrectable) within one burst exceeds this threshold. This register value must be less than 'Total number of ECC checks.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "BLK_CHANNEL_IDLE_TIME_X32,Indicates the number of cycles on HIF interface with no access to protected regions which causes flush of all the block channels. In order to flush block channel uMCTL2 injects write ECC command (when there is no incoming HIF.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "ECC_REGION_MAP,Selectable Protected Region setting. Memory space is divided to 8/16/32/64 regions which is determined by ECCCFG0.ecc_region_map_granu. Note: Highest 1/8 memory space is always ECC region. Lowest 7 regions are Selectable Protected Regions."
newline
bitfld.long 0x0 7. "ECC_REGION_REMAP_EN,Enables remapping ECC region feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
bitfld.long 0x0 6. "ECC_AP_EN,Enables address protection feature. Only supported when inline ECC is enabled. - 0 - Disable - 1 - Enable Programming Mode: Static" "Disable,Enable"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_SCRUB,Disables ECC scrubs. Valid only when ECCCFG0.ecc_mode = 3'b100 or 3'b101 and MEMC_USE_RMW is defined. Note: Scrub is not supported in inline ECC mode and the register value is don't care. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 0.--2. "ECC_MODE,ECC mode indicator. - 000 - ECC disabled - 100 - ECC enabled - SEC/DED over 1 beat - 101 - ECC enabled - Advanced ECC (Illegal value when MEMC_INLINE_ECC=1) - all other settings are reserved for future use Programming Mode: Static" "ECC disabled,?,?,?,?,?,?,?"
line.long 0x4 "ECCCFG1,ECC Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ACTIVE_BLK_CHANNEL,Indicated the number of active block channels. Total number of ECC block channels are defined by MEMC_NO_OF_BLK_CHANNEL hardware parameter. This register can limit the number of available channels. For example if set to 0 only one.."
newline
bitfld.long 0x4 7. "BLK_CHANNEL_ACTIVE_TERM,If enabled block channel is terminated when full block write or full block read is performed (all address within block are written or read). - 0 - Disable (only for debug purpose) - 1 - Enable (default) This is debug register.." "Disable,Enable"
newline
rbitfld.long 0x4 6. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 5. "ECC_REGION_WASTE_LOCK,Locks the remaining waste parts of the ECC region (hole) that are not locked by ecc_region_parity_lock. - 1 - Locked; if this region is accessed error response is generated - 0 - Unlocked; this region can be accessed normally.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
bitfld.long 0x4 4. "ECC_REGION_PARITY_LOCK,Locks the parity section of the ECC region (hole) which is the highest system address part of the memory that stores ECC parity for protected region. - 1 - Locked; if this region is accessed error response is generated - 0 -.." "Unlocked; this region can be accessed normally,Locked; if this region is accessed"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 1. "DATA_POISON_BIT,Selects whether to poison 1 or 2 bits. - if 0 -> 2-bit (uncorrectable) data poisoning - if 1 -> 1-bit (correctable) data poisoning if ECCCFG1.data_poison_en=1 Valid only when MEMC_ECC_SUPPORT==1 (SECDED ECC mode) Programming Mode:.." "?,bit"
newline
bitfld.long 0x4 0. "DATA_POISON_EN,Enables ECC data poisoning - introduces ECC errors on writes to address specified by the ECCPOISONADDR0/1 registers. This field must be set to 0 if ECC is disabled (ECCCFG0.ecc_mode = 0). Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x78++0x3
line.long 0x0 "ECCSTAT,SECDED ECC Status Register (Valid only in MEMC_ECC_SUPPORT==1 (SECDED ECC mode))"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "ECC_UNCORRECTED_ERR,Double-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR,Single-bit error indicator. In sideband ECC mode 1 bit per ECC lane. When the controller is operating in 1:1 frequency ratio mode there are only two lanes so only the lower two bits are used. In inline ECC mode the register is.." "0,1"
newline
bitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 0.--6. 1. "ECC_CORRECTED_BIT_NUM,Indicates the bit number corrected by single-bit ECC error. For encoding of this field see ECC section in the Architecture chapter. If more than one data lane has an error the lower data lane is selected. This register is 7 bits.."
group.long 0x7C++0x3
line.long 0x0 "ECCCTL,ECC Clear Register"
hexmask.long.word 0x0 19.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "ECC_AP_ERR_INTR_FORCE,Interrupt force bit for ecc_ap_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "ECC_UNCORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_uncorrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an.." "0,1"
newline
bitfld.long 0x0 16. "ECC_CORRECTED_ERR_INTR_FORCE,Interrupt force bit for ecc_corrected_err_intr. Setting this register causes the output interrupt to be asserted. The uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt.." "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "ECC_AP_ERR_INTR_EN,Interrupt enable bit for ecc_ap_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 9. "ECC_UNCORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_uncorrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
bitfld.long 0x0 8. "ECC_CORRECTED_ERR_INTR_EN,Interrupt enable bit for ecc_corrected_err_intr. - 1 - Enabled - 0 - Disabled Programming Mode: Dynamic" "Disabled,Enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "ECC_AP_ERR_INTR_CLR,Interrupt clear bit for ecc_ap_err. If this bit is set the ECCAPSTAT.ecc_ap_err/ecc_ap_err_intr is cleared. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 3. "ECC_UNCORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error count. The ECCERRCNT.ecc_uncorr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 2. "ECC_CORR_ERR_CNT_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error count. The ECCERRCNT.ecc_corr_err_cnt register is cleared by this operation. The uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "ECC_UNCORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored uncorrected ECC error. The following registers are cleared: - ECCSTAT.ecc_uncorrected_err - ADVECCSTAT.advecc_uncorrected_err - ECCUSYN0 - ECCUSYN1 - ECCUSYN2 uMCTL2.." "ECCUSYN1,?"
newline
bitfld.long 0x0 0. "ECC_CORRECTED_ERR_CLR,Setting this register bit to 1 clears the currently stored corrected ECC error. The following registers are cleared: - ECCSTAT.ecc_corrected_err - ADVECCSTAT.advecc_corrected_err - ADVECCSTAT.advecc_num_err_symbol -.." "ECCCSYN1,ECCBITMASK2"
rgroup.long 0x80++0xB
line.long 0x0 "ECCERRCNT,ECC Error Counter Register"
hexmask.long.word 0x0 16.--31. 1. "ECC_UNCORR_ERR_CNT,Indicates the number of uncorrectable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC.."
newline
hexmask.long.word 0x0 0.--15. 1. "ECC_CORR_ERR_CNT,Indicates the number of correctable ECC errors detected. Note that the saturation behavior of this register is different depending on the type of ECC. For advanced ECC or Inline ECC it saturates at 0xFFFF while for Side-band ECC with.."
line.long 0x4 "ECCCADDR0,ECC Corrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_CORR_RANK,Indicates the rank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_CORR_ROW,Indicates the page/row number of a read resulting in a corrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCCADDR1,ECC Corrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_CORR_BG,Indicates the bank group number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_CORR_BANK,Indicates the bank number of a read resulting in a corrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_CORR_COL,Indicates the block number of a read resulting in a corrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x8C)++0x3
line.long 0x0 "ECCCSYN$1,ECC Corrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_SYNDROMES_31_0,Indicates the data pattern that resulted in a corrected error. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0x94++0x3
line.long 0x0 "ECCCSYN2,ECC Corrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_SYNDROMES_71_64,Indicates the data pattern that resulted in a corrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16] for.."
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x98)++0x3
line.long 0x0 "ECCBITMASK$1,ECC Corrected Data Bit Mask Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_CORR_BIT_MASK_31_0,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
repeat.end
rgroup.long 0xA0++0xB
line.long 0x0 "ECCBITMASK2,ECC Corrected Data Bit Mask Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_CORR_BIT_MASK_71_64,Indicates the mask for the corrected data portion. - 1 on any bit indicates that the bit has been corrected by the ECC logic - 0 on any bit indicates that the bit has not been corrected by the ECC logic This register accumulates.."
line.long 0x4 "ECCUADDR0,ECC Uncorrected Error Address Register 0"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 24. "ECC_UNCORR_RANK,Indicates the rank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_UNCORR_ROW,Indicates the page/row number of a read resulting in an uncorrected ECC error. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Dynamic"
line.long 0x8 "ECCUADDR1,ECC Uncorrected Error Address Register 1"
hexmask.long.byte 0x8 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 24.--25. "ECC_UNCORR_BG,Indicates the bank group number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3"
newline
hexmask.long.byte 0x8 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 16.--18. "ECC_UNCORR_BANK,Indicates the bank number of a read resulting in an uncorrected ECC error. Programming Mode: Dynamic" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x8 0.--11. 1. "ECC_UNCORR_COL,Indicates the block number of a read resulting in an uncorrected ECC error (lowest bit not assigned here). Programming Mode: Dynamic"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xAC)++0x3
line.long 0x0 "ECCUSYN$1,ECC Uncorrected Syndrome Register 0"
hexmask.long 0x0 0.--31. 1. "ECC_UNCORR_SYNDROMES_31_0,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. For 16-bit ECC only bits [15:0] are used. Programming Mode: Dynamic"
repeat.end
rgroup.long 0xB4++0x3
line.long 0x0 "ECCUSYN2,ECC Uncorrected Syndrome Register 2"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_UNCORR_SYNDROMES_71_64,Indicates the data pattern that resulted in an uncorrected error one for each ECC lane all concatenated together. This register refers to the ECC byte which is bits [71:64] for 64-bit ECC [39:32] for 32-bit ECC or [23:16].."
group.long 0xB8++0xF
line.long 0x0 "ECCPOISONADDR0,ECC Data Poisoning Address Register 0. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "ECC_POISON_RANK,Indicates the rank address for ECC poisoning. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 12.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "ECC_POISON_COL,Indicates the column address for ECC poisoning. Note that this column address must be burst aligned: - In full bus width mode ecc_poison_col[2:0] must be set to 0 - In half bus width mode ecc_poison_col[3:0] must be set to 0 - In quarter.."
line.long 0x4 "ECCPOISONADDR1,ECC Data Poisoning Address Register 1. If a HIF write data beat matches the address specified in this register. an ECC error is introduced on that transaction (write/RMW). if ECCCFG1.data_poison_en=1."
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 28.--29. "ECC_POISON_BG,Bank Group address for ECC poisoning. Programming Mode: Static" "0,1,2,3"
newline
rbitfld.long 0x4 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24.--26. "ECC_POISON_BANK,Bank address for ECC poisoning. Programming Mode: Static" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 18.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.tbyte 0x4 0.--17. 1. "ECC_POISON_ROW,Row address for ECC poisoning. This is 18-bits wide in configurations with DDR4 support and 16-bits in all other configurations. Programming Mode: Static"
line.long 0x8 "CRCPARCTL0,CRC Parity Control Register0. Note: Do not perform any APB access to CRCPARCTL0 within 32 pclk cycles of previous access to CRCPARCTL0. as this might lead to data loss."
hexmask.long 0x8 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 2. "DFI_ALERT_ERR_CNT_CLR,Indicates the clear bit for DFI alert error counter. Asserting this bit clears the DFI alert error counter CRCPARSTAT.dfi_alert_err_cnt. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 1. "DFI_ALERT_ERR_INT_CLR,Interrupt clear bit for DFI alert error. If this bit is set the alert error interrupt on CRCPARSTAT.dfi_alert_err_int is cleared. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x8 0. "DFI_ALERT_ERR_INT_EN,Interrupt enable bit for DFI alert error. If this bit is set any parity/CRC error detected on the dfi_alert_n input results in an interrupt being set on CRCPARSTAT.dfi_alert_err_int. Programming Mode: Dynamic" "0,1"
line.long 0xC "CRCPARCTL1,CRC Parity Control Register1"
hexmask.long.tbyte 0xC 13.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 12. "CAPARITY_DISABLE_BEFORE_SR,If DDR4-SDRAM's CA parity is enabled by INIT6.mr5[2:0]!=0 and this register is set to 1 CA parity is automatically disabled before self-refresh entry and enabled after self-refresh exit by issuing MR5. - 1 - CA parity is.." "CA parity is not disabled before self-refresh..,CA parity is disabled before self-refresh entry"
newline
hexmask.long.byte 0xC 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0xC 7. "CRC_INC_DM,CRC calculation setting register. - 1 - CRC includes DM signal - 0 - CRC not includes DM signal Present only in designs configured to support DDR4. Programming Mode: Static" "CRC not includes DM signal,CRC includes DM signal"
newline
rbitfld.long 0xC 5.--6. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0xC 4. "CRC_ENABLE,CRC enable Register. - 1 - Enable generation of CRC - 0 - Disable generation of CRC The setting of this register should match the CRC mode register setting in the DRAM. Programming Mode: Quasi-dynamic Group 2" "Disable generation of CRC,Enable generation of CRC"
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "PARITY_ENABLE,C/A Parity enable register. - 1 - Enable generation of C/A parity and detection of C/A parity error - 0 - Disable generation of C/A parity and disable detection of C/A parity error If RCD's parity error detection or SDRAM's parity detection.." "Disable generation of C/A parity and disable..,Enable generation of C/A parity and detection of.."
rgroup.long 0xCC++0x3
line.long 0x0 "CRCPARSTAT,CRC Parity Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "DFI_ALERT_ERR_INT,DFI alert error interrupt. If a parity/CRC error is detected on dfi_alert_n and the interrupt is enabled by CRCPARCTL0.dfi_alert_err_int_en this interrupt bit is set. It remains set until cleared by CRCPARCTL0.dfi_alert_err_int_clr." "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "DFI_ALERT_ERR_CNT,DFI alert error count. If a parity/CRC error is detected on dfi_alert_n this counter be incremented. This is independent of the setting of CRCPARCTL0.dfi_alert_err_int_en. It saturates at 0xFFFF and can be cleared by asserting.."
group.long 0xD0++0xB
line.long 0x0 "INIT0,SDRAM Initialization Register 0"
bitfld.long 0x0 30.--31. "SKIP_DRAM_INIT,If lower bit is enabled the SDRAM initialization routine is skipped. The upper bit decides what state the controller starts up in when reset is removed. - 00 - SDRAM Initialization routine is run after power-up - 01 - SDRAM Initialization.." "SDRAM Initialization routine is run after power-up,SDRAM Initialization routine is skipped after..,?,?"
newline
hexmask.long.byte 0x0 26.--29. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 16.--25. 1. "POST_CKE_X1024,Indicates the number of cycles to wait after driving CKE high to start the SDRAM initialization sequence. DDR2 typically requires a 400 ns delay requiring this value to be programmed to 2 at all clock speeds. LPDDR2/LPDDR3 typically.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--11. 1. "PRE_CKE_X1024,Indicates the number of cycles to wait after reset before driving CKE high to start the SDRAM initialization sequence. DDR2 specifications typically require this to be programmed for a delay of >= 200 us. LPDDR2/LPDDR3: tINIT1 of 100 ns.."
line.long 0x4 "INIT1,SDRAM Initialization Register 1"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x4 16.--24. 1. "DRAM_RSTN_X1024,Indicates the number of cycles to assert SDRAM reset signal during init sequence. This is only present for designs supporting DDR3 DDR4 or LPDDR4 devices. For use with a Synopsys DDR PHY this must be set to a minimum of 1. When the.."
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "PRE_OCD_X32,Indicates the wait period before driving the OCD complete command to SDRAM. There is no known specific requirement for this; it may be set to zero. Unit: Multiples of 32 DFI clock cycles. For more information on how to program this register.."
line.long 0x8 "INIT2,SDRAM Initialization Register 2"
hexmask.long.word 0x8 16.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--15. 1. "IDLE_AFTER_RESET_X32,Indicates the idle time after the reset command tINIT4. Present only in designs configured to support LPDDR2. When the controller is operating in 1:2 frequency ratio mode program this to JEDEC spec value divided by 2 and round it.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "MIN_STABLE_CLOCK_X1,Indicates the time to wait after the first CKE high tINIT2. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 5 x tCK delay. When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xE4++0x3
line.long 0x0 "INIT5,SDRAM Initialization Register 5"
hexmask.long.byte 0x0 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--23. 1. "DEV_ZQINIT_X32,ZQ initial calibration tZQINIT. Present only in designs configured to support DDR3 or DDR4 or LPDDR2/LPDDR3. DDR3 typically requires 512 SDRAM clock cycles. DDR4 requires 1024 SDRAM clock cycles. LPDDR2/LPDDR3 requires 1 us. When the.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "MAX_AUTO_INIT_X1024,Indicates the maximum duration of the auto initialization tINIT5. Present only in designs configured to support LPDDR2/LPDDR3. LPDDR2/LPDDR3 typically requires 10 us. Unit: Multiples of 1024 DFI clock cycles. For more information on.."
group.long 0xF0++0x7
line.long 0x0 "DIMMCTL,DIMM Control Register"
hexmask.long.tbyte 0x0 15.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 14. "RCD_B_OUTPUT_DISABLED,Disables RCD outputs to B-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[3] before and after disabling CAL mode. It is recommended to set it to ~DIMMCTL.dimm_output_inv_en.." "Enable B outputs,Disable B outputs"
newline
bitfld.long 0x0 13. "RCD_A_OUTPUT_DISABLED,Disables RCD outputs to A-side DRAMs. This field is used only when the uMCTL2 disables CAL mode. This value is written to F0RC0 DA[2] before and after disabling CAL mode. It is recommended to set it to 0 except for debug. - 1 -.." "Enable A outputs,Disable A outputs"
newline
bitfld.long 0x0 12. "RCD_WEAK_DRIVE,Indicates the weak drive mode to be set to the RCD. This field is used only when the uMCTL2 disables CAL mode. When weak drive mode in the RCD is enabled during initialization this field must be set to 1. When RCD is not used this field.." "Disable Weak Drive mode,Enable Weak Drive mode"
newline
hexmask.long.byte 0x0 7.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 6. "LRDIMM_BCOM_CMD_PROT,Protects the timing restrictions (tBCW/tMRC) between consecutive BCOM commands defined in the Data Buffer specification. When using DDR4 LRDIMM this bit must be set to 1. Otherwise this bit must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 5. "DIMM_DIS_BG_MIRRORING,Disables address mirroring for BG bits. When this is set to 1 BG0 and BG1 are NOT swapped even if Address Mirroring is enabled. This is required for DDR4 DIMMs with x16 devices. - 1 - BG0 and BG1 are NOT swapped - 0 - BG0 and BG1.." "BG0 and BG1 are swapped if address mirroring is..,BG0 and BG1 are NOT swapped"
newline
bitfld.long 0x0 4. "MRS_BG1_EN,Enable this field for BG1 bit of MRS command. BG1 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have BG1 are attached and both the CA.." "Disabled,Enabled"
newline
bitfld.long 0x0 3. "MRS_A17_EN,Enable this field for A17 bit of MRS command. A17 bit of the mode register address is specified as RFU (Reserved for Future Use) and must be programmed to 0 during MRS. In case where DRAMs that do not have A17 are attached as DDR4 RDIMM/LRDIMM.." "Disabled,Enabled"
newline
bitfld.long 0x0 2. "DIMM_OUTPUT_INV_EN,Enables output inversion (for DDR4 RDIMM/LRDIMM implementations only). DDR4 RDIMM/LRDIMM implements the Output Inversion feature by default which means that the following address bank address and bank group bits of B-side DRAMs are.." "Do not implement output inversion for B-side DRAMs,Implement output inversion for B-side DRAMs"
newline
bitfld.long 0x0 1. "DIMM_ADDR_MIRR_EN,Enables address mirroring (for multi-rank UDIMM implementations and multi-rank DDR4 RDIMM/LRDIMM implementations). Some UDIMMs and DDR4 RDIMMs/LRDIMMs implement address mirroring for odd ranks which means that the following address.." "Do not implement address mirroring,For odd ranks"
newline
bitfld.long 0x0 0. "DIMM_STAGGER_CS_EN,Staggering enable for multi-rank accesses (for multi-rank UDIMM RDIMM and LRDIMM implementations only). This is not supported for mDDR LPDDR2 LPDDR3 or LPDDR4 SDRAMs. Note: Even if this bit is set it does not take care of software.." "Do not stagger accesses,?"
line.long 0x4 "RANKCTL,Rank Control Register"
hexmask.long.byte 0x4 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
rbitfld.long 0x4 25. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x4 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x4 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x4 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,SDRAM Timing Register 0"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
rbitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
rbitfld.long 0x0 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,SDRAM Timing Register 1"
hexmask.long.word 0x4 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
rbitfld.long 0x4 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,SDRAM Timing Register 2"
rbitfld.long 0x8 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
rbitfld.long 0x8 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
rbitfld.long 0x8 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,SDRAM Timing Register 3"
rbitfld.long 0xC 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
rbitfld.long 0xC 18.--19. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
rbitfld.long 0xC 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,SDRAM Timing Register 4"
rbitfld.long 0x10 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,SDRAM Timing Register 5"
hexmask.long.byte 0x14 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
rbitfld.long 0x14 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
rbitfld.long 0x14 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,SDRAM Timing Register 6"
hexmask.long.byte 0x18 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.word 0x18 4.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,SDRAM Timing Register 7"
hexmask.long.tbyte 0x1C 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,SDRAM Timing Register 8"
rbitfld.long 0x20 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
rbitfld.long 0x20 23. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
rbitfld.long 0x20 15. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
rbitfld.long 0x20 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,SDRAM Timing Register 9"
rbitfld.long 0x24 31. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
hexmask.long.word 0x24 19.--29. 1. "RESERVED,Reserved"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
rbitfld.long 0x24 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,SDRAM Timing Register 10"
hexmask.long.word 0x28 21.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
rbitfld.long 0x28 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
hexmask.long.byte 0x28 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,SDRAM Timing Register 11"
rbitfld.long 0x2C 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
rbitfld.long 0x2C 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
hexmask.long.byte 0x2C 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
rbitfld.long 0x2C 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,SDRAM Timing Register 12"
rbitfld.long 0x30 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
hexmask.long.byte 0x30 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.word 0x30 5.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,SDRAM Timing Register 13"
rbitfld.long 0x34 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
rbitfld.long 0x34 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
hexmask.long.word 0x34 3.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,SDRAM Timing Register 14"
hexmask.long.tbyte 0x38 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.tbyte 0x3C 8.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0xB
line.long 0x0 "ZQCTL0,ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
rbitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x4 "ZQCTL1,ZQ Control Register 1"
rbitfld.long 0x4 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.word 0x4 20.--29. 1. "T_ZQ_RESET_NOP,tZQReset: Number of DFI clock cycles of NOP required after a ZQReset (ZQ calibration Reset) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode program this to tZQReset/2 and round it up to the next.."
newline
hexmask.long.tbyte 0x4 0.--19. 1. "T_ZQ_SHORT_INTERVAL_X1024,Indicates the average interval to wait between automatically issuing ZQCS (ZQ calibration short)/MPC(ZQ calibration) commands to DDR3/DDR4/LPDDR2/LPDDR3/LPDDR4 devices. Meaningless if ZQCTL0.dis_auto_zq=1. This is only present.."
line.long 0x8 "ZQCTL2,ZQ Control Register 2"
hexmask.long 0x8 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x8 0. "ZQ_RESET,Setting this register bit to 1 triggers a ZQ Reset operation. When the ZQ Reset operation is complete the uMCTL2 automatically clears this bit. It is recommended NOT to set this register bit if in Init in self-refresh(except LPDDR4) or.." "0,1"
rgroup.long 0x18C++0x3
line.long 0x0 "ZQSTAT,ZQ Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ZQ_RESET_BUSY,SoC might initiate a ZQ Reset operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQ Reset request. It goes low when the ZQ Reset command is issued to the SDRAM and the associated NOP period.." "Indicates that the SoC can initiate a ZQ Reset..,Indicates that ZQ Reset operation is in progress"
group.long 0x190++0x1B
line.long 0x0 "DFITMG0,DFI Timing Register 0"
rbitfld.long 0x0 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
rbitfld.long 0x0 14. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
rbitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
rbitfld.long 0x4 26.--27. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
rbitfld.long 0x4 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
rbitfld.long 0x4 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
rbitfld.long 0x4 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
line.long 0x8 "DFILPCFG0,DFI Low Power Configuration Register 0"
rbitfld.long 0x8 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--28. 1. "DFI_TLP_RESP,Indicates the setting in DFI clock cycles for DFI's tlp_resp time. Same value is used for both Power Down self-refresh Deep Power Down and Maximum Power Saving modes. For more information on recommended values see PHY databook Unit: DFI.."
newline
hexmask.long.byte 0x8 20.--23. 1. "DFI_LP_WAKEUP_DPD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Deep Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256.."
newline
rbitfld.long 0x8 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 16. "DFI_LP_EN_DPD,Enables DFI Low Power interface handshaking during Deep Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting mDDR or LPDDR2/LPDDR3 devices. Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 12.--15. 1. "DFI_LP_WAKEUP_SR,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when self-refresh mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles.."
newline
rbitfld.long 0x8 9.--11. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 8. "DFI_LP_EN_SR,Enables DFI Low Power interface handshaking during self-refresh Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long.byte 0x8 4.--7. 1. "DFI_LP_WAKEUP_PD,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Power Down mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 - 256 cycles -.."
newline
rbitfld.long 0x8 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "DFI_LP_EN_PD,Enables DFI Low Power interface handshaking during Power Down Entry/Exit. - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
line.long 0xC "DFILPCFG1,DFI Low Power Configuration Register 1"
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0xC 4.--7. 1. "DFI_LP_WAKEUP_MPSM,Indicates the value in DFI clock cycles to drive on dfi_lp_wakeup signal when Maximum Power Saving Mode is entered. Determines the DFI's tlp_wakeup time: - 0x0 - 16 cycles - 0x1 - 32 cycles - 0x2 - 64 cycles - 0x3 - 128 cycles - 0x4 -.."
newline
rbitfld.long 0xC 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 0. "DFI_LP_EN_MPSM,Enables DFI Low Power interface handshaking during Maximum Power Saving Mode Entry/Exit. - 0 - Disabled - 1 - Enabled This is only present for designs supporting DDR4 devices. Programming Mode: Static" "Disabled,Enabled"
line.long 0x10 "DFIUPD0,DFI Update Register 0"
bitfld.long 0x10 31. "DIS_AUTO_CTRLUPD,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2. The controller must issue the dfi_ctrlupd_req signal using register DBGCMD.ctrlupd. When '0' uMCTL2 issues dfi_ctrlupd_req periodically. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 30. "DIS_AUTO_CTRLUPD_SRX,When '1' disable the automatic dfi_ctrlupd_req generation by the uMCTL2 at self-refresh exit. When '0' uMCTL2 issues a dfi_ctrlupd_req before or after exiting self-refresh depending on DFIUPD0.ctrlupd_pre_srx. Programming Mode:.." "0,1"
newline
bitfld.long 0x10 29. "CTRLUPD_PRE_SRX,Selects dfi_ctrlupd_req requirements at SRX: - 0 - Send ctrlupd after SRX - 1 - Send ctrlupd before SRX If DFIUPD0.dis_auto_ctrlupd_srx=1 this register has no impact because no dfi_ctrlupd_req is issued when SRX. Programming Mode: Static" "Send ctrlupd after SRX,Send ctrlupd before SRX"
newline
rbitfld.long 0x10 26.--28. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x10 16.--25. 1. "DFI_T_CTRLUP_MAX,Specifies the maximum number of DFI clock cycles that the dfi_ctrlupd_req signal can assert. Lowest value to assign to this variable is 0x40. Unit: DFI clock cycles. Programming Mode: Static"
newline
hexmask.long.byte 0x10 10.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x10 0.--9. 1. "DFI_T_CTRLUP_MIN,Specifies the minimum number of DFI clock cycles that the dfi_ctrlupd_req signal must be asserted. The uMCTL2 expects the PHY to respond within this time. If the PHY does not respond the uMCTL2 de-asserts dfi_ctrlupd_req after.."
line.long 0x14 "DFIUPD1,DFI Update Register 1"
hexmask.long.byte 0x14 24.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 16.--23. 1. "DFI_T_CTRLUPD_INTERVAL_MIN_X1024,This is the minimum amount of time between uMCTL2 initiated DFI update requests (which is executed whenever the uMCTL2 is idle). Set this number higher to reduce the frequency of update requests which can have a small.."
newline
hexmask.long.byte 0x14 8.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x14 0.--7. 1. "DFI_T_CTRLUPD_INTERVAL_MAX_X1024,This is the maximum amount of time between uMCTL2 initiated DFI update requests. This timer resets with each update request; when the timer expires dfi_ctrlupd_req is sent and traffic is blocked until the dfi_ctrlupd_ackx.."
line.long 0x18 "DFIUPD2,DFI Update Register 2"
bitfld.long 0x18 31. "DFI_PHYUPD_EN,Enables the support for acknowledging PHY-initiated updates: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
newline
hexmask.long 0x18 0.--30. 1. "RESERVED,Reserved"
group.long 0x1B0++0xB
line.long 0x0 "DFIMISC,DFI Miscellaneous Control Register"
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--12. 1. "DFI_FREQUENCY,Indicates the operating frequency of the system. The number of supported frequencies and the mapping of signal values to clock frequencies are defined by the PHY. Programming Mode: Quasi-dynamic Group 1"
newline
bitfld.long 0x0 7. "LP_OPTIMIZED_WRITE,If this bit is 1 LPDDR4 write DQ is set to 8'hF8 if masked write with enabling DBI; otherwise that value is set to 8'hFF. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 6. "DIS_DYN_ADR_TRI,If this bit is 1 PHY specific Dynamic Tristating which is a specific feature to certain Synopsys PHYs is disabled. If this bit is 0 a special IDLE command is issued on the DFI while dfi_cs is inactive state so that the PHY can detect.." "?,phase 0 and 1) dfi_we_n= 1"
newline
bitfld.long 0x0 5. "DFI_INIT_START,PHY init start request signal.When asserted it triggers the PHY init start request. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "CTL_IDLE_EN,Enables support of ctl_idle signal which is non-DFI related pin specific to certain Synopsys PHYs. For more information on ctl_idle functionality see signal description of ctl_idle signal. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 3. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 2. "DFI_DATA_CS_POLARITY,Defines polarity of dfi_wrdata_cs and dfi_rddata_cs signals. - 0 - Signals are active low - 1 - Signals are active high Programming Mode: Static" "Signals are active low,Signals are active high"
newline
bitfld.long 0x0 1. "PHY_DBI_MODE,DBI implemented in DDRC or PHY. - 0 - DDRC implements DBI functionality - 1 - PHY implements DBI functionality Present only in designs configured to support DDR4 and LPDDR4. Programming Mode: Static" "DDRC implements DBI functionality,PHY implements DBI functionality"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE_EN,PHY initialization complete enable signal. When asserted the dfi_init_complete signal can be used to trigger SDRAM initialisation Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "DFITMG2,DFI Timing Register 2"
hexmask.long.tbyte 0x4 15.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x8 "DFITMG3,DFI Timing Register 3"
hexmask.long 0x8 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
rgroup.long 0x1BC++0x3
line.long 0x0 "DFISTAT,DFI Status Register"
hexmask.long 0x0 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "DFI_LP_ACK,Stores the value of the dfi_lp_ack input to the controller. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "DFI_INIT_COMPLETE,This a status flag register which announces when the DFI initialization has been completed. The DFI INIT triggered by dfi_init_start signal and then the dfi_init_complete flag is polled to know when the initialization is done." "0,1"
group.long 0x1C0++0x7
line.long 0x0 "DBICTL,DM/DBI Control Register"
hexmask.long 0x0 3.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "RD_DBI_EN,Read DBI enable signal in DDRC. - 0 - Read DBI is disabled - 1 - Read DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A12. When x4 devices are used this signal must be set to 0 - LPDDR4 - MR3[6].." "LPDDR4,Read DBI is enabled"
newline
bitfld.long 0x0 1. "WR_DBI_EN,Write DBI enable signal in DDRC. - 0 - Write DBI is disabled - 1 - Write DBI is enabled This signal must be set the same value as DRAM's mode register. - DDR4 - MR5 bit A11. When x4 devices are used this signal must be set to 0 - LPDDR4 -.." "LPDDR4,Write DBI is enabled"
newline
bitfld.long 0x0 0. "DM_EN,Indicates the DM enable signal in DDRC. - 0 - DM is disabled - 1 - DM is enabled This signal must be set the same logical value as DRAM's mode register. - DDR4 - Set this to same value as MR5 bit A10. When x4 devices are used this signal must be.." "LPDDR4,DM is enabled"
line.long 0x4 "DFIPHYMSTR,DFI PHY Master"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DFI_PHYMSTR_EN,Enables the PHY Master Interface: - 0 - Disabled - 1 - Enabled Programming Mode: Static" "Disabled,Enabled"
group.long 0x200++0x13
line.long 0x0 "ADDRMAP0,Address Map Register 0"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--4. 1. "ADDRMAP_CS_BIT0,Selects the HIF address bit used as rank address bit 0. Valid Range: 0 to 29 and 31 Internal Base: 6 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 31 and then rank.."
line.long 0x4 "ADDRMAP1,Address Map Register 1"
hexmask.long.word 0x4 22.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 16.--21. 1. "ADDRMAP_BANK_B2,Selects the HIF address bit used as bank address bit 2. Valid Range: 0 to 31 and 63 Internal Base: 4 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 63 and then bank.."
newline
rbitfld.long 0x4 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--13. 1. "ADDRMAP_BANK_B1,Selects the HIF address bits used as bank address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--5. 1. "ADDRMAP_BANK_B0,Selects the HIF address bits used as bank address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank address bits is determined by adding the internal base to the value of this field. If.."
line.long 0x8 "ADDRMAP2,Address Map Register 2"
hexmask.long.byte 0x8 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 24.--27. 1. "ADDRMAP_COL_B5,- Full bus width mode - Selects the HIF address bit used as column address bit 5 - Half bus width mode - Selects the HIF address bit used as column address bit 6 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 16.--19. 1. "ADDRMAP_COL_B4,- Full bus width mode - Selects the HIF address bit used as column address bit 4 - Half bus width mode - Selects the HIF address bit used as column address bit 5 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0x8 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 8.--12. 1. "ADDRMAP_COL_B3,- Full bus width mode - Selects the HIF address bit used as column address bit 3 - Half bus width mode - Selects the HIF address bit used as column address bit 4 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
hexmask.long.byte 0x8 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 0.--3. 1. "ADDRMAP_COL_B2,- Full bus width mode - Selects the HIF address bit used as column address bit 2 - Half bus width mode - Selects the HIF address bit used as column address bit 3 - Quarter bus width mode - Selects the HIF address bit used as column address.."
line.long 0xC "ADDRMAP3,Address Map Register 3"
rbitfld.long 0xC 29.--31. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 24.--28. 1. "ADDRMAP_COL_B9,- Full bus width mode - Selects the HIF address bit used as column address bit 9 - Half bus width mode - Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Quarter bus width mode - Selects the HIF.."
newline
rbitfld.long 0xC 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 16.--20. 1. "ADDRMAP_COL_B8,- Full bus width mode - Selects the HIF address bit used as column address bit 8 - Half bus width mode - Selects the HIF address bit used as column address bit 9 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 13.--15. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 8.--12. 1. "ADDRMAP_COL_B7,- Full bus width mode - Selects the HIF address bit used as column address bit 7 - Half bus width mode - Selects the HIF address bit used as column address bit 8 - Quarter bus width mode - Selects the HIF address bit used as column address.."
newline
rbitfld.long 0xC 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC 0.--4. 1. "ADDRMAP_COL_B6,- Full bus width mode - Selects the HIF address bit used as column address bit 6. - Half bus width mode - Selects the HIF address bit used as column address bit 7. - Quarter bus width mode - Selects the HIF address bit used as column.."
line.long 0x10 "ADDRMAP4,Address Map Register 4"
bitfld.long 0x10 31. "COL_ADDR_SHIFT,The register provides a capability to map column address to lower HIF address in specific cases required by inline ECC configuration. - If it is 1 internal base of all the column address can be -2 to make mapping range of column address.." "0,1"
newline
hexmask.long.tbyte 0x10 13.--30. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x10 8.--12. 1. "ADDRMAP_COL_B11,- Full bus width mode - Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Half bus width mode - UNUSED. See later in this description for value you need to set to make it unused - Quarter bus width.."
newline
rbitfld.long 0x10 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 0.--4. 1. "ADDRMAP_COL_B10,- Full bus width mode: Selects the HIF address bit used as column address bit 11 (10 in LPDDR2/LPDDR3 mode) - Half bus width mode: Selects the HIF address bit used as column address bit 13 (11 in LPDDR2/LPDDR3 mode) - Quarter bus width.."
repeat 3. (list 0x5 0x9 0xA )(list 0x0 0x10 0x14 )
group.long ($2+0x214)++0x3
line.long 0x0 "ADDRMAP$1,Address Map Register 5"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B11,Selects the HIF address bit used as row address bit 11. Valid Range: 0 to 11 and 15 Internal Base: 17 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B2_10,Selects the HIF address bits used as row address bits 2 to 10. Valid Range: 0 to 11 and 15 Internal Base: 8 (for row address bit 2) 9 (for row address bit 3) 10 (for row address bit 4) and so on increasing to 16 (for row address bit.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B1,Selects the HIF address bits used as row address bit 1. Valid Range: 0 to 11 Internal Base: 7 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B0,Selects the HIF address bits used as row address bit 0. Valid Range: 0 to 11 Internal Base: 6 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. Programming.."
repeat.end
group.long 0x218++0xB
line.long 0x0 "ADDRMAP6,Address Map Register 6"
bitfld.long 0x0 31. "LPDDR3_6GB_12GB,Set this to 1 if there is an LPDDR3 SDRAM 6Gb or 12Gb device in use. - 1 - LPDDR3 SDRAM 6Gb/12Gb device in use. Every address having row[14:13]==2'b11 is considered as invalid - 0 - non-LPDDR3 6Gb/12Gb device in use. All addresses are.." "non-LPDDR3 6Gb/12Gb device in use,LPDDR3 SDRAM 6Gb/12Gb device in use"
newline
bitfld.long 0x0 29.--30. "LPDDR4_3GB_6GB_12GB,Indicates what type of LPDDR4 SDRAM device is in use. Here the density size is per channel. - 2'b00 - No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use. All addresses are valid - 2'b01 - LPDDR4 SDRAM 3Gb device with x16 mode in use. Every.." "No LPDDR4 SDRAM 3Gb/6Gb/12Gb device in use,LPDDR4 SDRAM 3Gb device with x16 mode in use,LPDDR4 SDRAM 6Gb device with x16 mode or 3Gb..,LPDDR4 SDRAM 12Gb device with x16 mode or 6Gb.."
newline
rbitfld.long 0x0 28. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--27. 1. "ADDRMAP_ROW_B15,Selects the HIF address bit used as row address bit 15. Valid Range: 0 to 11 and 15 Internal Base: 21 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 16.--19. 1. "ADDRMAP_ROW_B14,Selects the HIF address bit used as row address bit 14. Valid Range: 0 to 11 and 15 Internal Base: 20 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "ADDRMAP_ROW_B13,Selects the HIF address bit used as row address bit 13. Valid Range: 0 to 11 and 15 Internal Base: 19 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B12,Selects the HIF address bit used as row address bit 12. Valid Range: 0 to 11 and 15 Internal Base: 18 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x4 "ADDRMAP7,Address Map Register 7"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "ADDRMAP_ROW_B17,Selects the HIF address bit used as row address bit 17. Valid Range: 0 to 11 and 15 Internal Base: 23 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
newline
hexmask.long.byte 0x4 4.--7. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--3. 1. "ADDRMAP_ROW_B16,Selects the HIF address bit used as row address bit 16. Valid Range: 0 to 11 and 15 Internal Base: 22 The selected HIF address bit is determined by adding the internal base to the value of this field. If unused set to 15 and then row.."
line.long 0x8 "ADDRMAP8,Address Map Register 8"
hexmask.long.tbyte 0x8 14.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x8 8.--13. 1. "ADDRMAP_BG_B1,Selects the HIF address bits used as bank group address bit 1. Valid Range: 0 to 32 and 63 Internal Base: 3 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
newline
rbitfld.long 0x8 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--5. 1. "ADDRMAP_BG_B0,Selects the HIF address bits used as bank group address bit 0. Valid Range: 0 to 32 and 63 Internal Base: 2 The selected HIF address bit for each of the bank group address bits is determined by adding the internal base to the value of this.."
group.long 0x22C++0x3
line.long 0x0 "ADDRMAP11,Address Map Register 11"
hexmask.long 0x0 4.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--3. 1. "ADDRMAP_ROW_B10,Selects the HIF address bits used as row address bit 10. Valid Range: 0 to 11 Internal Base: 16 The selected HIF address bit for each of the row address bits is determined by adding the internal base to the value of this field. This.."
group.long 0x240++0x7
line.long 0x0 "ODTCFG,ODT Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
rbitfld.long 0x0 7. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
rbitfld.long 0x0 0.--1. "RESERVED,Reserved" "0,1,2,3"
line.long 0x4 "ODTMAP,ODT/Rank Map Register"
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 12.--13. "RANK1_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 10.--11. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "RANK1_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 1. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 4.--5. "RANK0_RD_ODT,Indicates which remote ODTs must be turned on during a read from rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
newline
rbitfld.long 0x4 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "RANK0_WR_ODT,Indicates which remote ODTs must be turned on during a write to rank 0. Each rank has a remote ODT (in the SDRAM) which can be turned on by setting the appropriate bit here. Rank 0 is controlled by the LSB; rank 1 is controlled by bit next.." "0,1,2,3"
group.long 0x250++0x7
line.long 0x0 "SCHED,Scheduler Control Register"
rbitfld.long 0x0 31. "RESERVED,Reserved" "0,1"
newline
hexmask.long.byte 0x0 24.--30. 1. "RDWR_IDLE_GAP,When the preferred transaction store is empty for these many clock cycles switch to the alternate transaction store if it is non-empty. The read transaction store (both high and low priority) is the default preferred transaction store and.."
newline
hexmask.long.byte 0x0 16.--23. 1. "GO2CRITICAL_HYSTERESIS,UNUSED. Programming Mode: Static"
newline
bitfld.long 0x0 15. "LPDDR4_OPT_ACT_TIMING,Optimized ACT timing control for LPDDR4. In LPDDR4 RD/WR/ACT takes 4 cycle. To stream Read/Write there are only 4 cycle space between Reads/Writes. If ACT is scheduled-out after RD/WR with 1 2 or 3 cycle gap next RD/WR may be.." "Disable this feature,Enable this feature"
newline
rbitfld.long 0x0 13.--14. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--12. 1. "LPR_NUM_ENTRIES,Number of entries in the low priority transaction store is this value + 1. (MEMC_NO_OF_ENTRY - (SCHED.lpr_num_entries + 1)) is the number of entries available for the high priority transaction store. Setting this to maximum value.."
newline
bitfld.long 0x0 7. "AUTOPRE_RMW,Selects behavior of hif_cmd_autopre if a RMW is received on HIF with hif_cmd_autopre=1 - 1 - Apply Autopre only for write part of RMW - 0 - Apply Autopre for both read and write parts of RMW Programming Mode: Static" "Apply Autopre for both read and write parts of RMW,Apply Autopre only for write part of RMW"
newline
hexmask.long.byte 0x0 3.--6. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "PAGECLOSE,If true bank is kept open only while there are page hit transactions available in the CAM to that bank. The last read or write command in the CAM with a bank and page hit is executed with auto-precharge if SCHED1.pageclose_timer=0. Even if.." "0,1"
newline
bitfld.long 0x0 1. "PREFER_WRITE,If set then the bank selector prefers writes over reads. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 0. "RESERVED,Reserved" "0,1"
line.long 0x4 "SCHED1,Scheduler Control Register 1"
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 0.--7. 1. "PAGECLOSE_TIMER,This field works in conjunction with SCHED.pageclose.It only has meaning if SCHED.pageclose==1. If SCHED.pageclose==1 and pageclose_timer==0 then an auto-precharge may be scheduled for last read or write command in the CAM with a bank.."
group.long 0x25C++0x3
line.long 0x0 "PERFHPR1,High Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "HPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the HPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "HPR_MAX_STARVE,Indicates the number of DFI clocks that the HPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x264++0x3
line.long 0x0 "PERFLPR1,Low Priority Read CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "LPR_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the LPR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode:.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "LPR_MAX_STARVE,Indicates the number of DFI clocks that the LPR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x26C++0x3
line.long 0x0 "PERFWR1,Write CAM Register 1"
hexmask.long.byte 0x0 24.--31. 1. "W_XACT_RUN_LENGTH,Indicates the number of transactions that are serviced once the WR queue goes critical is the smaller of: - (a) This number - (b) Number of transactions available Unit: Transaction. FOR PERFORMANCE ONLY. Programming Mode: Quasi-dynamic.."
newline
hexmask.long.byte 0x0 16.--23. 1. "RESERVED,Reserved"
newline
hexmask.long.word 0x0 0.--15. 1. "W_MAX_STARVE,Indicates the number of DFI clocks that the WR queue can be starved before it goes critical. The minimum valid functional value for this register is 0x1. Programming it to 0x0 disables the starvation functionality. During normal operation.."
group.long 0x300++0x7
line.long 0x0 "DBG0,Debug Register 0"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "DIS_MAX_RANK_WR_OPT,Indicates the disable optimized max_rank_wr and max_logical_rank_wr feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 6. "DIS_MAX_RANK_RD_OPT,Indicates the disable optimized max_rank_rd and max_logical_rank_rd feature. This register is for debug purpose only. For normal operation This register must be set to 0. Programming Mode: Static" "0,1"
newline
rbitfld.long 0x0 5. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 4. "DIS_COLLISION_PAGE_OPT,When this is set to '0' auto-precharge is disabled for the flushed command in a collision case. Collision cases are write followed by read to same address read followed by write to same address or write followed by write to same.." "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "DIS_WC,When 1 disable write combine. FOR DEBUG ONLY. Programming Mode: Static" "0,1"
line.long 0x4 "DBG1,Debug Register 1"
hexmask.long 0x4 2.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 1. "DIS_HIF,When 1 uMCTL2 asserts the HIF command signal hif_cmd_stall. uMCTL2 ignores the hif_cmd_valid and all other associated request signals. This bit is intended to be switched on-the-fly. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x4 0. "DIS_DQ,When 1 uMCTL2 does not de-queue any transactions from the CAM. Bypass is also disabled. All transactions are queued in the CAM. No reads or writes are issued to SDRAM as long as this is asserted. This bit may be used to prevent reads or writes.." "0,1"
rgroup.long 0x308++0x3
line.long 0x0 "DBGCAM,CAM Debug Register"
bitfld.long 0x0 30.--31. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 29. "WR_DATA_PIPELINE_EMPTY,This bit indicates that the write data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 28. "RD_DATA_PIPELINE_EMPTY,This bit indicates that the read data pipeline on the DFI interface is empty. This register is intended to be polled at least twice after setting DBG1.dis_dq to ensure that all remaining commands/data have completed. Programming.." "0,1"
newline
bitfld.long 0x0 27. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x0 26. "DBG_WR_Q_EMPTY,When 1 all the Write command queues and Write data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 25. "DBG_RD_Q_EMPTY,When 1 all the Read command queues and Read data buffers inside DDRC are empty. This register is to be used for debug purpose. An example use-case scenario: When the controller enters self-refresh using the Low-Power entry sequence.." "0,1"
newline
bitfld.long 0x0 24. "DBG_STALL,Stall. FOR DEBUG ONLY. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22.--23. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--21. 1. "DBG_W_Q_DEPTH,This field indicates the Write queue depth. The last entry of WR queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 14.--15. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--13. 1. "DBG_LPR_Q_DEPTH,This field indicates the low priority read queue depth. The last entry of Lpr queue is reserved for ECC SCRUB operation. This entry is not included in the calculation of the queue depth. FOR DEBUG ONLY Programming Mode: Dynamic"
newline
bitfld.long 0x0 6.--7. "RESERVED,Reserved" "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_HPR_Q_DEPTH,This field indicates the high priority read queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x30C++0x3
line.long 0x0 "DBGCMD,Command Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD,Setting this register bit to 1 indicates to the uMCTL2 to issue a dfi_ctrlupd_req to the PHY. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation must only be performed when DFIUPD0.dis_auto_ctrlupd=1." "0,1"
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT,Setting this register bit to 1 indicates to the uMCTL2 to issue a ZQCS (ZQ calibration short)/MPC(ZQ calibration) command to the SDRAM. When this request is stored in the uMCTL2 the bit is automatically cleared. This operation can be.." "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 1. Writing to this bit causes DBGSTAT.rank1_refresh_busy to be set. When DBGSTAT.rank1_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
newline
bitfld.long 0x0 0. "RANK0_REFRESH,Setting this register bit to 1 indicates to the uMCTL2 to issue a refresh to rank 0. Writing to this bit causes DBGSTAT.rank0_refresh_busy to be set. When DBGSTAT.rank0_refresh_busy is cleared the command has been stored in uMCTL2. For 3DS.." "0,1"
rgroup.long 0x310++0x3
line.long 0x0 "DBGSTAT,Status Debug Register"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 5. "CTRLUPD_BUSY,SoC might initiate a ctrlupd operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ctrlupd request. It goes low when the ctrlupd operation is initiated in the uMCTL2. It is recommended not to.." "Indicates that the SoC can initiate a ctrlupd..,Indicates that ctrlupd operation has not been.."
newline
bitfld.long 0x0 4. "ZQ_CALIB_SHORT_BUSY,SoC might initiate a ZQCS (ZQ calibration short) operation only if this signal is low. This signal goes high in the clock after the uMCTL2 accepts the ZQCS request. It goes low when the ZQCS operation is initiated in the uMCTL2. It is.." "Indicates that the SoC can initiate a ZQCS..,Indicates that ZQCS operation has not been.."
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "RANK1_REFRESH_BUSY,SoC might initiate a rank1_refresh operation (refresh operation to rank 1) only if this signal is low. This signal goes high in the clock after DBGCMD.rank1_refresh is set to one. It goes low when the rank1_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank1_refresh operation has not.."
newline
bitfld.long 0x0 0. "RANK0_REFRESH_BUSY,SoC might initiate a rank0_refresh operation (refresh operation to rank 0) only if this signal is low. This signal goes high in the clock after DBGCMD.rank0_refresh is set to one. It goes low when the rank0_refresh operation is stored.." "Indicates that the SoC can initiate a..,Indicates that rank0_refresh operation has not.."
rgroup.long 0x318++0x3
line.long 0x0 "DBGCAM1,CAM Debug Register 1"
hexmask.long 0x0 6.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--5. 1. "DBG_WRECC_Q_DEPTH,This field indicates the write ECC queue depth. FOR DEBUG ONLY. Programming Mode: Dynamic"
group.long 0x320++0x3
line.long 0x0 "SWCTL,Software Register Programming Control Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE,Enables quasi-dynamic register programming outside reset. Program this register to 0 to enable quasi-dynamic programming. Set back register to 1 once programming is done. Programming Mode: Dynamic" "0,1"
rgroup.long 0x324++0x3
line.long 0x0 "SWSTAT,Software Register Programming Control Status"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_DONE_ACK,Register programming done. This register is the echo of SWCTL.sw_done. Wait for sw_done value 1 to propagate to sw_done_ack at the end of the programming sequence to ensure that the correct registers values are propagated to the destination.." "0,1"
group.long 0x328++0x3
line.long 0x0 "SWCTLSTATIC,Static Registers Write Enable"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "SW_STATIC_UNLOCK,Enables static register programming outside reset. Program this register to 1 to enable static register programming. Set register back to 0 once programming is done. Programming Mode: Dynamic" "0,1"
group.long 0x330++0x7
line.long 0x0 "OCPARCFG0,On-Chip Parity Configuration Register 0"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "PAR_RADDR_ERR_INTR_FORCE,Interrupt force bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 25. "PAR_WADDR_ERR_INTR_FORCE,Interrupt force bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 24. "PAR_RADDR_ERR_INTR_CLR,Interrupt clear bit for all par_raddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 23. "PAR_RADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_raddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 22. "PAR_WADDR_ERR_INTR_CLR,Interrupt clear bit for all par_waddr_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 21. "PAR_WADDR_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_waddr_err_intr_n upon detection of parity error on the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 20. "PAR_ADDR_SLVERR_EN,Enables SLVERR generation on read response or write response when address parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 16.--19. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 15. "PAR_RDATA_ERR_INTR_FORCE,Interrupt force bit for all par_rdata_err_intr_n and par_rdata_in_err_ecc_intr (Inline-ECC only). uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 14. "PAR_RDATA_ERR_INTR_CLR,Interrupt clear bit for par_rdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 13. "PAR_RDATA_ERR_INTR_EN,Enables interrupt generation if set to 1 for all ports on signal par_rdata_err_intr_n upon detection of parity error at the AXI interface. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 12. "PAR_RDATA_SLVERR_EN,Enables SLVERR generation on read response when read data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
hexmask.long.byte 0x0 8.--11. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 7. "PAR_WDATA_ERR_INTR_FORCE,Interrupt force bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 6. "PAR_WDATA_ERR_INTR_CLR,Interrupt clear bit for par_wdata_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 5. "PAR_WDATA_SLVERR_EN,Enables SLVERR generation on write response when write data parity error is detected at the AXI interface. Programming Mode: Quasi-dynamic Group 3" "0,1"
newline
bitfld.long 0x0 4. "PAR_WDATA_ERR_INTR_EN,Enables write data interrupt generation (par_wdata_err_intr) upon detection of parity error at the AXI or DFI interface. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 1. "OC_PARITY_TYPE,Parity type: - 0 - Even parity - 1 - Odd parity Programming Mode: Quasi-dynamic Group 3" "Even parity,Odd parity"
newline
bitfld.long 0x0 0. "OC_PARITY_EN,Parity enable register. Enables On-Chip parity for all interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
line.long 0x4 "OCPARCFG1,On-Chip Parity Configuration Register 1"
hexmask.long.tbyte 0x4 12.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x4 8.--11. 1. "PAR_POISON_LOC_WR_PORT,Enables parity poisoning on write data at the AXI interface before the input parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Programming Mode:.."
newline
hexmask.long.byte 0x4 4.--7. 1. "PAR_POISON_LOC_RD_PORT,Enables parity poisoning on read data at the AXI interface after the parity check logic. The value specifies the binary encoded port number of the AXI interface to be injected with parity error. Error can be injected to one port at.."
newline
bitfld.long 0x4 3. "PAR_POISON_LOC_RD_IECC_TYPE,Selects which parity to poison at the DFI when inline ECC is enabled. If this register is set to 0 parity error is injected on the first read data going through the ECC path. If this register is set to 1 parity error is.." "0,1"
newline
bitfld.long 0x4 2. "PAR_POISON_LOC_RD_DFI,Enables parity poisoning on read data at the DFI interface after the parity generation logic. When MEMC_INLINE_ECC=1 enables poisoning of ECC word after the ECC encoder at the write data interface at the DFI. Programming Mode:.." "0,1"
newline
rbitfld.long 0x4 1. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 0. "PAR_POISON_EN,Enables on-chip parity poisoning on the data interfaces. Programming Mode: Quasi-dynamic Group 3" "0,1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x338)++0x3
line.long 0x0 "OCPARSTAT$1,On-Chip Parity Status Register 0"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "PAR_RADDR_ERR_INTR_0,Read address parity error interrupt for port 0. This interrupt is asserted when an on-chip read address parity error occurred on the corresponding AXI port's read address channel. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "PAR_WADDR_ERR_INTR_0,Write address parity error interrupt for port 0. This interrupt is asserted when an on-chip write address parity error occurred on the corresponding AXI port's write address channel. Programming Mode: Static" "0,1"
repeat.end
rgroup.long 0x340++0x3
line.long 0x0 "OCPARSTAT2,On-Chip Parity Status Register 2"
hexmask.long 0x0 5.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 4. "PAR_RDATA_IN_ERR_ECC_INTR,Interrupt on ECC data going into inline ECC decoder. Cleared by par_rdata_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 2.--3. "RESERVED,Reserved" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "PAR_WDATA_OUT_ERR_INTR,Write data parity error interrupt on output. Cleared by register par_wdata_err_intr_clr. Programming Mode: Static" "0,1,2,3"
group.long 0x36C++0x3
line.long 0x0 "POISONCFG,AXI Poison Configuration Register. Common for all AXI ports."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 24. "RD_POISON_INTR_CLR,Interrupt clear for read transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "RD_POISON_INTR_EN,If set to 1 enables interrupts for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 17.--19. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 16. "RD_POISON_SLVERR_EN,If set to 1 enables SLVERR response for read transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "WR_POISON_INTR_CLR,Interrupt clear for write transaction poisoning. Allow 2/3 clock cycles for correct value to propagate to controller logic and clear the interrupts. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 5.--7. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "WR_POISON_INTR_EN,If set to 1 enables interrupts for write transaction poisoning. Programming Mode: Dynamic" "0,1"
newline
rbitfld.long 0x0 1.--3. "RESERVED,Reserved" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "WR_POISON_SLVERR_EN,If set to 1 enables SLVERR response for write transaction poisoning. Programming Mode: Dynamic" "0,1"
rgroup.long 0x370++0x3
line.long 0x0 "POISONSTAT,AXI Poison Status Register"
hexmask.long.word 0x0 17.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 16. "RD_POISON_INTR_0,Read transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's read address channel. Bit 0.." "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "WR_POISON_INTR_0,Write transaction poisoning error interrupt for port 0. This register is a APB clock copy (double register synchronizer) of the interrupt asserted when a transaction is poisoned on the corresponding AXI port's write address channel. Bit.." "0,1"
group.long 0x374++0x3
line.long 0x0 "ADVECCINDEX,Advanced ECC Index Register"
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 5.--8. 1. "ECC_POISON_BEATS_SEL,Selector of which DRAM beat's poison pattern is set by ECCPOISONPAT0/1/2 registers. For frequency ratio 1:1 mode 2 DRAM beats can be poisoned. Set ecc_poison_beats_sel to 0 and given ECCPOISONPAT0/1/2 to set 1st beat's poison.."
newline
bitfld.long 0x0 3.--4. "ECC_ERR_SYMBOL_SEL,Selector of which error symbol's status output to ADVECCSTAT.advecc_err_symbol_pos and advecc_err_symbol_bits. The default is first error symbol. The value must be less than ADVECCSTAT.advecc_num_err_symbol. Programming Mode:.." "0,1,2,3"
newline
bitfld.long 0x0 0.--2. "ECC_SYNDROME_SEL,Selector of which DRAM beat data output to ECCCSYN0/1/2 as well as ECCUCSYN. In Advanced ECC the syndrome consists of number of DRAM beats. This register selects which beats of data is output to ECCCSYN0/1/2 and ECCUCSYN0/1/2 registers." "0,1,2,3,4,5,6,7"
group.long 0x37C++0x3
line.long 0x0 "ECCPOISONPAT0,ECC Poison Pattern 0 Register"
hexmask.long 0x0 0.--31. 1. "ECC_POISON_DATA_31_0,Indicates the poison pattern for DRAM data[31:0]. setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
group.long 0x384++0x3
line.long 0x0 "ECCPOISONPAT2,ECC Poison Pattern 2 Register"
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED,Reserved"
newline
hexmask.long.byte 0x0 0.--7. 1. "ECC_POISON_DATA_71_64,Indicates the poison pattern for DRAM data[71:64]. Setting this bit to 1 indicates poison (invert) corresponding DRAM bit. It is indirect register. Selector is ADVECCINDEX.ecc_poison_beats_sel. Programming Mode: Quasi-dynamic Group 3"
rgroup.long 0x388++0x3
line.long 0x0 "ECCAPSTAT,Address protection within ECC Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "ECC_AP_ERR,Indicates the number of ECC errors (correctable/uncorrectable) within one burst exceeded the threshold(ECCCFG0.ecc_ap_err_threshold). Programming Mode: Dynamic" "0,1"
group.long 0x3C0++0x3
line.long 0x0 "REGPARCFG,Register Parity Configuration Register (Note that all fields must be programmed with single write operation)."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 8. "REG_PAR_POISON_EN,Enable Register Parity poisoning. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 3. "REG_PAR_ERR_INTR_FORCE,Interrupt force bit for reg_par_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 2. "REG_PAR_ERR_INTR_CLR,Interupt clear bit for reg_par_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "REG_PAR_ERR_INTR_EN,Enables interrupt generation if set to 1 on signal reg_par_err_intr upon detection of register parity error. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "REG_PAR_EN,Register Parity enable register. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3C4++0x3
line.long 0x0 "REGPARSTAT,Register Parity Status Register"
hexmask.long 0x0 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "REG_PAR_ERR_INTR,Interrupt asserted when Register Parity error is detected. Cleared by setting REGPARCFG.reg_par_err_intr_clr to 1. Programming Mode: Static" "0,1"
group.long 0x3E0++0x3
line.long 0x0 "OCCAPCFG,On-Chip command/Address Protection Configuration Register"
hexmask.long.byte 0x0 28.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 27. "OCCAP_ARB_RAQ_POISON_EN,Enables poisoning for the Read Address Queues (RAQ) inside each XPI. Poisoning inverts all parity bits generated by the parity generator. Error is flagged as soon as the first RAQ is read. This register is not cleared.." "0,1"
newline
bitfld.long 0x0 26. "OCCAP_ARB_CMP_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP Arbiter logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT,OCCAPSTAT"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL,Enables full poisoning for compare logic inside XPI. Poisoning inverts all bits of all outputs coming from the duplicated modules before the XOR comparators together. uMCTL2 automatically clears this bit. Programming Mode:.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ,Enables poisoning for compare logic inside XPI. Poisoning inverts all bits coming from the duplicated modules before the XOR comparators one output at the time per each comparator. uMCTL2 automatically clears this bit." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_ARB_INTR_FORCE,Interrupt force bit for occap_arb_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and forcing an.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_ARB_INTR_CLR,Interrupt clear bit for occap_arb_err_intr and occap_arb_cmp_poison_complete. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_INTR_EN,Enables interrupt generation upon detection of OCCAP Arbiter errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.word 0x0 1.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 0. "OCCAP_EN,On Chip Command/Address Path Protection (OCCAP) enable register. Programming Mode: Quasi-dynamic Group 3" "0,1"
rgroup.long 0x3E4++0x3
line.long 0x0 "OCCAPSTAT,On-Chip command/Address Protection Status Register"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_ARB_CMP_POISON_PARALLEL_ERR,Error when occap_arb_cmp_poison_full_en is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_arb_cmp_poison_full_en. It.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_ARB_CMP_POISON_SEQ_ERR,Error when occap_arb_cmp_poison_en is active due to incorrect number of errors being occurring. Internal logic checks that the correct number of errors detected while poisoning one output at the time occurred for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_ARB_CMP_POISON_COMPLETE,OCCAP ARB comparator poisoning complete interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_ARB_ERR_INTR,OCCAP Arbiter error interrupt status. Register cleared by OCCAPCFG.occap_arb_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x0 0.--15. 1. "RESERVED,Reserved"
group.long 0x3E8++0x3
line.long 0x0 "OCCAPCFG1,On-Chip command/Address Protection Configuration Register 1"
hexmask.long.byte 0x0 27.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 26. "OCCAP_DDRC_CTRL_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC CTRL logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ,Enables poisoning of OCCAP DDRC CTRL logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting a ddrc_ctrl[0]'s signal to XOR logic. ddrc_ctrl[1] related.." "0,1"
newline
hexmask.long.byte 0x0 19.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 18. "OCCAP_DDRC_CTRL_INTR_FORCE,Interrupt force bit for occap_ddrc_ctrl_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_INTR_CLR,Interrupt clear bit for occap_ddrc_ctrl_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_INTR_EN,Enables interrupt generation on signal occap_ddrc_ctrl_err_intr upon detection of OCCAP DDRC CTRL errors. Programming Mode: Dynamic" "0,1"
newline
hexmask.long.byte 0x0 11.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 10. "OCCAP_DDRC_DATA_POISON_ERR_INJ,Enables error injection in the poisoning of OCCAP DDRC DATA logic. Injects error into poisoning logic (either parallel or seq) such that XOR logic for one signal is not poisoned when expected. If set it allows ability to.." "OCCAPSTAT1,OCCAPSTAT1"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in parallel. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of.." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ,Enables poisoning of OCCAP DDRC DATA logic for all parts of comparison logic in sequence. Poisons comparison logic for one core_ddrc_core_clk cycle by inverting all bits of a signal to XOR logic. All signals of instance[0] of.." "0,1"
newline
hexmask.long.byte 0x0 3.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 2. "OCCAP_DDRC_DATA_INTR_FORCE,Interrupt force bit for occap_ddrc_data_err_intr setting this register causes the output interrupt to be asserted. uMCTL2 automatically clears this bit. There is no interaction between functionally triggering an interrupt and.." "0,1"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_INTR_CLR,Interrupt clear bit for occap_ddrc_data_err_intr. uMCTL2 automatically clears this bit. Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_INTR_EN,Enables interrupt generation on signal occap_ddrc_data_err_intr upon detection of OCCAP DDRC DATA errors. Programming Mode: Dynamic" "0,1"
rgroup.long 0x3EC++0x7
line.long 0x0 "OCCAPSTAT1,On-Chip command/Address Protection Status Register 1"
hexmask.long.byte 0x0 26.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 25. "OCCAP_DDRC_CTRL_POISON_PARALLEL_ERR,Error when occap_ddrc_ctrl_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_parallel." "0,1"
newline
bitfld.long 0x0 24. "OCCAP_DDRC_CTRL_POISON_SEQ_ERR,Error when occap_ddrc_ctrl_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_ctrl_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 17. "OCCAP_DDRC_CTRL_POISON_COMPLETE,Indicates the OCCAP DDRC CTRL poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 16. "OCCAP_DDRC_CTRL_ERR_INTR,Indicates the OCCAP DDRC CTRL error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_ctrl_err_intr_clr. Programming Mode: Static" "0,1"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 9. "OCCAP_DDRC_DATA_POISON_PARALLEL_ERR,Error when occap_ddrc_data_poison_parallel is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_parallel." "0,1"
newline
bitfld.long 0x0 8. "OCCAP_DDRC_DATA_POISON_SEQ_ERR,Error when occap_ddrc_data_poison_seq is active due to incorrect number of errors being occurring. Internal logic checks the number of errors detected while poisoning occurred for occap_ddrc_data_poison_seq. It checks for.." "0,1"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED,Reserved"
newline
bitfld.long 0x0 1. "OCCAP_DDRC_DATA_POISON_COMPLETE,Indicates the OCCAP DDRC DATA poisoning complete interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
newline
bitfld.long 0x0 0. "OCCAP_DDRC_DATA_ERR_INTR,Indicates the OCCAP DDRC DATA error interrupt status. Register cleared by OCCAPCFG1.occap_ddrc_data_err_intr_clr. Programming Mode: Static" "0,1"
line.long 0x4 "DERATESTAT,Temperature Derate Status Register"
hexmask.long 0x4 1.--31. 1. "RESERVED,Reserved"
newline
bitfld.long 0x4 0. "DERATE_TEMP_LIMIT_INTR,Derate temperature interrupt indicating LPDDR2/3/4 SDRAM temperature operating limit is exceeded. This register field is set to 1 when the value read from MR4[2:0] is 3'b000 or 3'b111. Cleared by register.." "0,1"
tree.end
tree "UMCTL2_MP (uMCTL2 Multi-Port Registers)"
base ad:0x1016
rgroup.long 0x4++0x3
line.long 0x0 "PSTAT,Port Status Register"
bitfld.long 0x0 16. "WR_PORT_BUSY_0,Indicates if there are outstanding writes for AXI port 0. Programming Mode: Dynamic" "0,1"
bitfld.long 0x0 0. "RD_PORT_BUSY_0,Indicates if there are outstanding reads for AXI port 0. Programming Mode: Dynamic" "0,1"
group.long 0x8++0xB
line.long 0x0 "PCCFG,Port Common Configuration Register"
bitfld.long 0x0 8. "BL_EXP_MODE,Burst length expansion mode. By default (that is bl_exp_mode==0) XPI expands every AXI burst into multiple HIF commands using the memory burst length as a unit. If set to 1 then XPI uses half of the memory burst length as a unit. This.." "UMCTL2_PARTIAL_WR=1,?"
bitfld.long 0x0 4. "PAGEMATCH_LIMIT,Page match four limit. If set to 1 limits the number of consecutive same page DDRC transactions that can be granted by the Port Arbiter to four when Page Match feature is enabled. If set to 0 there is no limit imposed on number of.." "0,1"
bitfld.long 0x0 0. "GO2CRITICAL_EN,If set to 1 (enabled) sets co_gs_go2critical_wr and co_gs_go2critical_lpr/co_gs_go2critical_hpr signals going to DDRC based on urgent input (awurgent arurgent) coming from AXI master. If set to 0 (disabled) co_gs_go2critical_wr and.." "0,1"
line.long 0x4 "PCFGR_0,Port n Configuration Read Register"
bitfld.long 0x4 16. "RDWR_ORDERED_EN,Enables ordered read/writes. If set to 1 preserves the ordering between read transaction and write transaction issued to the same address on a given port. In other words the controller ensures that all same address read and write.." "0,1"
bitfld.long 0x4 14. "RD_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x4 13. "RD_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (arurgent). When enabled and arurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_lpr/co_gs_go2critical_hpr signal to DDRC is asserted if.." "0,1"
newline
bitfld.long 0x4 12. "RD_PORT_AGING_EN,If set to 1 enables aging function for the read channel of the port. Programming Mode: Static" "0,1"
hexmask.long.word 0x4 0.--9. 1. "RD_PORT_PRIORITY,Determines the initial load value of read aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but not.."
line.long 0x8 "PCFGW_0,Port n Configuration Write Register"
bitfld.long 0x8 14. "WR_PORT_PAGEMATCH_EN,If set to 1 enables the Page Match feature. If enabled once a requesting port is granted the port is continued to be granted if the following immediate commands are to the same memory page (same rank same bank and same row). See.." "0,1"
bitfld.long 0x8 13. "WR_PORT_URGENT_EN,If set to 1 enables the AXI urgent sideband signal (awurgent). When enabled and awurgent is asserted by the master that port becomes the highest priority and co_gs_go2critical_wr signal to DDRC is asserted if enabled in.." "0,1"
bitfld.long 0x8 12. "WR_PORT_AGING_EN,If set to 1 enables aging function for the write channel of the port. Programming Mode: Static" "0,1"
newline
hexmask.long.word 0x8 0.--9. 1. "WR_PORT_PRIORITY,Determines the initial load value of write aging counters. These counters are parallel loaded after reset or after each grant to the corresponding port. The aging counters down-count every clock cycle where the port is requesting but.."
group.long 0x98++0x13
line.long 0x0 "PCTRL_0,Port n Control Register"
bitfld.long 0x0 0. "PORT_EN,Enables AXI port n. Programming Mode: Dynamic" "0,1"
line.long 0x4 "PCFGQOS0_0,Port n Read QoS Configuration Register 0"
bitfld.long 0x4 24.--25. "RQOS_MAP_REGION2,This bitfield indicates the traffic class of region2. For dual address queue configurations region2 maps to the red address queue. Valid values are 1: VPR and 2: HPR only. When VPR support is disabled (UMCTL2_VPR_EN = 0) and traffic.." "?,VPR and,HPR only,?"
bitfld.long 0x4 20.--21. "RQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region1 maps to the blue address queue. In this case valid values are - 0 - LPR - 1 - VPR.." "LPR,VPR only,HPR,?"
bitfld.long 0x4 16.--17. "RQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - LPR - 1 - VPR - 2 - HPR For dual address queue configurations region 0 maps to the blue address queue. In this case valid values are: 0: LPR and 1: VPR.." "LPR and,VPR only,HPR,?"
newline
hexmask.long.byte 0x4 8.--11. 1. "RQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to arqos. Region2 starts from (level2 + 1) up to 15. Note that for PA arqos.."
hexmask.long.byte 0x4 0.--3. 1. "RQOS_MAP_LEVEL1,Separation level1 indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 (for dual RAQ) or 0 to 14 (for single RAQ) which corresponds to arqos. Note that for PA arqos values are used directly.."
line.long 0x8 "PCFGQOS1_0,Port n Read QoS Configuration Register 1"
hexmask.long.word 0x8 16.--26. 1. "RQOS_MAP_TIMEOUTR,Specifies the timeout value for transactions mapped to the red address queue. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x8 0.--10. 1. "RQOS_MAP_TIMEOUTB,Specifies the timeout value for transactions mapped to the blue address queue. Programming Mode: Quasi-dynamic Group 3"
line.long 0xC "PCFGWQOS0_0,Port n Write QoS Configuration Register 0"
bitfld.long 0xC 24.--25. "WQOS_MAP_REGION2,This bit field indicates the traffic class of region 2. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 2 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 20.--21. "WQOS_MAP_REGION1,This bit field indicates the traffic class of region 1. Valid values are: 0: NPW 1: VPW. When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 1 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
bitfld.long 0xC 16.--17. "WQOS_MAP_REGION0,This bit field indicates the traffic class of region 0. Valid values are: - 0 - NPW - 1 - VPW When VPW support is disabled (UMCTL2_VPW_EN = 0) and traffic class of region 0 is set to 1 (VPW) VPW traffic is aliased to NPW traffic." "NPW,VPW,?,?"
newline
hexmask.long.byte 0xC 8.--11. 1. "WQOS_MAP_LEVEL2,Separation level2 indicating the end of region1 mapping; start of region1 is (level1 + 1). Possible values for level2 are (level1 + 1) to 14 which corresponds to awqos. Region2 starts from (level2 + 1) up to 15. Note that for PA awqos.."
hexmask.long.byte 0xC 0.--3. 1. "WQOS_MAP_LEVEL1,Separation level indicating the end of region0 mapping; start of region0 is 0. Possible values for level1 are 0 to 13 which corresponds to awqos. Note that for PA awqos values are used directly as port priorities where the higher the.."
line.long 0x10 "PCFGWQOS1_0,Port n Write QoS Configuration Register 1"
hexmask.long.word 0x10 16.--26. 1. "WQOS_MAP_TIMEOUT2,Specifies the timeout value for write transactions in region 2. Programming Mode: Quasi-dynamic Group 3"
hexmask.long.word 0x10 0.--10. 1. "WQOS_MAP_TIMEOUT1,Specifies the timeout value for write transactions in region 0 and 1. Programming Mode: Quasi-dynamic Group 3"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB0C)++0x3
line.long 0x0 "SARBASE$1,SAR Base Address Register n"
hexmask.long.word 0x0 0.--11. 1. "BASE_ADDR,Base address for address region n specified as awaddr[UMCTL2_A_ADDRW-1:x] and araddr[UMCTL2_A_ADDRW-1:x] where x is determined by the minimum block size parameter UMCTL2_SARMINSIZE: (x=log2(block size)). Programming Mode: Static"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
group.long ($2+0xB10)++0x3
line.long 0x0 "SARSIZE$1,SAR Size Register n"
hexmask.long.byte 0x0 0.--7. 1. "NBLOCKS,Number of blocks for address region n. This register determines the total size of the region in multiples of minimum block size as specified by the hardware parameter UMCTL2_SARMINSIZE. The register value is encoded as number of blocks = nblocks.."
repeat.end
group.long 0xB2C++0x3
line.long 0x0 "SBRCTL,Scrubber Control Register"
hexmask.long.word 0x0 8.--20. 1. "SCRUB_INTERVAL,Scrub interval. (512 x scrub_interval) number of clock cycles between two scrub read commands. If set to 0 scrub commands are issued back-to-back. This mode of operation (scrub_interval=0) can typically be used for scrubbing the full.."
bitfld.long 0x0 4.--6. "SCRUB_BURST,Scrub burst count. Determines the number of back-to-back scrub read commands that can be issued together when the controller is in one of the HW controlled low power modes with Sideband ECC both normal operation mode and low-power mode with.." "0,1,2,3,4,5,6,7"
bitfld.long 0x0 2. "SCRUB_MODE,- scrub_mode:0 ECC scrubber performs reads - scrub_mode:1 ECC scrubber performs writes Programming Mode: Dynamic" "0,1"
newline
bitfld.long 0x0 1. "SCRUB_DURING_LOWPOWER,Continue scrubbing during low power. If set to 1 burst of scrubs is issued in hardware controlled low power modes. There are two such modes: automatically initiated by idleness or initiated by Hardware low power interface. If set.." "0,1"
bitfld.long 0x0 0. "SCRUB_EN,Enables ECC scrubber. If set to 1 enables the scrubber to generate background read commands after the memories are initialized. If set to 0 disables the scrubber resets the address generator to 0 and clears the scrubber status. This bitfield.." "0,1"
rgroup.long 0xB30++0x3
line.long 0x0 "SBRSTAT,Scrubber Status Register"
bitfld.long 0x0 1. "SCRUB_DONE,Scrubber done. The controller sets this bit to 1 after full range of addresses are scrubbed once while scrub_interval is set to 0. Cleared if scrub_en is set to 0 (scrubber disabled) or scrub_interval is set to a non-zero value for normal.." "0,1"
bitfld.long 0x0 0. "SCRUB_BUSY,Scrubber busy. The controller sets this bit to 1 when the scrubber logic has outstanding read commands being executed. Cleared when there are no active outstanding scrub reads in the system. Programming Mode: Dynamic" "0,1"
group.long 0xB34++0x3
line.long 0x0 "SBRWDATA0,Scrubber Write Data Pattern0"
hexmask.long 0x0 0.--31. 1. "SCRUB_PATTERN0,ECC Scrubber write data pattern for data bus[31:0] Programming Mode: Dynamic"
group.long 0xB40++0xF
line.long 0x0 "SBRSTART0,Scrubber Start Address Mask Register 0"
hexmask.long 0x0 0.--31. 1. "SBR_ADDRESS_START_MASK_0,sbr_address_start_mask_0 holds the bits [31:0] of the starting address the ECC scrubber generates. The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber address registers.."
line.long 0x4 "SBRSTART1,Scrubber Start Address Mask Register 1"
hexmask.long.byte 0x4 0.--3. 1. "SBR_ADDRESS_START_MASK_1,sbr_address_start_mask_1 holds bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the starting address the ECC scrubber generates.The register must be programmed as explained in Address Configuration in ECC Scrub and Scrubber. The scrubber.."
line.long 0x8 "SBRRANGE0,Scrubber Address Range Mask Register 0"
hexmask.long 0x8 0.--31. 1. "SBR_ADDRESS_RANGE_MASK_0,sbr_address_range_mask_0 holds the bits [31:0] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be programmed as explained in.."
line.long 0xC "SBRRANGE1,Scrubber Address Range Mask Register 1"
hexmask.long.byte 0xC 0.--3. 1. "SBR_ADDRESS_RANGE_MASK_1,sbr_address_range_mask_1 holds the bits [MEMC_HIF_ADDR_WIDTH_MAX-1:32] of the scrubber address range mask. The scrubber address range mask limits the address range that the ECC scrubber can generate.The register must be.."
rgroup.long 0xBF8++0x7
line.long 0x0 "UMCTL2_VER_NUMBER,UMCTL2 Version Number Register"
hexmask.long 0x0 0.--31. 1. "VER_NUMBER,Indicates the Device Version Number value. Programming Mode: Static"
line.long 0x4 "UMCTL2_VER_TYPE,UMCTL2 Version Type Register"
hexmask.long 0x4 0.--31. 1. "VER_TYPE,Indicates the Device Version Type value. Programming Mode: Static"
tree.end
tree "UMCTL2_REGS_FREQ1 (uMCTL2 DDRC FREQ1 Registers)"
base ad:0x8192
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ1] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ1] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ1] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ1] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ1] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ1] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ1] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ1] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ1] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ1] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ1] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ1] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ1] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ1] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ1] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ1] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ1] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ1] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ1] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ1] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ1] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ1] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ1] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ1] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ1] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ1] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ1] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ1] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ1] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ1] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ2 (uMCTL2 DDRC FERQ2 Registers)"
base ad:0x12288
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ2] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ2] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ2] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ2] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ2] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ2] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ2] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ2] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ2] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ2] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ2] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ2] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ2] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ2] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ2] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ2] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ2] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ2] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ2] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ2] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ2] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ2] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ2] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ2] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ2] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ2] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ2] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ2] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ2] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ2] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
tree "UMCTL2_REGS_FREQ3 (uMCTL2 DDRC FREQ3 Registers)"
base ad:0x16384
group.long 0x20++0x7
line.long 0x0 "DERATEEN,[FREQ3] Temperature Derate Enable Register"
bitfld.long 0x0 12. "DERATE_MR4_TUF_DIS,Disables use of MR4 TUF flag (MR4[7]) bit. - 0 - Use MR4 TUF flag (MR4[7]) - 1 - Do not use MR4 TUF Flag (MR4[7]) For LPDDR4 it is recommended to set this register to 1. For LPDDR2 and LPDDR3 contact your memory vendor for.." "Use MR4 TUF flag,Do not use MR4 TUF Flag"
newline
bitfld.long 0x0 8.--10. "RC_DERATE_VALUE,Derate value of tRC for LPDDR4. - 0 - Derating uses +1 - 1 - Derating uses +2 - 2 - Derating uses +3 - 3 - Derating uses +4 Present only in designs configured to support LPDDR4. The required cycles for derating can be determined by.." "Derating uses +1,Derating uses +2,Derating uses +3,Derating uses +4,?,?,?,?"
newline
hexmask.long.byte 0x0 4.--7. 1. "DERATE_BYTE,This bit indicates which byte of the MRR data is used for derating. The maximum valid value depends on MEMC_DRAM_TOTAL_DATA_WIDTH. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Programming Mode: Static"
newline
bitfld.long 0x0 1.--2. "DERATE_VALUE,Derate value. - 0 - Derating uses +1 - 1 - Derating uses +2 Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. Set to 0 for all LPDDR2 speed grades as derating value of +1.875 ns is less than a core_ddrc_core_clk period. For.." "Derating uses +1,Derating uses +2,?,?"
newline
bitfld.long 0x0 0. "DERATE_ENABLE,Enables derating. - 0 - Timing parameter derating is disabled - 1 - Timing parameter derating is enabled using MR4 read value Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This field must be set to '0' for.." "Timing parameter derating is disabled,Timing parameter derating is enabled using MR4.."
line.long 0x4 "DERATEINT,[FREQ3] Temperature Derate Interval Register"
hexmask.long 0x4 0.--31. 1. "MR4_READ_INTERVAL,Interval between two MR4 reads used to derate the timing parameters. Present only in designs configured to support LPDDR2/LPDDR3/LPDDR4. This register must not be set to zero. Unit: DFI clock cycles. Programming Mode: Static"
group.long 0x34++0x3
line.long 0x0 "PWRTMG,[FREQ3] Low Power Timing Register"
hexmask.long.byte 0x0 16.--23. 1. "SELFREF_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into self-refresh. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
newline
hexmask.long.byte 0x0 8.--15. 1. "T_DPD_X4096,Minimum deep power-down time. For mDDR value from the JEDEC specification is 0 as mDDR exits from deep power-down mode immediately after PWRCTL.deeppowerdown_en is de-asserted. For LPDDR2/LPDDR3 value from the JEDEC specification is 500us."
newline
hexmask.long.byte 0x0 0.--4. 1. "POWERDOWN_TO_X32,After this many clocks of the DDRC command channel being idle the uMCTL2 automatically puts the SDRAM into power-down. The DDRC command channel is considered idle when there are no HIF commands outstanding. This must be enabled in the.."
group.long 0x50++0x3
line.long 0x0 "RFSHCTL0,[FREQ3] Refresh Control Register 0"
hexmask.long.byte 0x0 20.--23. 1. "REFRESH_MARGIN,Threshold value in number of DFI clock cycles before the critical refresh or page timer expires. A critical refresh is to be issued before this threshold is reached. It is recommended that this not be changed from the default value.."
newline
hexmask.long.byte 0x0 12.--16. 1. "REFRESH_TO_X1_X32,If the refresh timer (tRFCnom also known as tREFI) has expired at least once then a speculative refresh may be performed. A speculative refresh is a refresh performed at a time when refresh would be useful. When the SDRAM bus is idle.."
newline
hexmask.long.byte 0x0 4.--9. 1. "REFRESH_BURST,The programmed value + 1 is the number of refresh timeouts that is allowed to accumulate before traffic is blocked and the refreshes are forced to execute. Closing pages to perform a refresh is a one-time penalty that must be paid for each.."
newline
bitfld.long 0x0 2. "PER_BANK_REFRESH,- 1 - Per bank refresh - 0 - All bank refresh Per bank refresh allows traffic to flow to other banks. Per bank refresh is not supported by all LPDDR2 devices but must be supported by all LPDDR3/LPDDR4 devices. Present only in designs.." "All bank refresh,Per bank refresh"
group.long 0x64++0x7
line.long 0x0 "RFSHTMG,[FREQ3] Refresh Timing Register"
bitfld.long 0x0 31. "T_RFC_NOM_X1_SEL,Specifies whether the RFSHTMG.t_rfc_nom_x1_x32 and RFSHCTL0.refresh_to_x1_x32 register values are x1 or x32. - 0 - x32 register values are used - 1 - x1 register values are used This applies only when per-bank refresh is enabled.." "x32 register values are used,x1 register values are used"
newline
hexmask.long.word 0x0 16.--27. 1. "T_RFC_NOM_X1_X32,Average time interval between refreshes per rank (Specification: 7.8us for DDR2 DDR3 and DDR4. See JEDEC specification for mDDR LPDDR2 LPDDR3 and LPDDR4). When the controller is operating in 1:1 mode set this register to.."
newline
bitfld.long 0x0 15. "LPDDR3_TREFBW_EN,Used only when LPDDR3 memory type is connected. Should only be changed when uMCTL2 is in reset. Specifies whether to use the tREFBW parameter (required by some LPDDR3 devices which comply with earlier versions of the LPDDR3 JEDEC.." "tREFBW parameter not used,tREFBW parameter used"
newline
hexmask.long.word 0x0 0.--9. 1. "T_RFC_MIN,tRFC (min): Minimum time from refresh to refresh or activate. When the controller is operating in 1:1 mode t_rfc_min must be set to RoundUp(tRFCmin/tCK). When the controller is operating in 1:2 mode t_rfc_min must be set to.."
line.long 0x4 "RFSHTMG1,[FREQ3] Refresh Timing Register1"
hexmask.long.byte 0x4 16.--23. 1. "T_PBR2PBR,LPDDR4:tpbR2pbR. Per-bank refresh to Per-bank refresh different bank Time. When the controller is operating in 1:1 frequency ratio mode program this to RoundUp(tpbR2pbR/tCK). When the controller is operating in 1:2 frequency ratio mode.."
repeat 4. (list 0x3 0x4 0x6 0x7 )(list 0x0 0x4 0xC 0x10 )
group.long ($2+0xDC)++0x3
line.long 0x0 "INIT$1,[FREQ3] SDRAM Initialization Register 3"
hexmask.long.word 0x0 16.--31. 1. "MR,DDR2:Indicates the value to write to MR register. Bit 8 is for DLL and the setting here is ignored. The uMCTL2 sets this bit appropriately. DDR3/DDR4: Value loaded into MR0 register. mDDR: Value to write to MR register. LPDDR2/LPDDR3/LPDDR4 - Value to.."
newline
hexmask.long.word 0x0 0.--15. 1. "EMR,DDR2: Indicates the value to write to EMR register. Bits 9:7 are for OCD and the setting in this register is ignored. The uMCTL2 sets those bits appropriately. DDR3/DDR4: Value to write to MR1 register Set bit 7 to 0. mDDR: Value to write to EMR.."
repeat.end
group.long 0xF4++0x3
line.long 0x0 "RANKCTL,[FREQ3] Rank Control Register"
bitfld.long 0x0 26. "DIFF_RANK_WR_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_wr_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
bitfld.long 0x0 24. "DIFF_RANK_RD_GAP_MSB,Only present for multi-rank configurations. 1-bit extension to be used when RANKCTL.diff_rank_rd_gap field needs to be set to a value greater than 0xF. Programming Mode: Quasi-dynamic Group 2" "?,bit extension to be used when RANKCTL"
newline
hexmask.long.byte 0x0 12.--15. 1. "MAX_RANK_WR,Only present for multi-rank configurations. Background: Writes to the same rank can be performed back-to-back. Writes to different ranks require additional gap dictated by the register RANKCTL.diff_rank_wr_gap. This is to avoid possible data.."
newline
hexmask.long.byte 0x0 8.--11. 1. "DIFF_RANK_WR_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive writes to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 4.--7. 1. "DIFF_RANK_RD_GAP,Only present for multi-rank configurations. Indicates the number of clocks of gap in data responses when performing consecutive reads to different ranks. This is used to switch the delays in the PHY to match the rank requirements. This.."
newline
hexmask.long.byte 0x0 0.--3. 1. "MAX_RANK_RD,Only present for multi-rank configurations. Background: Reads to the same rank can be performed back-to-back. Reads to different ranks require additional gap dictated by the register RANKCTL.diff_rank_rd_gap. This is to avoid possible data.."
group.long 0x100++0x3F
line.long 0x0 "DRAMTMG0,[FREQ3] SDRAM Timing Register 0"
hexmask.long.byte 0x0 24.--30. 1. "WR2PRE,Specifies the minimum time between write and precharge to same bank. Specifications: WL + BL/2 + tWR = approximately 8 cycles + 15 ns = 14 clocks @400MHz and less for lower frequencies. where: - WL - Write latency - BL - Burst length. This must.."
newline
hexmask.long.byte 0x0 16.--21. 1. "T_FAW,tFAW - valid only when 8 or more banks(or banks x bank groups) are present. In 8-bank design at most 4 banks must be activated in a rolling window of tFAW cycles. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x0 8.--14. 1. "T_RAS_MAX,tRAS(max) - Specifies the maximum time between activate and precharge to same bank. This is the maximum time that a page can be kept open Minimum value of this register is 1. Zero is invalid. When the controller is operating in 1:1 frequency.."
newline
hexmask.long.byte 0x0 0.--5. 1. "T_RAS_MIN,tRAS(min) - Specifies the minimum time between activate and precharge to the same bank. When the controller is operating in 1:2 frequency mode 1T mode program this to tRAS(min)/2. No rounding up. When the controller is operating in 1:2.."
line.long 0x4 "DRAMTMG1,[FREQ3] SDRAM Timing Register 1"
hexmask.long.byte 0x4 16.--20. 1. "T_XP,tXP - Specifies the minimum time after power-down exit to any operation. For DDR3 this must be programmed to tXPDLL if slow powerdown exit is selected in MR0[12]. If C/A parity for DDR4 is used set to (tXP+PL) instead. If LPDDR4 is selected and.."
newline
hexmask.long.byte 0x4 8.--13. 1. "RD2PRE,tRTP - Specifies the minimum time from read to precharge of same bank. - DDR2 - tAL + BL/2 + max(tRTP 2) - 2 - DDR3 - tAL + max (tRTP 4) - DDR4 - Max of following two equations: tAL + max (tRTP 4) or RL + BL/2 - tRP (*). - mDDR - BL/2 - LPDDR2.."
newline
hexmask.long.byte 0x4 0.--6. 1. "T_RC,tRC - Specifies the minimum time between activates to same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tRC/2) and round up to next integer value. Unit: DFI clock cycles. Programming Mode: Quasi-dynamic Group.."
line.long 0x8 "DRAMTMG2,[FREQ3] SDRAM Timing Register 2"
hexmask.long.byte 0x8 24.--29. 1. "WRITE_LATENCY,Set this field to WL. Indicates the Time from write command to write data on SDRAM interface. This must be set to WL. For mDDR it must be set to 1. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the.."
newline
hexmask.long.byte 0x8 16.--21. 1. "READ_LATENCY,Set this field to RL. Indicates the time from read command to read data on SDRAM interface. This must be set to RL. Note that depending on the PHY if using RDIMM/LRDIMM it might be necessary to adjust the value of RL to compensate for the.."
newline
hexmask.long.byte 0x8 8.--13. 1. "RD2WR,DDR2/3/mDDR: RL + BL/2 + 2 - WL DDR4: RL + BL/2 + 1 + WR_PREAMBLE - WL LPDDR2/LPDDR3: RL + BL/2 + RU(tDQSCKmax/tCK) + 1 - WL LPDDR4(DQ ODT is Disabled): RL + BL/2 + RU(tDQSCKmax/tCK) + WR_PREAMBLE + RD_POSTAMBLE - WL LPDDR4(DQ ODT is Enabled): RL +.."
newline
hexmask.long.byte 0x8 0.--5. 1. "WR2RD,DDR4: CWL + PL + BL/2 + tWTR_L LPDDR2/3/4: WL + BL/2 + tWTR + 1 Others: CWL + BL/2 + tWTR In DDR4 minimum time from write command to read command for same bank group. In others minimum time from write command to read command. Includes time for.."
line.long 0xC "DRAMTMG3,[FREQ3] SDRAM Timing Register 3"
hexmask.long.word 0xC 20.--29. 1. "T_MRW,Indicates the time to wait after a mode register write or read (MRW or MRR). Present only in designs configured to support LPDDR2 LPDDR3 or LPDDR4. LPDDR2 typically requires value of 5. LPDDR3 typically requires value of 10. LPDDR4: Set this to.."
newline
hexmask.long.byte 0xC 12.--17. 1. "T_MRD,tMRD- Indicates the number of cycles to wait after a mode register write or read. Depending on the connected SDRAM tMRD represents: - DDR2/mDDR: Time from MRS to any command - DDR3/4: Time from MRS to MRS command - LPDDR2: not used - LPDDR3/4:.."
newline
hexmask.long.word 0xC 0.--9. 1. "T_MOD,tMOD - Parameter used only in DDR3 and DDR4. Indicates the number of cycles between load mode command and following non-load mode command. If C/A parity for DDR4 is used set to tMOD_PAR(tMOD+PL) instead. If CAL mode is enabled.."
line.long 0x10 "DRAMTMG4,[FREQ3] SDRAM Timing Register 4"
hexmask.long.byte 0x10 24.--28. 1. "T_RCD,tRCD - tAL: Indicates the minimum time from activate to read or write command to same bank. When the controller is operating in 1:2 frequency ratio mode program this to ((tRCD - tAL)/2) and round it up to the next integer value. Minimum value.."
newline
hexmask.long.byte 0x10 16.--19. 1. "T_CCD,DDR4: tCCD_L: This is the minimum time between two reads or two writes for same bank group. Others: tCCD: This is the minimum time between two reads or two writes. When the controller is operating in 1:2 frequency ratio mode program this to.."
newline
hexmask.long.byte 0x10 8.--11. 1. "T_RRD,DDR4: tRRD_L: This is the minimum time between activates from bank 'a' to bank 'b' for same bank group. Others: tRRD: Minimum time between activates from bank 'a' to bank 'b' When the controller is operating in 1:2 frequency ratio mode program.."
newline
hexmask.long.byte 0x10 0.--4. 1. "T_RP,tRP: Indicates the minimum time from single-bank precharge to activate of same bank. When the controller is operating in 1:1 frequency ratio mode t_rp must be set to RoundUp(tRP/tCK). When the controller is operating in 1:2 frequency ratio mode.."
line.long 0x14 "DRAMTMG5,[FREQ3] SDRAM Timing Register 5"
hexmask.long.byte 0x14 24.--27. 1. "T_CKSRX,This is the time before self-refresh Exit that CK is maintained as a valid clock before issuing SRX. Specifies the clock stable time before SRX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH - DDR2 - 1 - DDR3 -.."
newline
hexmask.long.byte 0x14 16.--19. 1. "T_CKSRE,This is the time after self-refresh Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after SRE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK - DDR2 - 1 - DDR3 - Max (10 ns 5.."
newline
hexmask.long.byte 0x14 8.--13. 1. "T_CKESR,Indicates the minimum CKE low width for self-refresh or self-refresh power down entry to exit timing in memory clock cycles. Recommended settings: - mDDR - tRFC - LPDDR2 - tCKESR - LPDDR3 - tCKESR - LPDDR4 - max(tCKE tSR) - DDR2 - tCKE - DDR3 -.."
newline
hexmask.long.byte 0x14 0.--4. 1. "T_CKE,Indicates the minimum number of cycles of CKE HIGH/LOW during power-down and self-refresh. - LPDDR2/LPDDR3 mode - Set this to the larger of tCKE or tCKESR - LPDDR4 mode - Set this to the larger of tCKE or tSR - Non-LPDDR2/non-LPDDR3/non-LPDDR4.."
line.long 0x18 "DRAMTMG6,[FREQ3] SDRAM Timing Register 6"
hexmask.long.byte 0x18 24.--27. 1. "T_CKDPDE,This is the time after Deep Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after DPDE. Recommended settings: - mDDR: 0 - LPDDR2: 2 - LPDDR3: 2 When the controller is operating in 1:2 frequency ratio.."
newline
hexmask.long.byte 0x18 16.--19. 1. "T_CKDPDX,This is the time before Deep Power Down Exit that CK is maintained as a valid clock before issuing DPDX. Specifies the clock stable time before DPDX. Recommended settings: - mDDR - 1 - LPDDR2 - 2 - LPDDR3 - 2 When the controller is operating in.."
newline
hexmask.long.byte 0x18 0.--3. 1. "T_CKCSX,This is the time before Clock Stop Exit that CK is maintained as a valid clock before issuing Clock Stop Exit. Specifies the clock stable time before next command after Clock Stop Exit. Recommended settings: - mDDR - 1 - LPDDR2 - tXP + 2 - LPDDR3.."
line.long 0x1C "DRAMTMG7,[FREQ3] SDRAM Timing Register 7"
hexmask.long.byte 0x1C 8.--11. 1. "T_CKPDE,This is the time after Power Down Entry that CK is maintained as a valid clock. Specifies the clock disable delay after PDE. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKELCK When using DDR2/3/4 SDRAM this register.."
newline
hexmask.long.byte 0x1C 0.--3. 1. "T_CKPDX,This is the time before Power Down Exit that CK is maintained as a valid clock before issuing PDX. Specifies the clock stable time before PDX. Recommended settings: - mDDR - 0 - LPDDR2 - 2 - LPDDR3 - 2 - LPDDR4 - tCKCKEH When using DDR2/3/4 SDRAM.."
line.long 0x20 "DRAMTMG8,[FREQ3] SDRAM Timing Register 8"
hexmask.long.byte 0x20 24.--30. 1. "T_XS_FAST_X32,tXS_FAST: Exit self-refresh to ZQCL ZQCS and MRS (only CL WR RTP and Geardown mode). When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note:.."
newline
hexmask.long.byte 0x20 16.--22. 1. "T_XS_ABORT_X32,tXS_ABORT: Exit self-refresh to commands not requiring a locked DLL in self-refresh Abort. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value."
newline
hexmask.long.byte 0x20 8.--14. 1. "T_XS_DLL_X32,tXSDLL: Exit self-refresh to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3.."
newline
hexmask.long.byte 0x20 0.--6. 1. "T_XS_X32,tXS: Exit self-refresh to commands not requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. Note: Used only for DDR2 DDR3 and.."
line.long 0x24 "DRAMTMG9,[FREQ3] SDRAM Timing Register 9"
bitfld.long 0x24 30. "DDR4_WR_PREAMBLE,DDR4 Write preamble mode. - 0 - 1tCK preamble - 1 - 2tCK preamble Present only with MEMC_FREQ_RATIO=2. Programming Mode: Quasi-dynamic Group 2 Group 4" "0,1"
newline
bitfld.long 0x24 16.--18. "T_CCD_S,tCCD_S: This is the minimum time between two reads or two writes for different bank group. For bank switching (from bank 'a' to bank 'b') the minimum time is this value + 1. When the controller is operating in 1:2 frequency ratio mode program.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x24 8.--11. 1. "T_RRD_S,tRRD_S: This is the minimum time between activates from bank 'a' to bank 'b' for different bank group. When the controller is operating in 1:2 frequency ratio mode program this to (tRRD_S/2) and round it up to the next integer value. Present.."
newline
hexmask.long.byte 0x24 0.--5. 1. "WR2RD_S,CWL + PL + BL/2 + tWTR_S Minimum time from write command to read command for different bank group. Includes time for bus turnaround recovery times and all per-bank per-rank and global constraints. Present only in designs configured to support.."
line.long 0x28 "DRAMTMG10,[FREQ3] SDRAM Timing Register 10"
hexmask.long.byte 0x28 16.--20. 1. "T_SYNC_GEAR,Indicates the time between MRS command and the sync pulse time. This must be even number of clocks. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min)+4nCK tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value.."
newline
hexmask.long.byte 0x28 8.--12. 1. "T_CMD_GEAR,Indicates a sync pulse to first valid command. For DDR4-2666 and DDR4-3200 this parameter is defined as tMOD(min) tMOD(min) is greater of 24nCK or 15ns 15ns / .625ns = 24 Max value for this register is 24 When the controller is operating in.."
newline
bitfld.long 0x28 2.--3. "T_GEAR_SETUP,Indicates the geardown setup time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
newline
bitfld.long 0x28 0.--1. "T_GEAR_HOLD,Indicates the geardown hold time. Minimum value of this register is 1. Zero is invalid. For DDR4-2666 and DDR4-3200 this parameter is defined as 2 clks When the controller is operating in 1:2 frequency ratio mode program this to.." "0,1,2,3"
line.long 0x2C "DRAMTMG11,[FREQ3] SDRAM Timing Register 11"
hexmask.long.byte 0x2C 24.--30. 1. "POST_MPSM_GAP_X32,tXMPDLL: This is the minimum Exit MPSM to commands requiring a locked DLL. When the controller is operating in 1:2 frequency ratio mode program this to (tXMPDLL/2) and round it up to the next integer value. Present only in designs.."
newline
hexmask.long.byte 0x2C 16.--20. 1. "T_MPX_LH,tMPX_LH: This is the minimum CS_n Low hold time to CKE rising edge. When the controller is operating in 1:2 frequency ratio mode program this to RoundUp(tMPX_LH/2)+1. Present only in designs configured to support DDR4. Unit: DFI clock cycles."
newline
bitfld.long 0x2C 8.--9. "T_MPX_S,tMPX_S: This is the minimum time CS setup time to CKE. When the controller is operating in 1:2 frequency ratio mode program this to (tMPX_S/2) and round it up to the next integer value. Present only in designs configured to support DDR4. Unit:.." "0,1,2,3"
newline
hexmask.long.byte 0x2C 0.--4. 1. "T_CKMPE,tCKMPE: This is the minimum valid clock requirement after MPSM entry. Present only in designs configured to support DDR4. When the controller is operating in 1:2 frequency ratio mode divide the value calculated using the previous equation by 2.."
line.long 0x30 "DRAMTMG12,[FREQ3] SDRAM Timing Register 12"
hexmask.long.byte 0x30 24.--29. 1. "T_WR_MPR,This bit is used only in DDR4. Cycles between MPR Write and other command. Set this to tMOD + AL (or tMOD + PL + AL if C/A parity is also used). When the controller is operating in 1:2 frequency ratio mode program this to (tWR_MPR/2) and round.."
newline
bitfld.long 0x30 16.--17. "T_CMDCKE,tCMDCKE: Indicates the delay from valid command to CKE input LOW. Set this to the larger of tESCKE or tCMDCKE When the controller is operating in 1:2 frequency ratio mode program this to (max(tESCKE tCMDCKE)/2) and round it up to the next.." "0,1,2,3"
newline
hexmask.long.byte 0x30 0.--4. 1. "T_MRD_PDA,tMRD_PDA: This is the Mode Register Set command cycle time in PDA mode. When the controller is operating in 1:2 frequency ratio mode program this to (tMRD_PDA/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
line.long 0x34 "DRAMTMG13,[FREQ3] SDRAM Timing Register 13"
hexmask.long.byte 0x34 24.--30. 1. "ODTLOFF,LPDDR4: ODTLoff: This is the latency from CAS-2 command to ODToff reference. When the controller is operating in 1:2 frequency ratio mode program this to (ODTLoff/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming.."
newline
hexmask.long.byte 0x34 16.--21. 1. "T_CCD_MW,LPDDR4: tCCDMW: This is the minimum time from write or masked write to masked write command for same bank. When the controller is operating in 1:2 frequency ratio mode program this to (tCCDMW/2) and round it up to the next integer value. Unit:.."
newline
bitfld.long 0x34 0.--2. "T_PPD,LPDDR4: tPPD: This is the minimum time from precharge to precharge command. When the controller is operating in 1:2 frequency ratio mode program this to (tPPD/2) and round it up to the next integer value. Unit: DFI clock cycles. Programming Mode:.." "?,?,?,?,tPPD: This is the minimum time from precharge to..,?,?,?"
line.long 0x38 "DRAMTMG14,[FREQ3] SDRAM Timing Register 14"
hexmask.long.word 0x38 0.--11. 1. "T_XSR,tXSR: This is the exit self-refresh to any command. When the controller is operating in 1:2 frequency ratio mode program this to the previous value divided by 2 and round up to next integer value. The value 0xfff is illegal for this register.."
line.long 0x3C "DRAMTMG15,[FREQ3] SDRAM Timing Register 15"
bitfld.long 0x3C 31. "EN_DFI_LP_T_STAB,- 1 - Enable using tSTAB when exiting DFI LP. This must be set when the PHY is stopping the clock during DFI LP to save maximum power. - 0 - Disable using tSTAB when exiting DFI LP. Programming Mode: Quasi-dynamic Group 2 Group 4" "Disable using tSTAB when exiting DFI LP,Enable using tSTAB when exiting DFI LP"
newline
hexmask.long.byte 0x3C 0.--7. 1. "T_STAB_X32,tSTAB: Stabilization time. It is required in the following two cases for DDR3/DDR4 RDIMM: - When exiting power saving mode if the clock is stopped after re-enabling it the clock must be stable for a time specified by tSTAB - In the case of.."
group.long 0x180++0x3
line.long 0x0 "ZQCTL0,[FREQ3] ZQ Control Register 0"
bitfld.long 0x0 31. "DIS_AUTO_ZQ,- 1 - Disable uMCTL2 generation of ZQCS/MPC(ZQ calibration) command. Register DBGCMD.zq_calib_short can be used instead to issue ZQ calibration request from APB module - 0 - Internally generate ZQCS/MPC(ZQ calibration) commands based on.." "Internally generate ZQCS/MPC,Disable uMCTL2 generation of ZQCS/MPC"
newline
bitfld.long 0x0 30. "DIS_SRX_ZQCL,- 1 - Disable issuing of ZQCL/MPC(ZQ calibration) command at self-refresh/SR-Powerdown exit. Only applicable when run in DDR3 or DDR4 or LPDDR2 or LPDDR3 or LPDDR4 mode - 0 - Enable issuing of ZQCL/MPC(ZQ calibration) command at.." "Enable issuing of ZQCL/MPC,Disable issuing of ZQCL/MPC"
newline
bitfld.long 0x0 29. "ZQ_RESISTOR_SHARED,- 1 - Denotes that ZQ resistor is shared between ranks. Means ZQinit/ZQCL/ZQCS/MPC(ZQ calibration) commands are sent to one rank at a time with tZQinit/tZQCL/tZQCS/tZQCAL/tZQLAT timing met between commands so that commands to different.." "ZQ resistor is not shared,Denotes that ZQ resistor is shared between ranks"
newline
bitfld.long 0x0 28. "DIS_MPSMX_ZQCL,- 1 - Disable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode - 0 - Enable issuing of ZQCL command at Maximum Power Saving Mode exit. Only applicable when run in DDR4 mode This is only.." "Enable issuing of ZQCL command at Maximum Power..,Disable issuing of ZQCL command at Maximum Power.."
newline
hexmask.long.word 0x0 16.--26. 1. "T_ZQ_LONG_NOP,tZQoper for DDR3/DDR4 tZQCL for LPDDR2/LPDDR3 tZQCAL for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCL (ZQ calibration long)/MPC(ZQ Start) command is issued to SDRAM. When the controller is operating in 1:2 frequency.."
newline
hexmask.long.word 0x0 0.--9. 1. "T_ZQ_SHORT_NOP,tZQCS for DDR3/DD4/LPDDR2/LPDDR3 tZQLAT for LPDDR4: Number of DFI clock cycles of NOP required after a ZQCS (ZQ calibration short)/MPC(ZQ Latch) command is issued to SDRAM. When the controller is operating in 1:2 frequency ratio mode.."
group.long 0x190++0x7
line.long 0x0 "DFITMG0,[FREQ3] DFI Timing Register 0"
hexmask.long.byte 0x0 24.--28. 1. "DFI_T_CTRL_DELAY,Specifies the number of DFI clock cycles after an assertion or de-assertion of the DFI control signals that the control signals at the PHY-DRAM interface reflect the assertion or de-assertion. If the DFI clock and the memory clock are.."
newline
bitfld.long 0x0 23. "DFI_RDDATA_USE_DFI_PHY_CLK,Defines whether dfi_rddata_en/dfi_rddata/dfi_rddata_valid is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_t_rddata_en is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 16.--22. 1. "DFI_T_RDDATA_EN,Time from the assertion of a read command on the DFI interface to the assertion of the dfi_rddata_en signal. Refer to PHY specification for correct value. This corresponds to the DFI parameter trddata_en. Note that depending on the PHY.."
newline
bitfld.long 0x0 15. "DFI_WRDATA_USE_DFI_PHY_CLK,Defines whether dfi_wrdata_en/dfi_wrdata/dfi_wrdata_mask is generated using HDR (DFI clock) or SDR (DFI PHY clock) values. Selects whether value in DFITMG0.dfi_tphy_wrlat is in terms of HDR (DFI clock) or SDR (DFI PHY clock).." "Else,?"
newline
hexmask.long.byte 0x0 8.--13. 1. "DFI_TPHY_WRDATA,Specifies the number of clock cycles between when dfi_wrdata_en is asserted to when the associated write data is driven on the dfi_wrdata signal. This corresponds to the DFI timing parameter tphy_wrdata. For more information on correct.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRLAT,Write latency. Number of clocks from the write command to write data enable (dfi_wrdata_en). This corresponds to the DFI timing parameter tphy_wrlat. Refer to PHY specification for correct value.Note that depending on the PHY if using.."
line.long 0x4 "DFITMG1,[FREQ3] DFI Timing Register 1"
hexmask.long.byte 0x4 28.--31. 1. "DFI_T_CMD_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated command is driven. This field is used for CAL mode must be set to '0' or tCAL which matches the CAL mode register setting in.."
newline
bitfld.long 0x4 24.--25. "DFI_T_PARIN_LAT,Specifies the number of DFI PHY clock cycles between when the dfi_cs signal is asserted and when the associated dfi_parity_in signal is driven. Unit: DFI PHY clock cycles. Programming Mode: Quasi-dynamic Group 4" "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--20. 1. "DFI_T_WRDATA_DELAY,Specifies the number of DFI clock cycles between when the dfi_wrdata_en signal is asserted and when the corresponding write data transfer is completed on the DRAM bus. This corresponds to the DFI timing parameter twrdata_delay. For.."
newline
hexmask.long.byte 0x4 8.--12. 1. "DFI_T_DRAM_CLK_DISABLE,Specifies the number of DFI clock cycles from the assertion of the dfi_dram_clk_disable signal on the DFI until the clock to the DRAM memory devices at the PHY-DRAM boundary maintains a low value. If the DFI clock and the memory.."
newline
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_DRAM_CLK_ENABLE,Specifies the number of DFI clock cycles from the de-assertion of the dfi_dram_clk_disable signal on the DFI until the first valid rising edge of the clock to the DRAM memory devices at the PHY-DRAM boundary. If the DFI clock and.."
group.long 0x1B4++0x7
line.long 0x0 "DFITMG2,[FREQ3] DFI Timing Register 2"
hexmask.long.byte 0x0 8.--14. 1. "DFI_TPHY_RDCSLAT,specifies the number of DFI PHY clock cycles between when a read command is sent on the DFI control interface and when the associated dfi_rddata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_rdcslat. Refer to.."
newline
hexmask.long.byte 0x0 0.--5. 1. "DFI_TPHY_WRCSLAT,Specifies the number of DFI PHY clock cycles between when a write command is sent on the DFI control interface and when the associated dfi_wrdata_cs signal is asserted. This corresponds to the DFI timing parameter tphy_wrcslat. For more.."
line.long 0x4 "DFITMG3,[FREQ3] DFI Timing Register 3"
hexmask.long.byte 0x4 0.--4. 1. "DFI_T_GEARDOWN_DELAY,The delay from dfi_geardown_en assertion to the time of the PHY being ready to receive commands. Refer to PHY specification for correct value. When the controller is operating in 1:2 frequency ratio mode program this to.."
group.long 0x240++0x3
line.long 0x0 "ODTCFG,[FREQ3] ODT Configuration Register"
hexmask.long.byte 0x0 24.--27. 1. "WR_ODT_HOLD,DFI PHY clock cycles to hold ODT for a write command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x5 (DDR2-400/533/667) 0x6 (DDR2-800) 0x7 (DDR2-1066) - BL4 - 0x3 (DDR2-400/533/667) 0x4 (DDR2-800) 0x5 (DDR2-1066).."
newline
hexmask.long.byte 0x0 16.--20. 1. "WR_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a write command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
newline
hexmask.long.byte 0x0 8.--11. 1. "RD_ODT_HOLD,DFI PHY clock cycles to hold ODT for a read command. The minimum supported value is 2. Recommended values: DDR2: - BL8 - 0x6 (not DDR2-1066) 0x7 (DDR2-1066) - BL4 - 0x4 (not DDR2-1066) 0x5 (DDR2-1066) DDR3: - BL8 - 0x6 DDR4: - BL8 - 5 +.."
newline
hexmask.long.byte 0x0 2.--6. 1. "RD_ODT_DELAY,Indicates the delay in DFI PHY clock cycles from issuing a read command to setting ODT values associated with that command. ODT setting must remain constant for the entire time that DQS is driven by the uMCTL2. Recommended values: DDR2: -.."
tree.end
elif (CORENAME()=="CORTEXA55")
base ad:0x30D50000
group.long 0x0++0x23
line.long 0x0 "MIPI_DSI1_MUX,mipi dsi1 mux selection"
bitfld.long 0x0 0.--2. "DISP_MUX,mipi_dsi1_mux selection 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 others: not support" "DC1,DC2,?,?,?,?,?,?"
line.long 0x4 "MIPI_DSI2_MUX,mipi dsi2 mux selection"
bitfld.long 0x4 0.--2. "DISP_MUX,mipi_dsi2_mux selection 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 others: not support" "DC1,DC2,?,?,?,?,?,?"
line.long 0x8 "PARALLEL_MUX,parallel mux selection"
bitfld.long 0x8 0.--2. "DISP_MUX,parallel_mux selection 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 others: not support" "DC1,DC2,?,?,?,?,?,?"
line.long 0xC "DC1_PIX_CLOCK_MUX,DC1 clock selection"
bitfld.long 0xC 0.--1. "CLK_MUX,dc1_dsp_clock_mux 00: pll1 01: pll2 10: pll3 11: pll4" "pll1,pll2,?,?"
line.long 0x10 "DC2_PIX_CLOCK_MUX,DC2 clock selection"
bitfld.long 0x10 0.--1. "CLK_MUX,dc2_dsp_clock_mux 00: pll1 01: pll2 10: pll3 11: pll4" "pll1,pll2,?,?"
line.long 0x14 "DC3_PIX_CLOCK_MUX,DC3 clock selection"
bitfld.long 0x14 0.--1. "CLK_MUX,dc3_dsp_clock_mux 00: pll1 01: pll2 10: pll3 11: pll4" "pll1,pll2,?,?"
line.long 0x18 "DC4_PIX_CLOCK_MUX,DC4 clock selection"
bitfld.long 0x18 0.--1. "CLK_MUX,dc4_dsp_clock_mux 00: pll1 01: pll2 10: pll3 11: pll4" "pll1,pll2,?,?"
line.long 0x1C "DC5_PIX_CLOCK_MUX,DC5 clock selection"
bitfld.long 0x1C 0.--2. "CLK_MUX,dc5_dsp_clock_mux 00: pll1 01: pll2 10: pll3 11: pll4" "pll1,pll2,?,?,?,?,?,?"
line.long 0x20 "CRC32_MUX_1,CRC32 MUX"
bitfld.long 0x20 5. "CLK_POL,crc32 feedback clock polarity" "0,1"
bitfld.long 0x20 4. "DC5,dc5 crc32 mux 1: from parallel 0: from dc5" "from dc5,from parallel"
newline
bitfld.long 0x20 3. "DC4,dc1 crc32 mux 1: from parallel 0: from dc3" "from dc3,from parallel"
bitfld.long 0x20 2. "DC3,dc1 crc32 mux 1: from parallel 0: from dc2" "from dc2,from parallel"
bitfld.long 0x20 1. "DC2,dc1 crc32 mux 1: from parallel 0: from dc4" "from dc4,from parallel"
newline
bitfld.long 0x20 0. "DC1,dc1 crc32 mux 1: from parallel 0: from dc1" "from dc1,from parallel"
group.long 0x30++0x13
line.long 0x0 "MIPI_DSI1_CTRL1,MIPI DSI1 control"
hexmask.long.byte 0x0 14.--19. 1. "CSI_DT,changed CSI data_type"
hexmask.long.byte 0x0 8.--13. 1. "DSI_DT,DSI target data_type"
newline
bitfld.long 0x0 7. "IGORE_HSYNC,0: disable that igore the hsync short command 1: enable that igore the hsync short command" "disable that igore the hsync short command,enable that igore the hsync short command"
bitfld.long 0x0 6. "DSI2CSI_EN,dsi change to csi 0:disable 1:enable" "disable,enable"
bitfld.long 0x0 5. "DPI_EDPIHALT,record the MIPI dsi1 edpihalt" "0,1"
newline
bitfld.long 0x0 4. "DPI_UPDATECFG,control the MIPI dsi1 updatecfg" "0,1"
bitfld.long 0x0 3. "DPI_COLORM,control the MIPI dsi1 colorm" "0,1"
bitfld.long 0x0 2. "DPI_SHUTDN,control the MIPI dsi1 shutdn" "0,1"
newline
bitfld.long 0x0 0.--1. "PIXEL_MAP,pixel_map: 00: RGB101010 01: RBG565 10: RGB666 11: RGB888" "RGB101010,RBG565,?,?"
line.long 0x4 "MIPI_DSI1_CTRL2,MIPI DSI1 control"
hexmask.long.word 0x4 13.--28. 1. "LINE_NUM,the line number when add the fake frame end"
bitfld.long 0x4 12. "FVSE,fake frame end" "0,1"
newline
rbitfld.long 0x4 11. "LOCK,pll_lcok" "0,1"
bitfld.long 0x4 9.--10. "CLKSEL,Control of PLL clock output selection. 00 - Clocks stopped 01 - Clock generation 10 - Buffered clkext 11 - Forbidden" "Clocks stopped,Clock generation,?,?"
bitfld.long 0x4 8. "FORCE_LOCK,force lock 0: according to lock detector-default 1: lock indication forced" "according to lock detector-default,lock indication forced"
newline
bitfld.long 0x4 7. "GP_CLK_EN,clkout gate enable default 1'b1" "0,1"
hexmask.long.byte 0x4 0.--6. 1. "HSFREQRANGE,High-speed Frequency Range Selection"
line.long 0x8 "MIPI_DSI2_CTRL1,MIPI DSI2 control"
hexmask.long.byte 0x8 14.--19. 1. "CSI_DT,changed CSI data_type"
hexmask.long.byte 0x8 8.--13. 1. "DSI_DT,DSI target data_type"
newline
bitfld.long 0x8 7. "IGORE_HSYNC,0: disable that igore the hsync short command 1: enable that igore the hsync short command" "disable that igore the hsync short command,enable that igore the hsync short command"
bitfld.long 0x8 6. "DSI2CSI_EN,dsi change to csi 0:disable 1:enable" "disable,enable"
bitfld.long 0x8 5. "DPI_EDPIHALT,record the MIPI dsi1 edpihalt" "0,1"
newline
bitfld.long 0x8 4. "DPI_UPDATECFG,control the MIPI dsi1 updatecfg" "0,1"
bitfld.long 0x8 3. "DPI_COLORM,control the MIPI dsi1 colorm" "0,1"
bitfld.long 0x8 2. "DPI_SHUTDN,control the MIPI dsi1 shutdn" "0,1"
newline
bitfld.long 0x8 0.--1. "PIXEL_MAP,pixel_map: 00: RGB101010 01: RBG565 10: RGB666 11: RGB888" "RGB101010,RBG565,?,?"
line.long 0xC "MIPI_DSI2_CTRL2,MIPI DSI2 control"
hexmask.long.word 0xC 13.--28. 1. "LINE_NUM,the line number when add the fake frame end"
bitfld.long 0xC 12. "FVSE,fake frame end" "0,1"
newline
rbitfld.long 0xC 11. "LOCK,pll_lcok" "0,1"
bitfld.long 0xC 9.--10. "CLKSEL,Control of PLL clock output selection. 00 - Clocks stopped 01 - Clock generation 10 - Buffered clkext 11 - Forbidden" "Clocks stopped,Clock generation,?,?"
bitfld.long 0xC 8. "FORCE_LOCK,force lock 0: according to lock detector-default 1: lock indication forced" "according to lock detector-default,lock indication forced"
newline
bitfld.long 0xC 7. "GP_CLK_EN,clkout gate enable default 1'b1" "0,1"
hexmask.long.byte 0xC 0.--6. 1. "HSFREQRANGE,High-speed Frequency Range Selection"
line.long 0x10 "DP_FWD,DP data forward"
bitfld.long 0x10 2. "DP3,DP3 data forward 0: dc3 channel 1: dc4 channel" "dc3 channel,dc4 channel"
bitfld.long 0x10 1. "DP2,DP2 data forward 0: dc2 channel 1: dc1 channel" "dc2 channel,dc1 channel"
newline
bitfld.long 0x10 0. "DP1,DP1 data forward 0: dc2 channel 1: dc1 channel" "dc2 channel,dc1 channel"
group.long 0x50++0x13
line.long 0x0 "CSI1_CH2_MUX,CSI1 channel2 mux selection"
bitfld.long 0x0 0.--2. "DISP_MUX,CSI1 channel2 mux selection 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 others: not support" "DC1,DC2,?,?,?,?,?,?"
line.long 0x4 "CSI2_CH2_MUX,CSI2 channel2 mux selection"
bitfld.long 0x4 0.--2. "DISP_MUX,CSI2 channel2 mux selection 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 others: not support" "DC1,DC2,?,?,?,?,?,?"
line.long 0x8 "CSI3_CH1_MUX,CSI3 channel1 mux selection"
bitfld.long 0x8 0.--2. "DISP_MUX,CSI3 channel1 mux selection 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 others: not support" "DC1,DC2,?,?,?,?,?,?"
line.long 0xC "CSI3_CH2_MUX,CSI3 channel2 mux selection"
bitfld.long 0xC 0.--2. "DISP_MUX,CSI3 channel2 mux selection 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 others: not support" "DC1,DC2,?,?,?,?,?,?"
line.long 0x10 "MIPI_CSI1_CTRL,MIPI CSI1 control"
hexmask.long.byte 0x10 0.--6. 1. "HSFREQRANGE,High-speed Frequency Range Selection default:1GHz"
group.long 0x68++0x3
line.long 0x0 "MIPI_CSI2_CTRL,MIPI CSI2 control"
hexmask.long.byte 0x0 0.--6. 1. "HSFREQRANGE,High-speed Frequency Range Selection default:1GHz"
group.long 0x70++0x3
line.long 0x0 "MIPI_CSI3_CTRL,MIPI CSI3 control"
hexmask.long.byte 0x0 0.--6. 1. "HSFREQRANGE,High-speed Frequency Range Selection default:1GHz"
repeat 4. (list 0x1 0x2 0x3 0x4 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x80)++0x3
line.long 0x0 "SPARE_$1,spare 1"
hexmask.long 0x0 0.--31. 1. "SPARE,spare"
repeat.end
repeat 10. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 )
group.long ($2+0x100)++0x3
line.long 0x0 "SPIN_LOCK_$1,SPIN LOCK"
bitfld.long 0x0 0. "LOCK,read : set write: clear" "0,1"
repeat.end
rgroup.long 0x200++0x3
line.long 0x0 "NOC_STATUS,noc no pending status"
hexmask.long 0x0 0.--31. 1. "NOC_STATUS,noc_status 0: pending 1: no pending noc_status[0] csi1 noc_status[1] csi2 noc_status[2] csi3 noc_status[3] g2d noc_status[4] g2d_lite noc_status[5] dp1 noc_status[6] dc1 noc_status[7] dp2 noc_status[8] dc2 noc_status[9] dp3 noc_status[10] dc3.."
endif
tree.end
tree "DMAC (DMA Controller)"
sif (CORENAME()=="CORTEXR5F")
repeat 8. (increment 1. 1.) (list ad:0xF5500000 ad:0xF5510000 ad:0xF5520000 ad:0xF5530000 ad:0xF5540000 ad:0xF5550000 ad:0xF5560000 ad:0xF5570000)
tree "DMA$1"
base $2
tree "Common"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2)++0x3
line.long 0x0 "DMAC_IDREG_$1,DMAC ID Register contains a 64-bit value that is hardwired and read back by a read to the DW_axi_dmac ID Register."
hexmask.long 0x0 0.--31. 1. "DMAC_ID,DMAC ID Number."
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x8)++0x3
line.long 0x0 "DMAC_COMPVERREG_$1,This register contains a 64-bit value that is hardwired and read back by a read to the DW_axi_dmac Component Version Register."
hexmask.long 0x0 0.--31. 1. "DMAC_COMPVER,DMAC Component Version Number."
repeat.end
group.long 0x10++0x3
line.long 0x0 "DMAC_CFGREG_0,This register is used to enable the DW_axi_dmac. which must be done before any channel activity can begin. This register also contains global interrupt enable bit."
hexmask.long 0x0 2.--31. 1. "RSVD_DMAC_CFGREG,DMAC_CFGREG Reserved bits - Read Only"
newline
bitfld.long 0x0 1. "INT_EN,This bit is used to globally enable the interrupt generation. - 0: DW_axi_dmac Interrupts are disabled - 1: DW_axi_dmac Interrupt logic is enabled. 0x0: DW_axi_dmac Interrupts are disabled 0x1: DW_axi_dmac Interrupts are enabled" "DW_axi_dmac Interrupts are disabled,DW_axi_dmac Interrupts are enabled"
newline
bitfld.long 0x0 0. "DMAC_EN,This bit is used to enable the DW_axi_dmac. - 0: DW_axi_dmac disabled - 1: DW_axi_dmac enabled NOTE: If this bit DMAC_EN bit is cleared while any channel is still active then this bit still returns 1 to indicate that there are channels still.." "DW_axi_dmac is disabled,DW_axi_dmac is enabled"
rgroup.long 0x14++0x3
line.long 0x0 "DMAC_CFGREG_1,This register is used to enable the DW_axi_dmac. which must be done before any channel activity can begin. This register also contains global interrupt enable bit."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CFGREG,DMAC_CFGREG Reserved bits - Read Only"
group.long 0x18++0x3
line.long 0x0 "DMAC_CHENREG_0,This is DW_axi_dmac Channel Enable Register. If software wants to set up a new channel. it can read this register to find out which channels are currently inactive and then enable an inactive channel with the required priority. All bits of.."
bitfld.long 0x0 31. "CH8_SUSP_WE,This bit is used as a write enable to the Channel-8 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH8_SUSP bit 0x1: Enable Write to respective CH8_SUSP bit" "Disable Write to CH8_SUSP bit,Enable Write to respective CH8_SUSP bit"
newline
bitfld.long 0x0 30. "CH7_SUSP_WE,This bit is used as a write enable to the Channel-7 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH7_SUSP bit 0x1: Enable Write to respective CH7_SUSP bit" "Disable Write to CH7_SUSP bit,Enable Write to respective CH7_SUSP bit"
newline
bitfld.long 0x0 29. "CH6_SUSP_WE,This bit is used as a write enable to the Channel-6 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH6_SUSP bit 0x1: Enable Write to respective CH6_SUSP bit" "Disable Write to CH6_SUSP bit,Enable Write to respective CH6_SUSP bit"
newline
bitfld.long 0x0 28. "CH5_SUSP_WE,This bit is used as a write enable to the Channel-5 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH5_SUSP bit 0x1: Enable Write to respective CH5_SUSP bit" "Disable Write to CH5_SUSP bit,Enable Write to respective CH5_SUSP bit"
newline
bitfld.long 0x0 27. "CH4_SUSP_WE,This bit is used as a write enable to the Channel-4 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH4_SUSP bit 0x1: Enable Write to respective CH4_SUSP bit" "Disable Write to CH4_SUSP bit,Enable Write to respective CH4_SUSP bit"
newline
bitfld.long 0x0 26. "CH3_SUSP_WE,This bit is used as a write enable to the Channel-3 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH3_SUSP bit 0x1: Enable Write to respective CH3_SUSP bit" "Disable Write to CH3_SUSP bit,Enable Write to respective CH3_SUSP bit"
newline
bitfld.long 0x0 25. "CH2_SUSP_WE,This bit is used as a write enable to the Channel-2 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH2_SUSP bit 0x1: Enable Write to respective CH2_SUSP bit" "Disable Write to CH2_SUSP bit,Enable Write to respective CH2_SUSP bit"
newline
bitfld.long 0x0 24. "CH1_SUSP_WE,This bit is used as a write enable to the Channel-1 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH1_SUSP bit 0x1: Enable Write to respective CH1_SUSP bit" "Disable Write to CH1_SUSP bit,Enable Write to respective CH1_SUSP bit"
newline
bitfld.long 0x0 23. "CH8_SUSP,Channel-8 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-8"
newline
bitfld.long 0x0 22. "CH7_SUSP,Channel-7 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-7"
newline
bitfld.long 0x0 21. "CH6_SUSP,Channel-6 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-6"
newline
bitfld.long 0x0 20. "CH5_SUSP,Channel-5 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-5"
newline
bitfld.long 0x0 19. "CH4_SUSP,Channel-4 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-4"
newline
bitfld.long 0x0 18. "CH3_SUSP,Channel-3 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-3"
newline
bitfld.long 0x0 17. "CH2_SUSP,Channel-2 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-2"
newline
bitfld.long 0x0 16. "CH1_SUSP,Channel-1 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-1"
newline
bitfld.long 0x0 15. "CH8_EN_WE,DW_axi_dmac Channel-8 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH8_EN bit 0x1: Enable Write to CH8_EN bit" "Disable Write to respective CH8_EN bit,Enable Write to CH8_EN bit"
newline
bitfld.long 0x0 14. "CH7_EN_WE,DW_axi_dmac Channel-7 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH7_EN bit 0x1: Enable Write to CH7_EN bit" "Disable Write to respective CH7_EN bit,Enable Write to CH7_EN bit"
newline
bitfld.long 0x0 13. "CH6_EN_WE,DW_axi_dmac Channel-6 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH6_EN bit 0x1: Enable Write to CH6_EN bit" "Disable Write to respective CH6_EN bit,Enable Write to CH6_EN bit"
newline
bitfld.long 0x0 12. "CH5_EN_WE,DW_axi_dmac Channel-5 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH5_EN bit 0x1: Enable Write to CH5_EN bit" "Disable Write to respective CH5_EN bit,Enable Write to CH5_EN bit"
newline
bitfld.long 0x0 11. "CH4_EN_WE,DW_axi_dmac Channel-4 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH4_EN bit 0x1: Enable Write to CH4_EN bit" "Disable Write to respective CH4_EN bit,Enable Write to CH4_EN bit"
newline
bitfld.long 0x0 10. "CH3_EN_WE,DW_axi_dmac Channel-3 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH3_EN bit 0x1: Enable Write to CH3_EN bit" "Disable Write to respective CH3_EN bit,Enable Write to CH3_EN bit"
newline
bitfld.long 0x0 9. "CH2_EN_WE,DW_axi_dmac Channel-2 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH2_EN bit 0x1: Enable Write to CH2_EN bit" "Disable Write to respective CH2_EN bit,Enable Write to CH2_EN bit"
newline
bitfld.long 0x0 8. "CH1_EN_WE,DW_axi_dmac Channel-1 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH1_EN bit 0x1: Enable Write to CH1_EN bit" "Disable Write to respective CH1_EN bit,Enable Write to CH1_EN bit"
newline
bitfld.long 0x0 7. "CH8_EN,This bit is used to enable the DW_axi_dmac Channel-8. - 0: DW_axi_dmac Channel-8 is disabled - 1: DW_axi_dmac Channel-8 is enabled The bit 'DMAC_ChEnReg.CH8_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-8 is disabled,DW_axi_dmac: Channel-8 is enabled"
newline
bitfld.long 0x0 6. "CH7_EN,This bit is used to enable the DW_axi_dmac Channel-7. - 0: DW_axi_dmac Channel-7 is disabled - 1: DW_axi_dmac Channel-7 is enabled The bit 'DMAC_ChEnReg.CH7_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-7 is disabled,DW_axi_dmac: Channel-7 is enabled"
newline
bitfld.long 0x0 5. "CH6_EN,This bit is used to enable the DW_axi_dmac Channel-6. - 0: DW_axi_dmac Channel-6 is disabled - 1: DW_axi_dmac Channel-6 is enabled The bit 'DMAC_ChEnReg.CH6_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-6 is disabled,DW_axi_dmac: Channel-6 is enabled"
newline
bitfld.long 0x0 4. "CH5_EN,This bit is used to enable the DW_axi_dmac Channel-5. - 0: DW_axi_dmac Channel-5 is disabled - 1: DW_axi_dmac Channel-5 is enabled The bit 'DMAC_ChEnReg.CH5_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-5 is disabled,DW_axi_dmac: Channel-5 is enabled"
newline
bitfld.long 0x0 3. "CH4_EN,This bit is used to enable the DW_axi_dmac Channel-4. - 0: DW_axi_dmac Channel-4 is disabled - 1: DW_axi_dmac Channel-4 is enabled The bit 'DMAC_ChEnReg.CH4_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-4 is disabled,DW_axi_dmac: Channel-4 is enabled"
newline
bitfld.long 0x0 2. "CH3_EN,This bit is used to enable the DW_axi_dmac Channel-3. - 0: DW_axi_dmac Channel-3 is disabled - 1: DW_axi_dmac Channel-3 is enabled The bit 'DMAC_ChEnReg.CH3_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-3 is disabled,DW_axi_dmac: Channel-3 is enabled"
newline
bitfld.long 0x0 1. "CH2_EN,This bit is used to enable the DW_axi_dmac Channel-2. - 0: DW_axi_dmac Channel-2 is disabled - 1: DW_axi_dmac Channel-2 is enabled The bit 'DMAC_ChEnReg.CH2_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-2 is disabled,DW_axi_dmac: Channel-2 is enabled"
newline
bitfld.long 0x0 0. "CH1_EN,This bit is used to enable the DW_axi_dmac Channel-1. - 0: DW_axi_dmac Channel-1 is disabled - 1: DW_axi_dmac Channel-1 is enabled The bit 'DMAC_ChEnReg.CH1_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-1 is disabled,DW_axi_dmac: Channel-1 is enabled"
rgroup.long 0x1C++0x3
line.long 0x0 "DMAC_CHENREG_1,This is DW_axi_dmac Channel Enable Register. If software wants to set up a new channel. it can read this register to find out which channels are currently inactive and then enable an inactive channel with the required priority. All bits of.."
hexmask.long.word 0x0 16.--31. 1. "RSVD_DMAC_CHENREG,DMAC_CHENREG Reserved bits - Read Only"
newline
bitfld.long 0x0 15. "CH8_ABORT_WE,This bit is used to write enable the Channel-8 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH8_ABORT bit 0x1: Enable Write to CH8_ABORT bit" "Disable Write to CH8_ABORT bit,Enable Write to CH8_ABORT bit"
newline
bitfld.long 0x0 14. "CH7_ABORT_WE,This bit is used to write enable the Channel-7 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH7_ABORT bit 0x1: Enable Write to CH7_ABORT bit" "Disable Write to CH7_ABORT bit,Enable Write to CH7_ABORT bit"
newline
bitfld.long 0x0 13. "CH6_ABORT_WE,This bit is used to write enable the Channel-6 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH6_ABORT bit 0x1: Enable Write to CH6_ABORT bit" "Disable Write to CH6_ABORT bit,Enable Write to CH6_ABORT bit"
newline
bitfld.long 0x0 12. "CH5_ABORT_WE,This bit is used to write enable the Channel-5 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH5_ABORT bit 0x1: Enable Write to CH5_ABORT bit" "Disable Write to CH5_ABORT bit,Enable Write to CH5_ABORT bit"
newline
bitfld.long 0x0 11. "CH4_ABORT_WE,This bit is used to write enable the Channel-4 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH4_ABORT bit 0x1: Enable Write to CH4_ABORT bit" "Disable Write to CH4_ABORT bit,Enable Write to CH4_ABORT bit"
newline
bitfld.long 0x0 10. "CH3_ABORT_WE,This bit is used to write enable the Channel-3 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH3_ABORT bit 0x1: Enable Write to CH3_ABORT bit" "Disable Write to CH3_ABORT bit,Enable Write to CH3_ABORT bit"
newline
bitfld.long 0x0 9. "CH2_ABORT_WE,This bit is used to write enable the Channel-2 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH2_ABORT bit 0x1: Enable Write to CH2_ABORT bit" "Disable Write to CH2_ABORT bit,Enable Write to CH2_ABORT bit"
newline
bitfld.long 0x0 8. "CH1_ABORT_WE,This bit is used to write enable the Channel-1 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH1_ABORT bit 0x1: Enable Write to CH1_ABORT bit" "Disable Write to CH1_ABORT bit,Enable Write to CH1_ABORT bit"
newline
bitfld.long 0x0 7. "CH8_ABORT,Channel-8 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-8 Abort,Request for Channel-8 Abort"
newline
bitfld.long 0x0 6. "CH7_ABORT,Channel-7 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-7 Abort,Request for Channel-7 Abort"
newline
bitfld.long 0x0 5. "CH6_ABORT,Channel-6 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-6 Abort,Request for Channel-6 Abort"
newline
bitfld.long 0x0 4. "CH5_ABORT,Channel-5 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-5 Abort,Request for Channel-5 Abort"
newline
bitfld.long 0x0 3. "CH4_ABORT,Channel-4 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-4 Abort,Request for Channel-4 Abort"
newline
bitfld.long 0x0 2. "CH3_ABORT,Channel-3 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-3 Abort,Request for Channel-3 Abort"
newline
bitfld.long 0x0 1. "CH2_ABORT,Channel-2 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-2 Abort,Request for Channel-2 Abort"
newline
bitfld.long 0x0 0. "CH1_ABORT,Channel-1 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-1 Abort,Request for Channel-1 Abort"
rgroup.long 0x30++0x7
line.long 0x0 "DMAC_INTSTATUSREG_0,DMAC Interrupt Status Register captures the combined channel interrupt for each channel and Combined common register block interrupt. This register is present provided number of DMA channels are greater than 8."
hexmask.long.word 0x0 17.--31. 1. "RSVD_DMAC_INTSTATUSREG_63TO17,DMAC Interrupt Status Register (bits 63to17) Reserved bits - Read Only"
newline
bitfld.long 0x0 16. "COMMONREG_INTSTAT,Common Register Interrupt Status Bit. 0x1: Common Register Interrupt is Active 0x0: Common Register Interrupt is Inactive" "Common Register Interrupt is Inactive,Common Register Interrupt is Active"
newline
hexmask.long.byte 0x0 8.--15. 1. "RSVD_DMAC_INTSTATUSREG,DMAC Interrupt Status Register (bits 15to8) Reserved bits - Read Only"
newline
bitfld.long 0x0 7. "CH8_INTSTAT,Channel 8 Interrupt Status Bit. 0x1: Channel 8 Interrupt is Active 0x0: Channel 8 Interrupt is Inactive" "Channel 8 Interrupt is Inactive,Channel 8 Interrupt is Active"
newline
bitfld.long 0x0 6. "CH7_INTSTAT,Channel 7 Interrupt Status Bit. 0x1: Channel 7 Interrupt is Active 0x0: Channel 7 Interrupt is Inactive" "Channel 7 Interrupt is Inactive,Channel 7 Interrupt is Active"
newline
bitfld.long 0x0 5. "CH6_INTSTAT,Channel 6 Interrupt Status Bit. 0x1: Channel 6 Interrupt is Active 0x0: Channel 6 Interrupt is Inactive" "Channel 6 Interrupt is Inactive,Channel 6 Interrupt is Active"
newline
bitfld.long 0x0 4. "CH5_INTSTAT,Channel 5 Interrupt Status Bit. 0x1: Channel 5 Interrupt is Active 0x0: Channel 5 Interrupt is Inactive" "Channel 5 Interrupt is Inactive,Channel 5 Interrupt is Active"
newline
bitfld.long 0x0 3. "CH4_INTSTAT,Channel 4 Interrupt Status Bit. 0x1: Channel 4 Interrupt is Active 0x0: Channel 4 Interrupt is Inactive" "Channel 4 Interrupt is Inactive,Channel 4 Interrupt is Active"
newline
bitfld.long 0x0 2. "CH3_INTSTAT,Channel 3 Interrupt Status Bit. 0x1: Channel 3 Interrupt is Active 0x0: Channel 3 Interrupt is Inactive" "Channel 3 Interrupt is Inactive,Channel 3 Interrupt is Active"
newline
bitfld.long 0x0 1. "CH2_INTSTAT,Channel 2 Interrupt Status Bit. 0x1: Channel 2 Interrupt is Active 0x0: Channel 2 Interrupt is Inactive" "Channel 2 Interrupt is Inactive,Channel 2 Interrupt is Active"
newline
bitfld.long 0x0 0. "CH1_INTSTAT,Channel 1 Interrupt Status Bit. 0x1: Channel 1 Interrupt is Active 0x0: Channel 1 Interrupt is Inactive" "Channel 1 Interrupt is Inactive,Channel 1 Interrupt is Active"
line.long 0x4 "DMAC_INTSTATUSREG_1,DMAC Interrupt Status Register captures the combined channel interrupt for each channel and Combined common register block interrupt. This register is present provided number of DMA channels are greater than 8."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_INTSTATUSREG_63TO17,DMAC Interrupt Status Register (bits 63to17) Reserved bits - Read Only"
wgroup.long 0x38++0x7
line.long 0x0 "DMAC_COMMONREG_INTCLEARREG_0,Writing 1 to specific field clears the corresponding field in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long.tbyte 0x0 9.--31. 1. "RSVD_DMAC_COMMONREG_INTCLEARREG_63TO9,DMAC Common Register Interrupt Clear Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x0 8. "CLEAR_SLVIF_UNDEFINEDREG_DEC_ERR_INTSTAT,Slave Interface Undefined register Decode Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_UndefinedReg_DEC_ERR_IntStat in DMAC_CommonReg_IntStatusReg. 0x1:.." "Inactive signal,Clear the SLVIF_UndefinedReg_DEC_ERR interrupt.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RSVD_DMAC_COMMONREG_INTCLEARREG_7TO4,DMAC Common Register Interrupt Clear Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "CLEAR_SLVIF_COMMONREG_WRONHOLD_ERR_INTSTAT,Slave Interface Common Register Write On Hold Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_CommonReg_WrOnHold_ERR_IntStat in.." "Inactive signal,Clear the SLVIF_CommonReg_WrOnHold_ERR interrupt.."
newline
bitfld.long 0x0 2. "CLEAR_SLVIF_COMMONREG_RD2WO_ERR_INTSTAT,Slave Interface Common Register Read to Write only Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_CommonReg_RD2WO_ERR_IntStat in.." "Inactive signal,Clear the SLVIF_CommonReg_RD2WO_ERR interrupt in.."
newline
bitfld.long 0x0 1. "CLEAR_SLVIF_COMMONREG_WR2RO_ERR_INTSTAT,Slave Interface Common Register Write to Read only Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_CommonReg_WR2RO_ERR_IntStat in.." "Inactive signal,Clear the SLVIF_CommonReg_WR2RO_ERR interrupt in.."
newline
bitfld.long 0x0 0. "CLEAR_SLVIF_COMMONREG_DEC_ERR_INTSTAT,Slave Interface Common Register Decode Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit (SLVIF_CommonReg_DEC_ERR_IntStat in DMAC_CommonReg_IntStatusReg. 0x1: Clear.." "Inactive signal,Clear the SLVIF_CommonReg_DEC_ERR interrupt in.."
line.long 0x4 "DMAC_COMMONREG_INTCLEARREG_1,Writing 1 to specific field clears the corresponding field in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_COMMONREG_INTCLEARREG_63TO9,DMAC Common Register Interrupt Clear Register (bits 63to9) Reserved bits - Read Only"
group.long 0x40++0x3
line.long 0x0 "DMAC_COMMONREG_INTSTATUS_ENABLEREG_0,Writing 1 to specific field enables the corresponding interrupt status generation in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long.tbyte 0x0 9.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUS_ENABLEREG_63TO9,DMAC Common Register Interrupt Status Enable Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x0 8. "ENABLE_SLVIF_UNDEFINEDREG_DEC_ERR_INTSTAT,Slave Interface Undefined register Decode Error Interrupt Status enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_UndefinedReg_DEC_ERR_IntStat in.." "SLVIF_UndefinedReg_DEC_ERR_IntStat bit in..,SLVIF_UndefinedReg_DEC_ERR_IntStat bit in.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RSVD_DMAC_COMMONREG_INTSTATUS_ENABLEREG_7TO4,DMAC Common Register Interrupt Status Enable Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "ENABLE_SLVIF_COMMONREG_WRONHOLD_ERR_INTSTAT,Slave Interface Common Register Write On Hold Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_WrOnHold_ERR_IntStat in.." "SLVIF_CommonReg_WrOnHold_ERR_IntStat bit in..,SLVIF_CommonReg_WrOnHold_ERR_IntStat bit in.."
newline
bitfld.long 0x0 2. "ENABLE_SLVIF_COMMONREG_RD2WO_ERR_INTSTAT,Slave Interface Common Register Read to Write only Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_RD2WO_ERR_IntStat in.." "SLVIF_CommonReg_RD2WO_ERR_IntStat bit in..,SLVIF_CommonReg_RD2WO_ERR_IntStat bit in.."
newline
bitfld.long 0x0 1. "ENABLE_SLVIF_COMMONREG_WR2RO_ERR_INTSTAT,Slave Interface Common Register Write to Read only Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_WR2RO_ERR_IntStat in.." "SLVIF_CommonReg_WR2RO_ERR_IntStat bit in..,SLVIF_CommonReg_WR2RO_ERR_IntStat bit in.."
newline
bitfld.long 0x0 0. "ENABLE_SLVIF_COMMONREG_DEC_ERR_INTSTAT,Slave Interface Common Register Decode Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_DEC_ERR_IntStat in DMAC_CommonReg_IntStatusReg." "SLVIF_CommonReg_DEC_ERR_IntStat bit in..,SLVIF_CommonReg_DEC_ERR_IntStat bit in.."
rgroup.long 0x44++0x3
line.long 0x0 "DMAC_COMMONREG_INTSTATUS_ENABLEREG_1,Writing 1 to specific field enables the corresponding interrupt status generation in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUS_ENABLEREG_63TO9,DMAC Common Register Interrupt Status Enable Register (bits 63to9) Reserved bits - Read Only"
group.long 0x48++0x3
line.long 0x0 "DMAC_COMMONREG_INTSIGNAL_ENABLEREG_0,Writing 1 to specific field will propagate the corresponding interrupt status in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg) to generate an port level interrupt."
hexmask.long.tbyte 0x0 9.--31. 1. "RSVD_DMAC_COMMONREG_INTSIGNAL_ENABLEREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x0 8. "ENABLE_SLVIF_UNDEFINEDREG_DEC_ERR_INTSIGNAL,Slave Interface Undefined register Decode Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit(SLVIF_UndefinedReg_DEC_ERR_IntStat in.." "SLVIF_UndefinedReg_DEC_ERR_IntStat signal in..,SLVIF_UndefinedReg_DEC_ERR_IntStat signal in.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RSVD_DMAC_COMMONREG_INTSIGNAL_ENABLEREG_7TO4,DMAC Common Register Interrupt Signal Enable Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "ENABLE_SLVIF_COMMONREG_WRONHOLD_ERR_INTSIGNAL,Slave Interface Common Register Write On Hold Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit(SLVIF_CommonReg_WrOnHold_ERR_IntStat.." "SLVIF_CommonReg_WrOnHold_ERR_IntStat signal in..,SLVIF_CommonReg_WrOnHold_ERR_IntStat signal in.."
newline
bitfld.long 0x0 2. "ENABLE_SLVIF_COMMONREG_RD2WO_ERR_INTSIGNAL,Slave Interface Common Register Read to Write only Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit (SLVIF_CommonReg_RD2WO_ERR_IntStat.." "SLVIF_CommonReg_RD2WO_ERR_IntStat signal in..,SLVIF_CommonReg_RD2WO_ERR_IntStat signal in.."
newline
bitfld.long 0x0 1. "ENABLE_SLVIF_COMMONREG_WR2RO_ERR_INTSIGNAL,Slave Interface Common Register Write to Read only Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit (SLVIF_CommonReg_WR2RO_ERR_IntStat.." "SLVIF_CommonReg_WR2RO_ERR_IntStat signal in..,SLVIF_CommonReg_WR2RO_ERR_IntStat signal in.."
newline
bitfld.long 0x0 0. "ENABLE_SLVIF_COMMONREG_DEC_ERR_INTSIGNAL,Slave Interface Common Register Decode Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit (SLVIF_CommonReg_DEC_ERR_IntStat in.." "SLVIF_CommonReg_DEC_ERR_IntStat signal in..,SLVIF_CommonReg_DEC_ERR_IntStat signal in.."
rgroup.long 0x4C++0xB
line.long 0x0 "DMAC_COMMONREG_INTSIGNAL_ENABLEREG_1,Writing 1 to specific field will propagate the corresponding interrupt status in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg) to generate an port level interrupt."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_COMMONREG_INTSIGNAL_ENABLEREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
line.long 0x4 "DMAC_COMMONREG_INTSTATUSREG_0,This Register captures Slave interface access errors. - Decode Error. - Write to read only register. - Read to write only register. - write on hold. - undefined address."
hexmask.long.tbyte 0x4 9.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUSREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x4 8. "SLVIF_UNDEFINEDREG_DEC_ERR_INTSTAT,Slave Interface Undefined register Decode Error Interrupt Signal Enable Bit. Decode Error generated by DW_axi_dmac during register access. This error occurs if the register access is to undefined address range (>0x8FF.." "No Slave Interface Decode Errors,Slave Interface Decode Error detected"
newline
hexmask.long.byte 0x4 4.--7. 1. "RSVD_DMAC_COMMONREG_INTSTATUSREG_7TO4,DMAC Common Register Interrupt Status Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x4 3. "SLVIF_COMMONREG_WRONHOLD_ERR_INTSTAT,Slave Interface Common Register Write On Hold Error Interrupt Status Bit. This error occurs if an illegal write operation is performed on a common register; this happens if a write operation is performed on a common.." "No Slave Interface Common Register Write On Hold..,Slave Interface Common Register Write On Hold.."
newline
bitfld.long 0x4 2. "SLVIF_COMMONREG_RD2WO_ERR_INTSTAT,Slave Interface Common Register Read to Write only Error Interrupt Status bit. This error occurs if Read operation is performed to a Write Only register in the common register space (0x000 to 0x0FF). - 0: No Slave.." "No Slave Interface Read to Write Only Errors,Slave Interface Read to Write Only Error detected"
newline
bitfld.long 0x4 1. "SLVIF_COMMONREG_WR2RO_ERR_INTSTAT,Slave Interface Common Register Write to Read Only Error Interrupt Status bit. This error occurs if write operation is performed to a Read Only register in the common register space (0x000 to 0x0FF). - 0: No Slave.." "Slave Interface Write to Read Only Error detected,No Slave Interface Write to Read Only Errors"
newline
bitfld.long 0x4 0. "SLVIF_COMMONREG_DEC_ERR_INTSTAT,Slave Interface Common Register Decode Error Interrupt Status Bit. Decode Error generated by DW_axi_dmac during register access. This error occurs if the register access is to an invalid address in the common register.." "No Slave Interface Decode Errors,Slave Interface Decode Error detected"
line.long 0x8 "DMAC_COMMONREG_INTSTATUSREG_1,This Register captures Slave interface access errors. - Decode Error. - Write to read only register. - Read to write only register. - write on hold. - undefined address."
hexmask.long 0x8 0.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUSREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
group.long 0x58++0x3
line.long 0x0 "DMAC_RESETREG_0,This register is used to initiate the Software Reset to DW_axi_dmac."
hexmask.long 0x0 1.--31. 1. "RSVD_DMAC_RESETREG_1TO63,DMAC_ResetReg (bits 1to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 0. "DMAC_RST,DMAC Reset Request bit Software writes 1 to this bit to reset the DW_axi_dmac and polls this bit to see it as 0. DW_axi_dmac resets all the modules except the slave bus interface module and clears this bit to 0. NOTE: Software is not allowed to.." "0,1"
rgroup.long 0x5C++0x3
line.long 0x0 "DMAC_RESETREG_1,This register is used to initiate the Software Reset to DW_axi_dmac."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_RESETREG_1TO63,DMAC_ResetReg (bits 1to63) Reserved bits - Read Only"
group.long 0x60++0x7
line.long 0x0 "DMAC_LOWPOWER_CFGREG_0,This register contains the fields that configures the Context Sensitive Low Power feature. This register should be programmed prior to enabling the channel."
hexmask.long 0x0 4.--31. 1. "RSVD_DMAC_LOWPOWER_CFGREG_31TO4,DMAC_LOWPOWER_CFGREG (bits 4to31) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "MXIF_CSLP_EN,AXI Master Interface Context Sensitive Low Power feature enable. 0x0: AXI Master Interface Context Sensitive Low Power feature is disabled 0x1: AXI Master Interface Context Sensitive Low Power feature is enabled" "AXI Master Interface Context Sensitive Low Power..,AXI Master Interface Context Sensitive Low Power.."
newline
bitfld.long 0x0 2. "SBIU_CSLP_EN,SBIU Context Sensitive Low Power feature enable. 0x0: SBIU Context Sensitive Low Power feature is disabled 0x1: SBIU Context Sensitive Low Power feature is enabled" "SBIU Context Sensitive Low Power feature is..,SBIU Context Sensitive Low Power feature is.."
newline
bitfld.long 0x0 1. "CHNL_CSLP_EN,DMA Channel Context Sensitive Low Power feature enable. 0x0: DMA Channel Context Sensitive Low Power feature is disabled 0x1: DMA Channel Context Sensitive Low Power feature is enabled" "DMA Channel Context Sensitive Low Power feature..,DMA Channel Context Sensitive Low Power feature.."
newline
bitfld.long 0x0 0. "GBL_CSLP_EN,Global Context Sensitive Low Power feature enable. 0x0: Global Context Sensitive Low Power feature is disabled 0x1: Global Context Sensitive Low Power feature is enabled" "Global Context Sensitive Low Power feature is..,Global Context Sensitive Low Power feature is.."
line.long 0x4 "DMAC_LOWPOWER_CFGREG_1,This register contains the fields that configures the Context Sensitive Low Power feature. This register should be programmed prior to enabling the channel."
hexmask.long.byte 0x4 24.--31. 1. "RSVD_DMAC_LOWPOWER_CFGREG_63TO56,DMAC_LOWPOWER_CFGREG (bits 56to63) Reserved bits - Read Only"
newline
hexmask.long.byte 0x4 16.--23. 1. "MXIF_LPDLY,Defines the load value to be programmed into the AXI Master Interface low power delay counter. The programmed value must be greater than or equal to 0x4. If value programmed is less than 0x4 then the register value is reset to.."
newline
hexmask.long.byte 0x4 8.--15. 1. "SBIU_LPDLY,Defines the load value to be programmed into the SBIU low power delay counter. The programmed value must be greater than or equal to 0x4. If value programmed is less than 0x4 then the register value is reset to DMAX_SBIU_LPDLY. The maximum.."
newline
hexmask.long.byte 0x4 0.--7. 1. "GLCH_LPDLY,Defines the load value to be programmed into the Global and DMA Channel low power delay counter. The programmed value must be greater than or equal to 0x4. If value programmed is less than 0x4 then the register value is reset to.."
tree.end
tree "CH$1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2)++0x3
line.long 0x0 "CH$1_SAR_$1,The starting source address is programmed by software before the DMA channel is enabled. or by an LLI update before the start of the DMA transfer. While the DMA transfer is in progress. this register is updated to reflect the source address.."
hexmask.long 0x0 0.--31. 1. "SAR,Current Source Address of DMA transfer. Updated after each source transfer. The SINC fields in the CHx_CTL register determines whether the address increments or is left unchanged on every source transfer throughout the block transfer."
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x8)++0x3
line.long 0x0 "CH$1_DAR_$1,The starting destination address is programmed by the software before the DMA channel is enabled. or by an LLI update before the start of the DMA transfer. While the DMA transfer is in progress. this register is updated to reflect the.."
hexmask.long 0x0 0.--31. 1. "DAR,Current Destination Address of DMA transfer. Updated after each destination transfer. The DINC fields in the CHx_CTL register determines whether the address increments or is left unchanged on every destination transfer throughout the block transfer."
repeat.end
group.long 0x10++0x3
line.long 0x0 "CH$1_BLOCK_TS_0,When DW_axi_dmac is the flow controller. the DMAC uses this register before the channel is enabled for block-size."
hexmask.long.word 0x0 16.--31. 1. "RSVD_DMAC_CHX_BLOCK_TSREG_63TO16,DMAC Channelx Block Transfer Size Register (bits 63to16) Reserved bits - Read Only"
newline
hexmask.long.word 0x0 0.--15. 1. "BLOCK_TS,Block Transfer Size. The number programmed into BLOCK_TS field indicates the total number of data of width CHx_CTL.SRC_TR_WIDTH to be transferred in a DMA block transfer. Block Transfer Size = BLOCK_TS+1 When the transfer starts the read-back.."
rgroup.long 0x14++0x3
line.long 0x0 "CH$1_BLOCK_TS_1,When DW_axi_dmac is the flow controller. the DMAC uses this register before the channel is enabled for block-size."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_BLOCK_TSREG_63TO16,DMAC Channelx Block Transfer Size Register (bits 63to16) Reserved bits - Read Only"
group.long 0x18++0x17
line.long 0x0 "CH$1_CTL_0,This register contains fields that control the DMA transfer. This register should be programmed prior to enabling the channel except for LLI-based multi-block transfer. When LLI-based multi-block transfer is enabled. the CHx_CTL register is.."
rbitfld.long 0x0 31. "RSVD_DMAC_CHX_CTL_31,DMAC Channelx Control Transfer Register bit31 Reserved bits - Read Only" "0,1"
newline
bitfld.long 0x0 30. "NONPOSTED_LASTWRITE_EN,Non Posted Last Write Enable This bit decides whether posted writes can be used throughout the block transfer. - 0: Posted writes may be used throughout the block transfer. - 1: Posted writes may be used till the end of the block.." "Posted writes may be used throughout the block..,Last write in the block must be non-posted"
newline
hexmask.long.byte 0x0 26.--29. 1. "AW_CACHE,AXI 'aw_cache' signal"
newline
hexmask.long.byte 0x0 22.--25. 1. "AR_CACHE,AXI 'ar_cache' signal"
newline
hexmask.long.byte 0x0 18.--21. 1. "DST_MSIZE,Destination Burst Transaction Length. Number of data items each of width CHx_CTL.DST_TR_WIDTH to be written to the destination every time a destination burst transaction request is made from the corresponding hardware or software handshaking.."
newline
hexmask.long.byte 0x0 14.--17. 1. "SRC_MSIZE,Source Burst Transaction Length. Number of data items each of width CHx_CTL.SRC_TR_WIDTH to be read from the source every time a source burst transaction request is made from the corresponding hardware or software handshaking interface. The.."
newline
rbitfld.long 0x0 11.--13. "DST_TR_WIDTH,Destination Transfer Width. Mapped to AXI bus awsize this value must be less than or equal to DMAX_M_DATA_WIDTH. 0x4: Destination Transfer Width is 128 bits 0x1: Destination Transfer Width is 16 bits 0x5: Destination Transfer Width is 256.." "Destination Transfer Width is 8 bits,Destination Transfer Width is 16 bits,Destination Transfer Width is 32 bits,Destination Transfer Width is 64 bits,Destination Transfer Width is 128 bits,Destination Transfer Width is 256 bits,Destination Transfer Width is 512 bits,?"
newline
rbitfld.long 0x0 8.--10. "SRC_TR_WIDTH,Source Transfer Width. Mapped to AXI bus arsize this value must be less than or equal to DMAX_M_DATA_WIDTH. 0x4: Source Transfer Width is 128 bits 0x1: Source Transfer Width is 16 bits 0x5: Source Transfer Width is 256 bits 0x2: Source.." "Source Transfer Width is 8 bits,Source Transfer Width is 16 bits,Source Transfer Width is 32 bits,Source Transfer Width is 64 bits,Source Transfer Width is 128 bits,Source Transfer Width is 256 bits,Source Transfer Width is 512 bits,?"
newline
rbitfld.long 0x0 7. "RSVD_DMAC_CHX_CTL_7,DMAC Channelx Control Transfer Register bit7 Reserved bits - Read Only" "0,1"
newline
bitfld.long 0x0 6. "DINC,Destination Address Increment. Indicates whether to increment the destination address on every destination transfer. If the device is writing data from a source peripheral FIFO with a fixed address then set this field to 'No change'. - 0: Increment.." "Destination address incremented on every source..,Destination address is fixed"
newline
rbitfld.long 0x0 5. "RSVD_DMAC_CHX_CTL_5,DMAC Channelx Control Transfer Register bit5 Reserved bits - Read Only" "0,1"
newline
bitfld.long 0x0 4. "SINC,Source Address Increment. Indicates whether to increment the source address on every source transfer. If the device is fetching data from a source peripheral FIFO with a fixed address then set this field to 'No change'. - 0: Increment - 1: No.." "Source address incremented on every source..,Source address is fixed"
newline
rbitfld.long 0x0 3. "RSVD_DMAC_CHX_CTL_3,DMAC Channelx Control Transfer Register bit3 Reserved bits - Read Only" "0,1"
newline
rbitfld.long 0x0 2. "DMS,Destination Master Select. Identifies the Master Interface layer from which the destination device (peripheral or memory) is accessed. - 0: AXI master 1 - 1: AXI Master 2 0x0: Destination device on Master-1 interface layer 0x1: Destination device on.." "Destination device on Master-1 interface layer,Destination device on Master-2 interface layer"
newline
rbitfld.long 0x0 1. "RSVD_DMAC_CHX_CTL_1,DMAC Channelx Control Transfer Register bit1 Reserved bits - Read Only" "0,1"
newline
rbitfld.long 0x0 0. "SMS,Source Master Select. Identifies the Master Interface layer from which the source device (peripheral or memory) is accessed. - 0: AXI master 1 - 1: AXI Master 2 0x0: Source device on Master-1 interface layer 0x1: Source device on Master-2 interface.." "Source device on Master-1 interface layer,Source device on Master-2 interface layer"
line.long 0x4 "CH$1_CTL_1,This register contains fields that control the DMA transfer. This register should be programmed prior to enabling the channel except for LLI-based multi-block transfer. When LLI-based multi-block transfer is enabled. the CHx_CTL register is.."
bitfld.long 0x4 31. "SHADOWREG_OR_LLI_VALID,Shadow Register content/Linked List Item valid. Indicates whether the content of shadow register or the linked list item fetched from the memory is valid. - 0: Shadow Register content/LLI is invalid. - 1: Last Shadow Register/LLI.." "Shadow Register content/LLI is invalid,Last Shadow Register/LLI is valid"
newline
bitfld.long 0x4 30. "SHADOWREG_OR_LLI_LAST,Last Shadow Register/Linked List Item. Indicates whether shadow register content or the linked list item fetched from the memory is the last one or not. - 0: Not last Shadow Register/LLI - 1: Last Shadow Register/LLI LLI based.." "Indicates shadowreg/LLI content is not the last..,Indicates shadowreg/LLI content is the last one"
newline
rbitfld.long 0x4 27.--29. "RSVD_DMAC_CHX_CTL_59TO61,DMAC Channelx Control Transfer Register (bits 59to61) Reserved bits - Read Only" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 26. "IOC_BLKTFR,Interrupt On completion of Block Transfer This bit is used to control the block transfer completion interrupt generation on a block by block basis for shadow register or linked list based multi-block transfers. Writing 1 to this register field.." "Disables CHx_IntStatusReg,Enables CHx_IntStatusReg"
newline
rbitfld.long 0x4 25. "DST_STAT_EN,Destination Status Enable Enable the logic to fetch status from destination peripheral of channel x pointed to by the content of CHx_DSTATAR register and stores it in CHx_DSTAT register. This value is written back to the CHx_DSTAT location of.." "No status fetch for Destination device,Enables status fetch for Destination and store.."
newline
rbitfld.long 0x4 24. "SRC_STAT_EN,Source Status Enable Enable the logic to fetch status from source peripheral of channel x pointed to by the content of CHx_SSTATAR register and stores it in CHx_SSTAT register. This value is written back to the CHx_SSTAT location of linked.." "No status fetch for Source device,Enables status fetch for Source and store the.."
newline
hexmask.long.byte 0x4 16.--23. 1. "AWLEN,Destination Burst Length AXI Burst length used for destination data transfer. The specified burst length is used for destination data transfer till the extent possible; remaining transfers use maximum possible value that is less than or equal to.."
newline
bitfld.long 0x4 15. "AWLEN_EN,Destination Burst Length Enable If this bit is set to 1 DW_axi_dmac uses the value of CHx_CTL.AWLEN as AXI Burst length for destination data transfer till the extent possible; remaining transfers use maximum possible burst length. If this bit.." "AXI Burst Length is any possible value <=..,AXI Burst Length is CH$1_CTL"
newline
hexmask.long.byte 0x4 7.--14. 1. "ARLEN,Source Burst Length AXI Burst length used for source data transfer. The specified burst length is used for source data transfer till the extent possible; remaining transfers use maximum possible value that is less than or equal to.."
newline
bitfld.long 0x4 6. "ARLEN_EN,Source Burst Length Enable If this bit is set to 1 DW_axi_dmac uses the value of CHx_CTL.ARLEN as AXI Burst length for source data transfer till the extent possible; remaining transfers use maximum possible burst length. If this bit is set to 0.." "AXI Burst Length is any possible value <=..,AXI Burst Length is CH$1_CTL"
newline
bitfld.long 0x4 3.--5. "AW_PROT,AXI 'aw_prot' signal" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0.--2. "AR_PROT,AXI 'ar_prot' signal" "0,1,2,3,4,5,6,7"
line.long 0x8 "CH$1_CFG_0,This register contains fields that configure the DMA transfer. This register should be programmed prior to enabling the channel. Bits [63:32] of the channel configuration register remains fixed for all blocks of a multi-block transfer and can.."
hexmask.long 0x8 4.--31. 1. "RSVD_DMAC_CHX_CFG_4TO31,DMAC Channelx Transfer Configuration Register (bits 4to31) Reserved bits - Read Only"
newline
bitfld.long 0x8 2.--3. "DST_MULTBLK_TYPE,Destination Multi Block Transfer Type. These bits define the type of multi-block transfer used for destination peripheral. - 00: Contiguous - 01: Reload - 10: Shadow Register - 11: Linked List If the type selected is Contiguous the.." "Contiguous Multiblock Type used for Destination..,Reload Multiblock Type used for Destination..,Shadow Register based Multiblock Type used for..,Linked List based Multiblock Type used for.."
newline
bitfld.long 0x8 0.--1. "SRC_MULTBLK_TYPE,Source Multi Block Transfer Type. These bits define the type of multi-block transfer used for source peripheral. - 00: Contiguous - 01: Reload - 10: Shadow Register - 11: Linked List If the type selected is Contiguous the CHx_SAR.." "Contiguous Multiblock Type used for Source..,Reload Multiblock Type used for Source Transfer,Shadow Register based Multiblock Type used for..,Linked List based Multiblock Type used for.."
line.long 0xC "CH$1_CFG_1,This register contains fields that configure the DMA transfer. This register should be programmed prior to enabling the channel. Bits [63:32] of the channel configuration register remains fixed for all blocks of a multi-block transfer and can.."
rbitfld.long 0xC 31. "RSVD_DMAC_CHX_CFG_63,DMAC Channelx Transfer Configuration Register (63bit) Reserved bit - Read Only" "0,1"
newline
hexmask.long.byte 0xC 27.--30. 1. "DST_OSR_LMT,Destination Outstanding Request Limit - Maximum outstanding request supported is 16. - Source Outstanding Request Limit = DST_OSR_LMT + 1"
newline
hexmask.long.byte 0xC 23.--26. 1. "SRC_OSR_LMT,Source Outstanding Request Limit - Maximum outstanding request supported is 16. - Source Outstanding Request Limit = SRC_OSR_LMT + 1"
newline
rbitfld.long 0xC 21.--22. "LOCK_CH_L,Channel Lock Level This bit indicates the duration over which CHx_CFG.LOCK_CH bit applies. - 00: Over complete DMA transfer - 01: Over DMA block transfer - 1x: Reserved This field does not exist if the configuration parameter DMAX_CHx_LOCK_EN.." "Duration of the Channel locking is for the..,Duration of the Channel locking is for the..,?,?"
newline
rbitfld.long 0xC 20. "LOCK_CH,Channel Lock bit When the channel is granted control of the master bus interface and if the CHx_CFG.LOCK_CH bit is asserted then no other channels are granted control of the master bus interface for the duration specified in CHx_CFG.LOCK_CH_L." "Channel is not locked during the transfers,Channel is locked and granted exclusive access.."
newline
bitfld.long 0xC 17.--19. "CH_PRIOR,Channel Priority A priority of DMAX_NUM_CHANNELS-1 is the highest priority and 0 is the lowest. This field must be programmed within the following range: 0: DMAX_NUM_CHANNELS-1 A programmed value outside this range will cause erroneous behavior." "DMAX_NUM_CHANNELS-1,?,?,?,?,?,?,?"
newline
rbitfld.long 0xC 16. "RSVD_DMAC_CHX_CFG_48,DMAC Channelx Transfer Configuration Register (48bit) Reserved bit - Read Only" "0,1"
newline
hexmask.long.byte 0xC 12.--15. 1. "DST_PER,Assigns a hardware handshaking interface (0 - DMAX_NUM_HS_IF-1) to the destination of Channelx if the CHx_CFG.HS_SEL_DST field is 0; otherwise this field is ignored. The channel can then communicate with the destination peripheral connected to.."
newline
rbitfld.long 0xC 11. "RSVD_DMAC_CHX_CFG_43,DMAC Channelx Transfer Configuration Register (43bit) Reserved bit - Read Only" "0,1"
newline
hexmask.long.byte 0xC 7.--10. 1. "SRC_PER,Assigns a hardware handshaking interface (0 - DMAX_NUM_HS_IF-1) to the source of Channelx if the CHx_CFG.HS_SEL_SRC field is 0; otherwise this field is ignored. The channel can then communicate with the source peripheral connected to that.."
newline
rbitfld.long 0xC 6. "DST_HWHS_POL,Destination Hardware Handshaking Interface Polarity. - 0: ACTIVE HIGH - 1: ACTIVE LOW 0x0: Polarity of the Handshaking Interface used for the Destination peripheral is Active High 0x1: Polarity of the Handshaking Interface used for the.." "Polarity of the Handshaking Interface used for..,Polarity of the Handshaking Interface used for.."
newline
rbitfld.long 0xC 5. "SRC_HWHS_POL,Source Hardware Handshaking Interface Polarity. - 0: ACTIVE HIGH - 1: ACTIVE LOW 0x0: Polarity of the Handshaking Interface used for the Source peripheral is Active High 0x1: Polarity of the Handshaking Interface used for the Source.." "Polarity of the Handshaking Interface used for..,Polarity of the Handshaking Interface used for.."
newline
bitfld.long 0xC 4. "HS_SEL_DST,Destination Software or Hardware Handshaking Select. This register selects which of the handshaking interfaces (hardware or software) is active for destination requests on this channel. - 0: Hardware handshaking interface. Software-initiated.." "Hardware Handshaking Interface is used for the..,Software Handshaking Interface is used for the.."
newline
bitfld.long 0xC 3. "HS_SEL_SRC,Source Software or Hardware Handshaking Select. This register selects which of the handshaking interfaces (hardware or software) is active for source requests on this channel. - 0: Hardware handshaking interface. Software-initiated transaction.." "Hardware Handshaking Interface is used for the..,Software Handshaking Interface is used for the.."
newline
bitfld.long 0xC 0.--2. "TT_FC,Transfer Type and Flow Control. The following transfer types are supported. - Memory to Memory - Memory to Peripheral - Peripheral to Memory - Peripheral to Peripheral Flow Control can be assigned to the DW_axi_dmac the source peripheral or hte.." "Transfer Type is memory to memory and Flow..,Transfer Type is memory to peripheral and Flow..,Transfer Type is peripheral to memory and Flow..,Transfer Type is peripheral to peripheral and..,?,?,?,Reserved"
line.long 0x10 "CH$1_LLP_0,This is the Linked List Pointer register. This register must be programmed to point to the first Linked List Item (LLI) in memory prior to enabling the channel if linked-list-based block chaining is enabled. This register is updated with new.."
hexmask.long 0x10 6.--31. 1. "LOC,Starting Address Memory of LLI block Starting Address In Memory of next LLI if block chaining is enabled. The six LSBs of the starting address are not stored because the address is assumed to be aligned to a 64-byte boundary. LLI access always uses.."
newline
hexmask.long.byte 0x10 1.--5. 1. "RSVD_DMAC_CHX_LLP_1TO5,DMAC Channelx Linked List Pointer Register (bits 1to5) Reserved bits - Read Only"
newline
rbitfld.long 0x10 0. "LMS,LLI master Select This bit identifies the AXI layer/interface where the memory device that stores the next linked list item resides. - 0: AXI Master 1 - 1: AXI Master 2 This field does not exist if the configuration parameter DMAX_CHx_LMS is not set.." "next Linked List item resides on AXI Master1..,next Linked List item resides on AXI Master2.."
line.long 0x14 "CH$1_LLP_1,This is the Linked List Pointer register. This register must be programmed to point to the first Linked List Item (LLI) in memory prior to enabling the channel if linked-list-based block chaining is enabled. This register is updated with new.."
hexmask.long 0x14 0.--31. 1. "LOC,Starting Address Memory of LLI block Starting Address In Memory of next LLI if block chaining is enabled. The six LSBs of the starting address are not stored because the address is assumed to be aligned to a 64-byte boundary. LLI access always uses.."
rgroup.long 0x30++0x7
line.long 0x0 "CH$1_STATUSREG_0,Channelx Status Register contains fields that indicate the status of DMA transfers for Channelx."
hexmask.long.word 0x0 22.--31. 1. "RSVD_DMAC_CHX_STATUSREG_22TO31,DMAC Channelx Status Register (bits 22to31) Reserved bits - Read Only"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "CMPLTD_BLK_TFR_SIZE,Completed Block Transfer Size. This bit indicates the total number of data of width CHx_CTL.SRC_TR_WIDTH transferred for the previous block transfer. For normal block transfer completion without any errors this value will be equal to.."
line.long 0x4 "CH$1_STATUSREG_1,Channelx Status Register contains fields that indicate the status of DMA transfers for Channelx."
hexmask.long.tbyte 0x4 15.--31. 1. "RSVD_DMAC_CHX_STATUSREG_47TO63,DMAC Channelx Status Register (bits 47to63) Reserved bits - Read Only"
newline
hexmask.long.word 0x4 0.--14. 1. "DATA_LEFT_IN_FIFO,Data Left in FIFO. This bit indicates the total number of data left in DW_axi_dmac channel FIFO after completing the current block transfer. The width of the data in channel FIFO is equal to CHx_CTL.SRC_TR_WIDTH. For normal block.."
group.long 0x38++0x3
line.long 0x0 "CH$1_SWHSSRCREG_0,Channelx Software handshake Source Register."
hexmask.long 0x0 6.--31. 1. "RSVD_DMAC_CHX_SWHSSRCREG_6TO63,DMAC Channelx Software Handshake Source Register (bits 6to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 5. "SWHS_LST_SRC_WE,Write Enable bit for Software Handshake Last Request for Channel Source. 0x0: Disables write to the SWHS_LAST_SRC bit 0x1: Enables write to the SWHS_LAST_SRC bit" "Disables write to the SWHS_LAST_SRC bit,Enables write to the SWHS_LAST_SRC bit"
newline
bitfld.long 0x0 4. "SWHS_LST_SRC,Software Handshake Last Request for Channel Source. This bit is used to request LAST dma source data transfer if software handshaking method is selected for the source of the corresponding channel. This bit is ignored if software handshaking.." "Source peripheral indication that the curent..,Source peripheral indication to dmac that the.."
newline
bitfld.long 0x0 3. "SWHS_SGLREQ_SRC_WE,Write Enable bit for Software Handshake Single Request for Channel Source. 0x0: Disables write to the SWHS_SGLREQ_SRC bit 0x1: Enables write to the SWHS_SGLREQ_SRC bit" "Disables write to the SWHS_SGLREQ_SRC bit,Enables write to the SWHS_SGLREQ_SRC bit"
newline
bitfld.long 0x0 2. "SWHS_SGLREQ_SRC,Software Handshake Single Request for Channel Source. This bit is used to request SINGLE (AXI burst length = 1) dma source data transfer if software handshaking method is selected for the source of the corresponding channel. This bit is.." "Source peripheral is not requesting for a single..,Source peripheral request for a single dma.."
newline
bitfld.long 0x0 1. "SWHS_REQ_SRC_WE,Write Enable bit for Software Handshake Request for Channel Source. Note: This bit always returns 0 on a read back. 0x0: Disables write to the SWHS_REQ_SRC bit 0x1: Enables write to the SWHS_REQ_SRC bit" "Disables write to the SWHS_REQ_SRC bit,Enables write to the SWHS_REQ_SRC bit"
newline
bitfld.long 0x0 0. "SWHS_REQ_SRC,Software Handshake Request for Channel Source. This bit is used to request dma source data transfer if software handshaking method is selected for the source of the corresponding channel. This bit is ignored if software handshaking is not.." "Source peripheral is not requesting for a burst..,Source peripheral request for a dma transfer"
rgroup.long 0x3C++0x3
line.long 0x0 "CH$1_SWHSSRCREG_1,Channelx Software handshake Source Register."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_SWHSSRCREG_6TO63,DMAC Channelx Software Handshake Source Register (bits 6to63) Reserved bits - Read Only"
group.long 0x40++0x3
line.long 0x0 "CH$1_SWHSDSTREG_0,Channelx Software handshake Destination Register."
hexmask.long 0x0 6.--31. 1. "RSVD_DMAC_CHX_SWHSDSTREG_6TO63,DMAC Channelx Software Handshake Destination Register (bits 6to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 5. "SWHS_LST_DST_WE,Write Enable bit for Software Handshake Last Request for Channel Destination. Note: This bit always returns 0 on a read back. 0x0: Disables write to the SWHS_LAST_DST bit 0x1: Enables write to the SWHS_LAST_DST bit" "Disables write to the SWHS_LAST_DST bit,Enables write to the SWHS_LAST_DST bit"
newline
bitfld.long 0x0 4. "SWHS_LST_DST,Software Handshake Last Request for Channel Destination. This bit is used to request LAST dma destination data transfer if software handshaking method is selected for the destination of the corresponding channel. This bit is ignored if.." "Destination peripheral indication that the..,Destination peripheral indication to dmac that.."
newline
bitfld.long 0x0 3. "SWHS_SGLREQ_DST_WE,Write Enable bit for Software Handshake Single Request for Channel Destination. Note: This bit always returns 0 on a read block. 0x0: Disables write to the SWHS_SGLREQ_DST bit 0x1: Enables write to the SWHS_SGLREQ_DST bit" "Disables write to the SWHS_SGLREQ_DST bit,Enables write to the SWHS_SGLREQ_DST bit"
newline
bitfld.long 0x0 2. "SWHS_SGLREQ_DST,Software Handshake Single Request for Channel Destination. This bit is used to request SINGLE (AXI burst length = 1) dma destination data transfer if software handshaking method is selected for the destination of the corresponding.." "Destination peripheral is not requesting for a..,Destination peripheral request for a single dma.."
newline
bitfld.long 0x0 1. "SWHS_REQ_DST_WE,Write Enable bit for Software Handshake Request for Channel Destination. Note: This bit always returns 0 on a read block. 0x0: Disables write to the SWHS_REQ_DST bit 0x1: Enables write to the SWHS_REQ_DST bit" "Disables write to the SWHS_REQ_DST bit,Enables write to the SWHS_REQ_DST bit"
newline
bitfld.long 0x0 0. "SWHS_REQ_DST,Software Handshake Request for Channel Destination. This bit is used to request dma destination data transfer if software handshaking method is selected for the destination of the corresponding channel. This bit is ignored if software.." "Destination peripheral is not requesting for a..,Destination peripheral request for a dma transfer"
rgroup.long 0x44++0x3
line.long 0x0 "CH$1_SWHSDSTREG_1,Channelx Software handshake Destination Register."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_SWHSDSTREG_6TO63,DMAC Channelx Software Handshake Destination Register (bits 6to63) Reserved bits - Read Only"
wgroup.long 0x48++0x7
line.long 0x0 "CH$1_BLK_TFR_RESUMEREQREG_0,Channelx Block Transfer Resume Request Register. This register is used during Linked List or Shadow Register based multi-block transfer. - For Linked-List-based multi-block transfer. ShadowReg_Or_LLI_Valid bit in LLI.CHx_CTL.."
hexmask.long 0x0 1.--31. 1. "RSVD_DMAC_CHX_BLK_TFR_RESUMEREQREG_1TO63,DMAC Channelx Block Transfer Resume Request Register (bits 1to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 0. "BLK_TFR_RESUMEREQ,Block Transfer Resume Request during Linked-List or Shadow-Register-based multi-block transfer. 0x1: Request for resuming the block transfer 0x0: No request to resume the block transfer" "No request to resume the block transfer,Request for resuming the block transfer"
line.long 0x4 "CH$1_BLK_TFR_RESUMEREQREG_1,Channelx Block Transfer Resume Request Register. This register is used during Linked List or Shadow Register based multi-block transfer. - For Linked-List-based multi-block transfer. ShadowReg_Or_LLI_Valid bit in LLI.CHx_CTL.."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_CHX_BLK_TFR_RESUMEREQREG_1TO63,DMAC Channelx Block Transfer Resume Request Register (bits 1to63) Reserved bits - Read Only"
group.long 0x50++0x3
line.long 0x0 "CH$1_AXI_IDREG_0,Channelx AXI ID Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer. Note: The presence of this register is determined by the DMAC_M_ID_WIDTH.."
hexmask.long.word 0x0 18.--31. 1. "RSVD_DMAC_CHX_AXI_IDREG_IDW_L2NCM32TO63,DMAC Channelx AXI ID Register (bits (IDW-L2NC-1)to32) Reserved bits - Read Only"
newline
bitfld.long 0x0 16.--17. "AXI_WRITE_ID_SUFFIX,AXI Write ID Suffix. These bits form part of the AWID output of AXI3/AXI4 master interface. IDW = DMAX_M_ID_WIDTH L2NC = log2(DMAX_NUM_CHANNELS) The upper L2NC+1 bits of awidN is derived from the channel number which is currently.." "0,1,2,3"
newline
hexmask.long.word 0x0 2.--15. 1. "RSVD_DMAC_CHX_AXI_IDREG_IDW_L2NCM1TO31,DMAC Channelx AXI ID Register (bits (IDW-L2NC-1)to31) Reserved bits - Read Only"
newline
bitfld.long 0x0 0.--1. "AXI_READ_ID_SUFFIX,AXI Read ID Suffix These bits form part of the ARID output of AXI3/AXI4 master interface. IDW = DMAX_M_ID_WIDTH L2NC = log2(DMAX_NUM_CHANNELS) The upper L2NC+1 bits of aridN is derived from the channel number which is currently.." "0,1,2,3"
rgroup.long 0x54++0x3
line.long 0x0 "CH$1_AXI_IDREG_1,Channelx AXI ID Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer. Note: The presence of this register is determined by the DMAC_M_ID_WIDTH.."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_AXI_IDREG_32TO63,DMAC Channelx AXI ID Register (bits 32to63) Reserved bits - Read Only"
group.long 0x58++0x3
line.long 0x0 "CH$1_AXI_QOSREG_0,Channelx AXI QOS Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer."
hexmask.long.tbyte 0x0 8.--31. 1. "RSVD_DMAC_CHX_AXI_QOSREG_8TO63,DMAC Channelx AXI QOS Register (bits 8to63) Reserved bits - Read Only"
newline
hexmask.long.byte 0x0 4.--7. 1. "AXI_ARQOS,AXI ARQOS. These bits form the arqos output of AXI4 master interface."
newline
hexmask.long.byte 0x0 0.--3. 1. "AXI_AWQOS,AXI AWQOS. These bits form the awqos output of AXI4 master interface."
rgroup.long 0x5C++0x3
line.long 0x0 "CH$1_AXI_QOSREG_1,Channelx AXI QOS Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_AXI_QOSREG_8TO63,DMAC Channelx AXI QOS Register (bits 8to63) Reserved bits - Read Only"
group.long 0x80++0x3
line.long 0x0 "CH$1_INTSTATUS_ENABLEREG_0,Writing 1 to specific field enables the corresponding interrupt status generation in Channelx Interrupt Status Register(CH$1_IntStatusReg)."
bitfld.long 0x0 31. "ENABLE_CH_ABORTED_INTSTAT,Channel Aborted Status Enable. - 0: Disable the generation of Channel Aborted Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Aborted Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of Channel.." "Disable the generation of Channel Aborted..,Enable the generation of Channel Aborted.."
newline
bitfld.long 0x0 30. "ENABLE_CH_DISABLED_INTSTAT,Channel Disabled Status Enable. - 0: Disable the generation of Channel Disabled Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Disabled Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of Channel.." "Disable the generation of Channel Disabled..,Enable the generation of Channel Disabled.."
newline
bitfld.long 0x0 29. "ENABLE_CH_SUSPENDED_INTSTAT,Channel Suspended Status Enable. - 0: Disable the generation of Channel Suspended Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Suspended Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of.." "Disable the generation of Channel Suspended..,Enable the generation of Channel Suspended.."
newline
bitfld.long 0x0 28. "ENABLE_CH_SRC_SUSPENDED_INTSTAT,Channel Source Suspended Status Enable. - 0: Disable the generation of Channel Source Suspended Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Source Suspended Interrupt in CHx_INTSTATUSREG 0x0:.." "Disable the generation of Channel Source..,Enable the generation of Channel Source.."
newline
bitfld.long 0x0 27. "ENABLE_CH_LOCK_CLEARED_INTSTAT,Channel Lock Cleared Status Enable. - 0: Disable the generation of Channel LOCK CLEARED Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel LOCK CLEARED Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Channel LOCK CLEARED..,Enable the generation of Channel LOCK CLEARED.."
newline
hexmask.long.byte 0x0 22.--26. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_22TO26,DMAC Channelx Interrupt Status Register (bits 22to26) Reserved bits - Read Only"
newline
bitfld.long 0x0 21. "ENABLE_SLVIF_WRONHOLD_ERR_INTSTAT,Slave Interface Write On Hold Error Status Enable. - 0: Disable the generation of Slave Interface Write On Hold Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Write On Hold Error.." "Disable the generation of Slave Interface Write..,Enable the generation of Slave Interface Write.."
newline
bitfld.long 0x0 20. "ENABLE_SLVIF_SHADOWREG_WRON_VALID_ERR_INTSTAT,Shadow Register Write On Valid Error Status Enable. - 0: Disable the generation of Shadow Register Write On Valid Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Shadow register Write On.." "Disable the generation of Shadow Register Write..,Enable the generation of Shadow register Write.."
newline
bitfld.long 0x0 19. "ENABLE_SLVIF_WRONCHEN_ERR_INTSTAT,Slave Interface Write On Channel Enabled Error Status Enable. - 0: Disable the generation of Slave Interface Write On Channel enabled Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface.." "Disable the generation of Slave Interface Write..,Enable the generation of Slave Interface Write.."
newline
bitfld.long 0x0 18. "ENABLE_SLVIF_RD2RWO_ERR_INTSTAT,Slave Interface Read to write Only Error Status Enable. - 0: Disable the generation of Slave Interface Read to Write only Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Read to Write Only.." "Disable the generation of Slave Interface Read..,Enable the generation of Slave Interface Read to.."
newline
bitfld.long 0x0 17. "ENABLE_SLVIF_WR2RO_ERR_INTSTAT,Slave Interface Write to Read Only Error Status Enable. - 0: Disable the generation of Slave Interface Write to Read only Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Write to Read Only.." "Disable the generation of Slave Interface Write..,Enable the generation of Slave Interface Write.."
newline
bitfld.long 0x0 16. "ENABLE_SLVIF_DEC_ERR_INTSTAT,Slave Interface Decode Error Status Enable. - 0: Disable the generation of Slave Interface Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Decode Error Interrupt in CHx_INTSTATUSREG.." "Disable the generation of Slave Interface Decode..,Enable the generation of Slave Interface Decode.."
newline
rbitfld.long 0x0 15. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_15,DMAC Channelx Interrupt Status Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 14. "ENABLE_SLVIF_MULTIBLKTYPE_ERR_INTSTAT,Slave Interface Multi Block type Error Status Enable. - 0: Disable the generation of Slave Interface Multi Block type Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Multi Block type.." "Disable the generation of Slave Interface Multi..,Enable the generation of Slave Interface Multi.."
newline
bitfld.long 0x0 13. "ENABLE_SHADOWREG_OR_LLI_INVALID_ERR_INTSTAT,Shadow register or LLI Invalid Error Status Enable. - 0: Disable the generation of Shadow Register or LLI Invalid Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Shadow Register or LLI Invalid.." "Disable the generation of Shadow Register or LLI..,Enable the generation of Shadow Register or LLI.."
newline
bitfld.long 0x0 12. "ENABLE_LLI_WR_SLV_ERR_INTSTAT,LLI WRITE Slave Error Status Enable. - 0: Disable the generation of LLI WRITE Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI WRITE Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI WRITE Slave Error..,Enable the generation of LLI WRITE Slave Error.."
newline
bitfld.long 0x0 11. "ENABLE_LLI_RD_SLV_ERR_INTSTAT,LLI Read Slave Error Status Enable. - 0: Disable the generation of LLI Read Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI Read Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI Read Slave Error..,Enable the generation of LLI Read Slave Error.."
newline
bitfld.long 0x0 10. "ENABLE_LLI_WR_DEC_ERR_INTSTAT,LLI WRITE Decode Error Status Enable. - 0: Disable the generation of LLI WRITE Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI WRITE Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI WRITE Decode Error..,Enable the generation of LLI WRITE Decode Error.."
newline
bitfld.long 0x0 9. "ENABLE_LLI_RD_DEC_ERR_INTSTAT,LLI Read Decode Error Status Enable. - 0: Disable the generation of LLI Read Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI Read Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI Read Decode Error..,Enable the generation of LLI Read Decode Error.."
newline
bitfld.long 0x0 8. "ENABLE_DST_SLV_ERR_INTSTAT,Destination Slave Error Status Enable. - 0: Disable the generation of Destination Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Destination Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Destination Slave..,Enable the generation of Destination Slave Error.."
newline
bitfld.long 0x0 7. "ENABLE_SRC_SLV_ERR_INTSTAT,Source Slave Error Status Enable. - 0: Disable the generation of Source Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Source Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of.." "Disable the generation of Source Slave Error..,Enable the generation of Source Slave Error.."
newline
bitfld.long 0x0 6. "ENABLE_DST_DEC_ERR_INTSTAT,Destination Decode Error Status Enable. - 0: Disable the generation of Destination Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Destination Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Destination Decode..,Enable the generation of Destination Decode.."
newline
bitfld.long 0x0 5. "ENABLE_SRC_DEC_ERR_INTSTAT,Source Decode Error Status Enable. - 0: Disable the generation of Source Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Source Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of.." "Disable the generation of Source Decode Error..,Enable the generation of Source Decode Error.."
newline
bitfld.long 0x0 4. "ENABLE_DST_TRANSCOMP_INTSTAT,Destination Transaction Completed Status Enable. - 0: Disable the generation of Destination Transaction complete Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Destination Transaction complete Interrupt in.." "Disable the generation of Destination..,Enable the generation of Destination Transaction.."
newline
bitfld.long 0x0 3. "ENABLE_SRC_TRANSCOMP_INTSTAT,Source Transaction Completed Status Enable. - 0: Disable the generation of Source Transaction Complete Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Source Transaction Complete Interrupt in CHx_INTSTATUSREG 0x0:.." "Disable the generation of Source Transaction..,Enable the generation of Source Transaction.."
newline
rbitfld.long 0x0 2. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_2,DMAC Channelx Interrupt Status Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 1. "ENABLE_DMA_TFR_DONE_INTSTAT,DMA Transfer Done Interrupt Status Enable. - 0: Disable the generation of DMA Transfer Done Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of DMA Transfer Done Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of DMA Transfer Done..,Enable the generation of DMA Transfer Done.."
newline
bitfld.long 0x0 0. "ENABLE_BLOCK_TFR_DONE_INTSTAT,Block Transfer Done Interrupt Status Enable. - 0: Disable the generation of Block Transfer Done Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Block Transfer Done Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Block Transfer Done..,Enable the generation of Block Transfer Done.."
rgroup.long 0x84++0xB
line.long 0x0 "CH$1_INTSTATUS_ENABLEREG_1,Writing 1 to specific field enables the corresponding interrupt status generation in Channelx Interrupt Status Register(CH$1_IntStatusReg)."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_32TO63,DMAC Channelx Interrupt Status Register (bits 32to63) Reserved bits - Read Only"
line.long 0x4 "CH$1_INTSTATUS_0,Channelx Interrupt Status Register captures the Channelx specific interrupts"
bitfld.long 0x4 31. "CH_ABORTED_INTSTAT,Channel Aborted. This indicates to the software that the corresponding channel in DW_axi_dmac is aborted. - 0: Channel is not aborted - 1: Channel is aborted Error Interrupt is generated if the corresponding bit in.." "Channel is not aborted,Channel is aborted"
newline
bitfld.long 0x4 30. "CH_DISABLED_INTSTAT,Channel Disabled. This indicates to the software that the corresponding channel in DW_axi_dmac is disabled. - 0: Channel is not disabled. - 1: Channel is disabled. Error Interrupt is generated if the corresponding bit in.." "Channel is not disabled,Channel is disabled"
newline
bitfld.long 0x4 29. "CH_SUSPENDED_INTSTAT,Channel Suspended. This indicates to the software that the corresponding channel in DW_axi_dmac is suspended. - 0: Channel is not suspended. - 1: Channel is suspended. Error Interrupt is generated if the corresponding bit in.." "Channel is not suspended,Channel is suspended"
newline
bitfld.long 0x4 28. "CH_SRC_SUSPENDED_INTSTAT,Channel Source Suspended. This indicates to the software that the corresponding channel source data transfer in DW_axi_dmac is suspended. - 0: Channel source is not suspended - 1: Channel Source is suspended. Error Interrupt is.." "Channel source is not suspended,Channel Source is suspended"
newline
bitfld.long 0x4 27. "CH_LOCK_CLEARED_INTSTAT,Channel Lock Cleared. This indicates to the software that the locking of the corresponding channel in DW_axi_dmac is cleared. - 0: Channel locking is not cleared. - 1: Channel locking is cleared. Channel locking is cleared by.." "Channel locking is not cleared,Channel Locking is cleared"
newline
hexmask.long.byte 0x4 22.--26. 1. "RSVD_DMAC_CHX_INTSTATUSREG_22TO26,DMAC Channelx Specific Interrupt Register (bits 22to26) Reserved bits - Read Only"
newline
bitfld.long 0x4 21. "SLVIF_WRONHOLD_ERR_INTSTAT,Slave Interface Write On Hold Error. This error occurs if an illegal write operation is performed on a register; this happens if a write operation is performed on a channel register when DW_axi_dmac is in Hold mode. - 0: No.." "No Slave Interface Write On Hold Errors,Slave Interface Write On Hold Error detected"
newline
bitfld.long 0x4 20. "SLVIF_SHADOWREG_WRON_VALID_ERR_INTSTAT,Shadow Register Write On Valid Error. This error occurs if shadow register based multi-block transfer is enabled and software tries to write to the shadow register when CHx_CTL.ShadowReg_Or_LLI_Valid bit is 1. - 0:.." "No Slave Interface Shadow Register Write On..,Slave Interface Shadow Register Write On Valid.."
newline
bitfld.long 0x4 19. "SLVIF_WRONCHEN_ERR_INTSTAT,Slave Interface Write On Channel Enabled Error. This error occurs if an illegal write operation is performed on a register; this happens if a write operation is performed on a register when the channel is enabled and if it is.." "No Slave Interface Write On Channel Enabled Errors,Slave Interface Write On Channel Enabled Error.."
newline
bitfld.long 0x4 18. "SLVIF_RD2RWO_ERR_INTSTAT,Slave Interface Read to write Only Error. This error occurs if read operation is performed to a Write Only register. - 0: No Slave Interface Read to Write Only Errors. - 1: Slave Interface Read to Write Only Error detected. Error.." "No Slave Interface Read to Write Only Errors,Slave Interface Read to Write Only Error detected"
newline
bitfld.long 0x4 17. "SLVIF_WR2RO_ERR_INTSTAT,Slave Interface Write to Read Only Error. This error occurs if write operation is performed to a Read Only register. - 0: No Slave Interface Write to Read Only Errors. - 1: Slave Interface Write to Read Only Error detected. Error.." "No Slave Interface Write to Read Only Errors,Slave Interface Write to Read Only Error detected"
newline
bitfld.long 0x4 16. "SLVIF_DEC_ERR_INTSTAT,Slave Interface Decode Error. Decode Error generated by DW_axi_dmac during register access. This error occurs if the register access is to invalid address in Channelx register space resulting in error response by DW_axi_dmac slave.." "No Slave Interface Decode errors,Slave Interface Decode Error detected"
newline
bitfld.long 0x4 15. "RSVD_DMAC_CHX_INTSTATUSREG_15,DMAC Channelx Specific Interrupt Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x4 14. "SLVIF_MULTIBLKTYPE_ERR_INTSTAT,Slave Interface Multi Block type Error. This error occurs if multi-block transfer type programmed in CHx_CFG register (SRC_MLTBLK_TYPE and DST_MLTBLK_TYPE) is invalid. This error condition causes the DW_axi_dmac to halt the.." "No Multi-block transfer type Errors,Multi-block transfer type Error detected"
newline
bitfld.long 0x4 13. "SHADOWREG_OR_LLI_INVALID_ERR_INTSTAT,Shadow register or LLI Invalid Error. This error occurs if CHx_CTL.ShadowReg_Or_LLI_Valid bit is seen to be 0 during DW_axi_dmac Shadow Register / LLI fetch phase. This error condition causes the DW_axi_dmac to halt.." "No Shadow Register / LLI Invalid errors,Shadow Register / LLI Invalid error detected"
newline
bitfld.long 0x4 12. "LLI_WR_SLV_ERR_INTSTAT,LLI WRITE Slave Error. Slave Error detected by Master Interface during LLI write-back operation. This error occurs if the slave interface on which LLI resides issues a Slave Error. This error condition causes the DW_axi_dmac to.." "No LLI write Slave Errors,LLI Write SLAVE Error detected"
newline
bitfld.long 0x4 11. "LLI_RD_SLV_ERR_INTSTAT,LLI Read Slave Error. Slave Error detected by Master Interface during LLI read operation. This error occurs if the slave interface on which LLI resides issues a Slave Error. This error condition causes the DW_axi_dmac to disable.." "No LLI Read Slave Errors,LLI read Slave Error detected"
newline
bitfld.long 0x4 10. "LLI_WR_DEC_ERR_INTSTAT,LLI WRITE Decode Error. Decode Error detected by Master Interface during LLI write-back operation. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition.." "NO LLI Write Decode Errors,LLI write Decode Error detected"
newline
bitfld.long 0x4 9. "LLI_RD_DEC_ERR_INTSTAT,LLI Read Decode Error. Decode Error detected by Master Interface during LLI read operation. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition causes.." "NO LLI Read Decode Errors,LLI Read Decode Error detected"
newline
bitfld.long 0x4 8. "DST_SLV_ERR_INTSTAT,Destination Slave Error. Slave Error detected by Master Interface during destination data transfer. This error occurs if the slave interface to which the data is written issues a Slave Error. This error condition causes the.." "No Destination Slave Errors,Destination Slave Errors Detected"
newline
bitfld.long 0x4 7. "SRC_SLV_ERR_INTSTAT,Source Slave Error. Slave Error detected by Master Interface during source data transfer. This error occurs if the slave interface from which the data is read issues a Slave Error. This error condition causes the DW_axi_dmac to.." "No Source Slave Errors,Source Slave Error Detected"
newline
bitfld.long 0x4 6. "DST_DEC_ERR_INTSTAT,Destination Decode Error. Decode Error detected by Master Interface during destination data transfer. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition.." "No destination Decode Errors,Destination Decode Error Detected"
newline
bitfld.long 0x4 5. "SRC_DEC_ERR_INTSTAT,Source Decode Error. Decode Error detected by Master Interface during source data transfer. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition causes the.." "No Source Decode Errors,Source Decode Error detected"
newline
bitfld.long 0x4 4. "DST_TRANSCOMP_INTSTAT,Destination Transaction Completed. This bit is cleared to 0 on writing 1 to the corresponding channel interrupt clear bit in CHx_IntClearReg register or on enabling the channel (needed when interrupt is not enabled. 0x1: Destination.." "Destination transaction is not complete,Destination transaction is complete"
newline
bitfld.long 0x4 3. "SRC_TRANSCOMP_INTSTAT,Source Transaction Completed. This bit is cleared to 0 on writing 1 to the corresponding channel interrupt clear bit in CHx_IntClearReg register or on enabling the channel (needed when interrupt is not enabled. 0x1: Source.." "Source transation is not complete,Source transaction is complete"
newline
bitfld.long 0x4 2. "RSVD_DMAC_CHX_INTSTATUSREG_2,DMAC Channelx Specific Interrupt Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x4 1. "DMA_TFR_DONE_INTSTAT,DMA Transfer Done. This indicates to the software that the DW_axi_dmac has completed the requested DMA transfer. The DW_axi_dmac sets this bit to 1 along with setting CHx_INTSTATUS.BLOCK_TFR_DONE bit to 1 when the last block transfer.." "DMA Transfer not complete,DMA Transfer completed"
newline
bitfld.long 0x4 0. "BLOCK_TFR_DONE_INTSTAT,Block Transfer Done. This indicates to the software that the DW_axi_dmac has completed the requested block transfer. The DW_axi_dmac sets this bit to 1 when the transfer is successfully completed. - 0: Block Transfer not completed." "Block Transfer not complete,Block Transfer completed"
line.long 0x8 "CH$1_INTSTATUS_1,Channelx Interrupt Status Register captures the Channelx specific interrupts"
hexmask.long 0x8 0.--31. 1. "RSVD_DMAC_CHX_INTSTATUSREG_32TO63,DMAC Channelx Specific Interrupt Register (bits 32to63) Reserved bits - Read Only"
group.long 0x90++0x3
line.long 0x0 "CH$1_INTSIGNAL_ENABLEREG_0,This register contains fields that are used to enable the generation of port level interrupt at the channel level."
bitfld.long 0x0 31. "ENABLE_CH_ABORTED_INTSIGNAL,Channel Aborted Signal Enable. - 0: Disable the propagation of Channel Aborted Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Aborted Interrupt to generate a port level interrupt 0x0:.." "Disable the propagation of Channel Aborted..,Enable the propagation of Channel Aborted.."
newline
bitfld.long 0x0 30. "ENABLE_CH_DISABLED_INTSIGNAL,Channel Disabled Signal Enable. - 0: Disable the propagation of Channel Disabled Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Disabled Interrupt to generate a port level interrupt 0x0:.." "Disable the propagation of Channel Disabled..,Enable the propagation of Channel Disabled.."
newline
bitfld.long 0x0 29. "ENABLE_CH_SUSPENDED_INTSIGNAL,Channel Suspended Signal Enable. - 0: Disable the propagation of Channel Suspended Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Suspended Interrupt to generate a port level interrupt.." "Disable the propagation of Channel Suspended..,Enable the propagation of Channel Suspended.."
newline
bitfld.long 0x0 28. "ENABLE_CH_SRC_SUSPENDED_INTSIGNAL,Channel Source Suspended Signal Enable. - 0: Disable the propagation of Channel Source Suspended Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Source Suspended Interrupt to generate.." "Disable the propagation of Channel Source..,Enable the propagation of Channel Source.."
newline
bitfld.long 0x0 27. "ENABLE_CH_LOCK_CLEARED_INTSIGNAL,Channel Lock Cleared Signal Enable. - 0: Disable the propagation of Channel Lock Cleared Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Lock Cleared Interrupt to generate a port level.." "Disable the propagation of Channel Lock Cleared..,Enable the propagation of Channel Lock Cleared.."
newline
hexmask.long.byte 0x0 22.--26. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_22TO26,DMAC Channelx Interrupt Status Enable Register (bits 22to26) Reserved bits - Read Only"
newline
bitfld.long 0x0 21. "ENABLE_SLVIF_WRONHOLD_ERR_INTSIGNAL,Slave Interface Write On Hold Error Signal Enable. - 0: Disable the propagation of Slave Interface Write On Hold Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface Write.." "Disable the propagation of Slave Interface Write..,Enable the propagation of Slave Interface Write.."
newline
bitfld.long 0x0 20. "ENABLE_SLVIF_SHADOWREG_WRON_VALID_ERR_INTSIGNAL,Shadow Register Write On Valid Error Signal Enable. - 0: Disable the propagation of Shadow Register Write On Valid Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Shadow.." "Disable the propagation of Shadow Register Write..,Enable the propagation of Shadow register Write.."
newline
bitfld.long 0x0 19. "ENABLE_SLVIF_WRONCHEN_ERR_INTSIGNAL,Slave Interface Write On Channel Enabled Error Signal Enable. - 0: Disable the propagation of Slave Interface Write On Channel enabled Error Interrupt to generate a port level interrupt - 1: Enable the propagation of.." "Disable the propagation of Slave Interface Write..,Enable the propagation of Slave Interface Write.."
newline
bitfld.long 0x0 18. "ENABLE_SLVIF_RD2RWO_ERR_INTSIGNAL,Slave Interface Read to write Only Error Signal Enable. - 0: Disable the propagation of Slave Interface Read to Write only Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface.." "Disable the propagation of Slave Interface Read..,Enable the propagation of Slave Interface Read.."
newline
bitfld.long 0x0 17. "ENABLE_SLVIF_WR2RO_ERR_INTSIGNAL,Slave Interface Write to Read Only Error Signal Enable. - 0: Disable the propagation of Slave Interface Write to Read only Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface.." "Disable the propagation of Slave Interface Write..,Enable the propagation of Slave Interface Write.."
newline
bitfld.long 0x0 16. "ENABLE_SLVIF_DEC_ERR_INTSIGNAL,Slave Interface Decode Error Signal Enable. - 0: Disable the propagation of Slave Interface Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface Decode Error Interrupt to.." "Disable the propagation of Slave Interface..,Enable the propagation of Slave Interface Decode.."
newline
rbitfld.long 0x0 15. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_15,DMAC Channelx Interrupt Status Enable Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 14. "ENABLE_SLVIF_MULTIBLKTYPE_ERR_INTSIGNAL,Slave Interface Multi Block type Error Signal Enable. - 0: Disable the propagation of Slave Interface Multi Block type Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave.." "Disable the propagation of Slave Interface Multi..,Enable the propagation of Slave Interface Multi.."
newline
bitfld.long 0x0 13. "ENABLE_SHADOWREG_OR_LLI_INVALID_ERR_INTSIGNAL,Shadow register or LLI Invalid Error Signal Enable. - 0: Disable the propagation of Shadow Register or LLI Invalid Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Shadow.." "Disable the propagation of Shadow Register or..,Enable the propagation of Shadow Register or LLI.."
newline
bitfld.long 0x0 12. "ENABLE_LLI_WR_SLV_ERR_INTSIGNAL,LLI WRITE Slave Error Signal Enable. - 0: Disable the propagation of LLI WRITE Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI WRITE Slave Error Interrupt to generate a port.." "Disable the propagation of LLI WRITE Slave Error..,Enable the propagation of LLI WRITE Slave Error.."
newline
bitfld.long 0x0 11. "ENABLE_LLI_RD_SLV_ERR_INTSIGNAL,LLI Read Slave Error Signal Enable. - 0: Disable the propagation of LLI Read Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI Read Slave Error Interrupt to generate a port level.." "Disable the propagation of LLI Read Slave Error..,Enable the propagation of LLI Read Slave Error.."
newline
bitfld.long 0x0 10. "ENABLE_LLI_WR_DEC_ERR_INTSIGNAL,LLI WRITE Decode Error Signal Enable. - 0: Disable the propagation of LLI WRITE Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI WRITE Decode Error Interrupt to generate a port.." "Disable the propagation of LLI WRITE Decode..,Enable the propagation of LLI WRITE Decode Error.."
newline
bitfld.long 0x0 9. "ENABLE_LLI_RD_DEC_ERR_INTSIGNAL,LLI Read Decode Error Signal Enable. - 0: Disable the propagation of LLI Read Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI Read Decode Error Interrupt to generate a port.." "Disable the propagation of LLI Read Decode Error..,Enable the propagation of LLI Read Decode Error.."
newline
bitfld.long 0x0 8. "ENABLE_DST_SLV_ERR_INTSIGNAL,Destination Slave Error Signal Enable. - 0: Disable the propagation of Destination Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Destination Slave Error Interrupt to generate a port.." "Disable the propagation of Destination Slave..,Enable the propagation of Destination Slave.."
newline
bitfld.long 0x0 7. "ENABLE_SRC_SLV_ERR_INTSIGNAL,Source Slave Error Signal Enable. - 0: Disable the propagation of Source Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Source Slave Error Interrupt to generate a port level interrupt.." "Disable the propagation of Source Slave Error..,Enable the propagation of Source Slave Error.."
newline
bitfld.long 0x0 6. "ENABLE_DST_DEC_ERR_INTSIGNAL,Destination Decode Error Signal Enable. - 0: Disable the propagation of Destination Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Destination Decode Error Interrupt to generate a.." "Disable the propagation of Destination Decode..,Enable the propagation of Destination Decode.."
newline
bitfld.long 0x0 5. "ENABLE_SRC_DEC_ERR_INTSIGNAL,Source Decode Error Signal Enable. - 0: Disable the propagation of Source Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Source Decode Error Interrupt to generate a port level.." "Disable the propagation of Source Decode Error..,Enable the propagation of Source Decode Error.."
newline
bitfld.long 0x0 4. "ENABLE_DST_TRANSCOMP_INTSIGNAL,Destination Transaction Completed Signal Enable. - 0: Disable the propagation of Destination Transaction complete Interrupt to generate a port level interrupt - 1: Enable the propagation of Destination Transaction complete.." "Disable the propagation of Destination..,Enable the propagation of Destination.."
newline
bitfld.long 0x0 3. "ENABLE_SRC_TRANSCOMP_INTSIGNAL,Source Transaction Completed Signal Enable. - 0: Disable the propagation of Source Transaction Complete Interrupt to generate a port level interrupt - 1: Enable the propagation of Source Transaction Complete Interrupt to.." "Disable the propagation of Source Transaction..,Enable the propagation of Source Transaction.."
newline
rbitfld.long 0x0 2. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_2,DMAC Channelx Interrupt Status Enable Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 1. "ENABLE_DMA_TFR_DONE_INTSIGNAL,DMA Transfer Done Interrupt Signal Enable. - 0: Disable the propagation of DMA Transfer Done Interrupt to generate a port level interrupt - 1: Enable the propagation of DMA Transfer Done Interrupt to generate a port level.." "Disable the propagation of DMA Transfer Done..,Enable the propagation of DMA Transfer Done.."
newline
bitfld.long 0x0 0. "ENABLE_BLOCK_TFR_DONE_INTSIGNAL,Block Transfer Done Interrupt Signal Enable. - 0: Disable the propagation of Block Transfer Done Interrupt to generate a port level interrupt - 1: Enable the propagation of Block Transfer Done Interrupt to generate a port.." "Disable the propagation of Block Transfer Done..,Enable the propagation of Block Transfer Done.."
rgroup.long 0x94++0x3
line.long 0x0 "CH$1_INTSIGNAL_ENABLEREG_1,This register contains fields that are used to enable the generation of port level interrupt at the channel level."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_32TO63,DMAC Channelx Interrupt Status Enable Register (bits 32to63) Reserved bits - Read Only"
wgroup.long 0x98++0x7
line.long 0x0 "CH$1_INTCLEARREG_0,Writing 1 to specific field will clear the corresponding field in Channelx Interrupt Status Register(CHx_IntStatusReg)."
bitfld.long 0x0 31. "CLEAR_CH_ABORTED_INTSTAT,Channel Aborted Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_ABORTED interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the CH_ABORTED interrupt in the Interrupt.."
newline
bitfld.long 0x0 30. "CLEAR_CH_DISABLED_INTSTAT,Channel Disabled Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_DISABLED interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the CH_DISABLED interrupt in the Interrupt.."
newline
bitfld.long 0x0 29. "CLEAR_CH_SUSPENDED_INTSTAT,Channel Suspended Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_SUSPENDED interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the CH_SUSPENDED interrupt in the.."
newline
bitfld.long 0x0 28. "CLEAR_CH_SRC_SUSPENDED_INTSTAT,Channel Source Suspended Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_SRC_SUSPENDED interrupt in the Interrupt Status.." "Inactive signal,Clear the CH_SRC_SUSPENDED interrupt in the.."
newline
bitfld.long 0x0 27. "CLEAR_CH_LOCK_CLEARED_INTSTAT,Channel Lock Cleared Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_LOCK_CLEARED interrupt in the Interrupt Status.." "Inactive signal,Clear the CH_LOCK_CLEARED interrupt in the.."
newline
hexmask.long.byte 0x0 22.--26. 1. "RSVD_DMAC_CHX_INTCLEARREG_22TO26,DMAC Channelx Interrupt Clear Register (bits 22to26) Reserved bit - Read Only"
newline
bitfld.long 0x0 21. "CLEAR_SLVIF_WRONHOLD_ERR_INTSTAT,Slave Interface Write On Hold Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_WRONHOLD_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_WRONHOLD_ERR interrupt in the.."
newline
bitfld.long 0x0 20. "CLEAR_SLVIF_SHADOWREG_WRON_VALID_ERR_INTSTAT,Shadow Register Write On Valid Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_SHADOWREG_WRON_VALID_ERR interrupt.." "Inactive signal,Clear the SLVIF_SHADOWREG_WRON_VALID_ERR.."
newline
bitfld.long 0x0 19. "CLEAR_SLVIF_WRONCHEN_ERR_INTSTAT,Slave Interface Write On Channel Enabled Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_WRONCHEN_ERR interrupt in the.." "Inactive signal,Clear the SLVIF_WRONCHEN_ERR interrupt in the.."
newline
bitfld.long 0x0 18. "CLEAR_SLVIF_RD2RWO_ERR_INTSTAT,Slave Interface Read to write Only Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_RD2RWO_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_RD2RWO_ERR interrupt in the.."
newline
bitfld.long 0x0 17. "CLEAR_SLVIF_WR2RO_ERR_INTSTAT,Slave Interface Write to Read Only Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_WR2RO_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_WR2RO_ERR interrupt in the.."
newline
bitfld.long 0x0 16. "CLEAR_SLVIF_DEC_ERR_INTSTAT,Slave Interface Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_DEC_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_DEC_ERR interrupt in the.."
newline
bitfld.long 0x0 15. "RSVD_DMAC_CHX_INTCLEARREG_15,DMAC Channelx Interrupt Clear Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 14. "CLEAR_SLVIF_MULTIBLKTYPE_ERR_INTSTAT,Slave Interface Multi Block type Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_MULTIBLKTYPE_ERR interrupt in the.." "Inactive signal,Clear the SLVIF_MULTIBLKTYPE_ERR interrupt in.."
newline
bitfld.long 0x0 13. "CLEAR_SHADOWREG_OR_LLI_INVALID_ERR_INTSTAT,Shadow register or LLI Invalid Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SHADOWREG_OR_LLI_INVALID_ERR interrupt in.." "Inactive signal,Clear the SHADOWREG_OR_LLI_INVALID_ERR interrupt.."
newline
bitfld.long 0x0 12. "CLEAR_LLI_WR_SLV_ERR_INTSTAT,LLI WRITE Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_WR_SLV_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_WR_SLV_ERR interrupt in the.."
newline
bitfld.long 0x0 11. "CLEAR_LLI_RD_SLV_ERR_INTSTAT,LLI Read Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_RD_SLV_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_RD_SLV_ERR interrupt in the.."
newline
bitfld.long 0x0 10. "CLEAR_LLI_WR_DEC_ERR_INTSTAT,LLI WRITE Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_WR_DEC_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_WR_DEC_ERR interrupt in the.."
newline
bitfld.long 0x0 9. "CLEAR_LLI_RD_DEC_ERR_INTSTAT,LLI Read Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_RD_DEC_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_RD_DEC_ERR interrupt in the.."
newline
bitfld.long 0x0 8. "CLEAR_DST_SLV_ERR_INTSTAT,Destination Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DST_SLV_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg)." "Inactive signal,Clear the DST_SLV_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 7. "CLEAR_SRC_SLV_ERR_INTSTAT,Source Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SRC_SLV_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the SRC_SLV_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 6. "CLEAR_DST_DEC_ERR_INTSTAT,Destination Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DST_DEC_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg)." "Inactive signal,Clear the DST_DEC_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 5. "CLEAR_SRC_DEC_ERR_INTSTAT,Source Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SRC_DEC_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the SRC_DEC_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 4. "CLEAR_DST_TRANSCOMP_INTSTAT,Destination Transaction Completed Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DST_TRANSCOMP interrupt in the Interrupt Status.." "Inactive signal,Clear the DST_TRANSCOMP interrupt in the.."
newline
bitfld.long 0x0 3. "CLEAR_SRC_TRANSCOMP_INTSTAT,Source Transaction Completed Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SRC_TRANSCOMP interrupt in the Interrupt Status.." "Inactive signal,Clear the SRC_TRANSCOMP interrupt in the.."
newline
bitfld.long 0x0 2. "RSVD_DMAC_CHX_INTCLEARREG_2,DMAC Channelx Interrupt Clear Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 1. "CLEAR_DMA_TFR_DONE_INTSTAT,DMA Transfer Done Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DMA_TFR_DONE interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the DMA_TFR_DONE interrupt in the.."
newline
bitfld.long 0x0 0. "CLEAR_BLOCK_TFR_DONE_INTSTAT,Block Transfer Done Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CH$1_INTSTATUSREG 0x1: Clear the interrupt in the Interrupt Status Register(CHx_IntStatusReg). Writing a 1 to.." "Inactive signal,Clear the interrupt in the Interrupt Status.."
line.long 0x4 "CH$1_INTCLEARREG_1,Writing 1 to specific field will clear the corresponding field in Channelx Interrupt Status Register(CHx_IntStatusReg)."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_CHX_INTCLEARREG_32TO63,DMAC Channelx Interrupt Clear Register (bits 32to63) Reserved bit - Read Only"
tree.end
tree.end
repeat.end
elif (CORENAME()=="CORTEXA55")
repeat 8. (increment 1. 1.) (list ad:0x35500000 ad:0x35510000 ad:0x35520000 ad:0x35530000 ad:0x35540000 ad:0x35550000 ad:0x35560000 ad:0x35570000)
tree "DMA$1"
base $2
tree "Common"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2)++0x3
line.long 0x0 "DMAC_IDREG_$1,DMAC ID Register contains a 64-bit value that is hardwired and read back by a read to the DW_axi_dmac ID Register."
hexmask.long 0x0 0.--31. 1. "DMAC_ID,DMAC ID Number."
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x8)++0x3
line.long 0x0 "DMAC_COMPVERREG_$1,This register contains a 64-bit value that is hardwired and read back by a read to the DW_axi_dmac Component Version Register."
hexmask.long 0x0 0.--31. 1. "DMAC_COMPVER,DMAC Component Version Number."
repeat.end
group.long 0x10++0x3
line.long 0x0 "DMAC_CFGREG_0,This register is used to enable the DW_axi_dmac. which must be done before any channel activity can begin. This register also contains global interrupt enable bit."
hexmask.long 0x0 2.--31. 1. "RSVD_DMAC_CFGREG,DMAC_CFGREG Reserved bits - Read Only"
newline
bitfld.long 0x0 1. "INT_EN,This bit is used to globally enable the interrupt generation. - 0: DW_axi_dmac Interrupts are disabled - 1: DW_axi_dmac Interrupt logic is enabled. 0x0: DW_axi_dmac Interrupts are disabled 0x1: DW_axi_dmac Interrupts are enabled" "DW_axi_dmac Interrupts are disabled,DW_axi_dmac Interrupts are enabled"
newline
bitfld.long 0x0 0. "DMAC_EN,This bit is used to enable the DW_axi_dmac. - 0: DW_axi_dmac disabled - 1: DW_axi_dmac enabled NOTE: If this bit DMAC_EN bit is cleared while any channel is still active then this bit still returns 1 to indicate that there are channels still.." "DW_axi_dmac is disabled,DW_axi_dmac is enabled"
rgroup.long 0x14++0x3
line.long 0x0 "DMAC_CFGREG_1,This register is used to enable the DW_axi_dmac. which must be done before any channel activity can begin. This register also contains global interrupt enable bit."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CFGREG,DMAC_CFGREG Reserved bits - Read Only"
group.long 0x18++0x3
line.long 0x0 "DMAC_CHENREG_0,This is DW_axi_dmac Channel Enable Register. If software wants to set up a new channel. it can read this register to find out which channels are currently inactive and then enable an inactive channel with the required priority. All bits of.."
bitfld.long 0x0 31. "CH8_SUSP_WE,This bit is used as a write enable to the Channel-8 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH8_SUSP bit 0x1: Enable Write to respective CH8_SUSP bit" "Disable Write to CH8_SUSP bit,Enable Write to respective CH8_SUSP bit"
newline
bitfld.long 0x0 30. "CH7_SUSP_WE,This bit is used as a write enable to the Channel-7 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH7_SUSP bit 0x1: Enable Write to respective CH7_SUSP bit" "Disable Write to CH7_SUSP bit,Enable Write to respective CH7_SUSP bit"
newline
bitfld.long 0x0 29. "CH6_SUSP_WE,This bit is used as a write enable to the Channel-6 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH6_SUSP bit 0x1: Enable Write to respective CH6_SUSP bit" "Disable Write to CH6_SUSP bit,Enable Write to respective CH6_SUSP bit"
newline
bitfld.long 0x0 28. "CH5_SUSP_WE,This bit is used as a write enable to the Channel-5 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH5_SUSP bit 0x1: Enable Write to respective CH5_SUSP bit" "Disable Write to CH5_SUSP bit,Enable Write to respective CH5_SUSP bit"
newline
bitfld.long 0x0 27. "CH4_SUSP_WE,This bit is used as a write enable to the Channel-4 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH4_SUSP bit 0x1: Enable Write to respective CH4_SUSP bit" "Disable Write to CH4_SUSP bit,Enable Write to respective CH4_SUSP bit"
newline
bitfld.long 0x0 26. "CH3_SUSP_WE,This bit is used as a write enable to the Channel-3 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH3_SUSP bit 0x1: Enable Write to respective CH3_SUSP bit" "Disable Write to CH3_SUSP bit,Enable Write to respective CH3_SUSP bit"
newline
bitfld.long 0x0 25. "CH2_SUSP_WE,This bit is used as a write enable to the Channel-2 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH2_SUSP bit 0x1: Enable Write to respective CH2_SUSP bit" "Disable Write to CH2_SUSP bit,Enable Write to respective CH2_SUSP bit"
newline
bitfld.long 0x0 24. "CH1_SUSP_WE,This bit is used as a write enable to the Channel-1 Suspend bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH1_SUSP bit 0x1: Enable Write to respective CH1_SUSP bit" "Disable Write to CH1_SUSP bit,Enable Write to respective CH1_SUSP bit"
newline
bitfld.long 0x0 23. "CH8_SUSP,Channel-8 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-8"
newline
bitfld.long 0x0 22. "CH7_SUSP,Channel-7 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-7"
newline
bitfld.long 0x0 21. "CH6_SUSP,Channel-6 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-6"
newline
bitfld.long 0x0 20. "CH5_SUSP,Channel-5 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-5"
newline
bitfld.long 0x0 19. "CH4_SUSP,Channel-4 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-4"
newline
bitfld.long 0x0 18. "CH3_SUSP,Channel-3 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-3"
newline
bitfld.long 0x0 17. "CH2_SUSP,Channel-2 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-2"
newline
bitfld.long 0x0 16. "CH1_SUSP,Channel-1 Suspend Request. Software sets this bit to 1 to request channel suspend. If this bit is set to 1 DW_axi_dmac suspends all DMA data transfers from the source gracefully until this bit is cleared. There is no guarantee that the current.." "No Channel Suspend Request,Request to Suspended Channel-1"
newline
bitfld.long 0x0 15. "CH8_EN_WE,DW_axi_dmac Channel-8 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH8_EN bit 0x1: Enable Write to CH8_EN bit" "Disable Write to respective CH8_EN bit,Enable Write to CH8_EN bit"
newline
bitfld.long 0x0 14. "CH7_EN_WE,DW_axi_dmac Channel-7 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH7_EN bit 0x1: Enable Write to CH7_EN bit" "Disable Write to respective CH7_EN bit,Enable Write to CH7_EN bit"
newline
bitfld.long 0x0 13. "CH6_EN_WE,DW_axi_dmac Channel-6 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH6_EN bit 0x1: Enable Write to CH6_EN bit" "Disable Write to respective CH6_EN bit,Enable Write to CH6_EN bit"
newline
bitfld.long 0x0 12. "CH5_EN_WE,DW_axi_dmac Channel-5 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH5_EN bit 0x1: Enable Write to CH5_EN bit" "Disable Write to respective CH5_EN bit,Enable Write to CH5_EN bit"
newline
bitfld.long 0x0 11. "CH4_EN_WE,DW_axi_dmac Channel-4 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH4_EN bit 0x1: Enable Write to CH4_EN bit" "Disable Write to respective CH4_EN bit,Enable Write to CH4_EN bit"
newline
bitfld.long 0x0 10. "CH3_EN_WE,DW_axi_dmac Channel-3 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH3_EN bit 0x1: Enable Write to CH3_EN bit" "Disable Write to respective CH3_EN bit,Enable Write to CH3_EN bit"
newline
bitfld.long 0x0 9. "CH2_EN_WE,DW_axi_dmac Channel-2 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH2_EN bit 0x1: Enable Write to CH2_EN bit" "Disable Write to respective CH2_EN bit,Enable Write to CH2_EN bit"
newline
bitfld.long 0x0 8. "CH1_EN_WE,DW_axi_dmac Channel-1 Enable Write Enable bit. Read back value of this register bit is always '0'. 0x0: Disable Write to respective CH1_EN bit 0x1: Enable Write to CH1_EN bit" "Disable Write to respective CH1_EN bit,Enable Write to CH1_EN bit"
newline
bitfld.long 0x0 7. "CH8_EN,This bit is used to enable the DW_axi_dmac Channel-8. - 0: DW_axi_dmac Channel-8 is disabled - 1: DW_axi_dmac Channel-8 is enabled The bit 'DMAC_ChEnReg.CH8_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-8 is disabled,DW_axi_dmac: Channel-8 is enabled"
newline
bitfld.long 0x0 6. "CH7_EN,This bit is used to enable the DW_axi_dmac Channel-7. - 0: DW_axi_dmac Channel-7 is disabled - 1: DW_axi_dmac Channel-7 is enabled The bit 'DMAC_ChEnReg.CH7_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-7 is disabled,DW_axi_dmac: Channel-7 is enabled"
newline
bitfld.long 0x0 5. "CH6_EN,This bit is used to enable the DW_axi_dmac Channel-6. - 0: DW_axi_dmac Channel-6 is disabled - 1: DW_axi_dmac Channel-6 is enabled The bit 'DMAC_ChEnReg.CH6_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-6 is disabled,DW_axi_dmac: Channel-6 is enabled"
newline
bitfld.long 0x0 4. "CH5_EN,This bit is used to enable the DW_axi_dmac Channel-5. - 0: DW_axi_dmac Channel-5 is disabled - 1: DW_axi_dmac Channel-5 is enabled The bit 'DMAC_ChEnReg.CH5_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-5 is disabled,DW_axi_dmac: Channel-5 is enabled"
newline
bitfld.long 0x0 3. "CH4_EN,This bit is used to enable the DW_axi_dmac Channel-4. - 0: DW_axi_dmac Channel-4 is disabled - 1: DW_axi_dmac Channel-4 is enabled The bit 'DMAC_ChEnReg.CH4_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-4 is disabled,DW_axi_dmac: Channel-4 is enabled"
newline
bitfld.long 0x0 2. "CH3_EN,This bit is used to enable the DW_axi_dmac Channel-3. - 0: DW_axi_dmac Channel-3 is disabled - 1: DW_axi_dmac Channel-3 is enabled The bit 'DMAC_ChEnReg.CH3_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-3 is disabled,DW_axi_dmac: Channel-3 is enabled"
newline
bitfld.long 0x0 1. "CH2_EN,This bit is used to enable the DW_axi_dmac Channel-2. - 0: DW_axi_dmac Channel-2 is disabled - 1: DW_axi_dmac Channel-2 is enabled The bit 'DMAC_ChEnReg.CH2_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-2 is disabled,DW_axi_dmac: Channel-2 is enabled"
newline
bitfld.long 0x0 0. "CH1_EN,This bit is used to enable the DW_axi_dmac Channel-1. - 0: DW_axi_dmac Channel-1 is disabled - 1: DW_axi_dmac Channel-1 is enabled The bit 'DMAC_ChEnReg.CH1_EN' is automatically cleared by hardware to disable the channel after the last AMBA.." "DW_axi_dmac: Channel-1 is disabled,DW_axi_dmac: Channel-1 is enabled"
rgroup.long 0x1C++0x3
line.long 0x0 "DMAC_CHENREG_1,This is DW_axi_dmac Channel Enable Register. If software wants to set up a new channel. it can read this register to find out which channels are currently inactive and then enable an inactive channel with the required priority. All bits of.."
hexmask.long.word 0x0 16.--31. 1. "RSVD_DMAC_CHENREG,DMAC_CHENREG Reserved bits - Read Only"
newline
bitfld.long 0x0 15. "CH8_ABORT_WE,This bit is used to write enable the Channel-8 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH8_ABORT bit 0x1: Enable Write to CH8_ABORT bit" "Disable Write to CH8_ABORT bit,Enable Write to CH8_ABORT bit"
newline
bitfld.long 0x0 14. "CH7_ABORT_WE,This bit is used to write enable the Channel-7 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH7_ABORT bit 0x1: Enable Write to CH7_ABORT bit" "Disable Write to CH7_ABORT bit,Enable Write to CH7_ABORT bit"
newline
bitfld.long 0x0 13. "CH6_ABORT_WE,This bit is used to write enable the Channel-6 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH6_ABORT bit 0x1: Enable Write to CH6_ABORT bit" "Disable Write to CH6_ABORT bit,Enable Write to CH6_ABORT bit"
newline
bitfld.long 0x0 12. "CH5_ABORT_WE,This bit is used to write enable the Channel-5 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH5_ABORT bit 0x1: Enable Write to CH5_ABORT bit" "Disable Write to CH5_ABORT bit,Enable Write to CH5_ABORT bit"
newline
bitfld.long 0x0 11. "CH4_ABORT_WE,This bit is used to write enable the Channel-4 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH4_ABORT bit 0x1: Enable Write to CH4_ABORT bit" "Disable Write to CH4_ABORT bit,Enable Write to CH4_ABORT bit"
newline
bitfld.long 0x0 10. "CH3_ABORT_WE,This bit is used to write enable the Channel-3 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH3_ABORT bit 0x1: Enable Write to CH3_ABORT bit" "Disable Write to CH3_ABORT bit,Enable Write to CH3_ABORT bit"
newline
bitfld.long 0x0 9. "CH2_ABORT_WE,This bit is used to write enable the Channel-2 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH2_ABORT bit 0x1: Enable Write to CH2_ABORT bit" "Disable Write to CH2_ABORT bit,Enable Write to CH2_ABORT bit"
newline
bitfld.long 0x0 8. "CH1_ABORT_WE,This bit is used to write enable the Channel-1 Abort bit. The read back value of this register bit is always 0. 0x0: Disable Write to CH1_ABORT bit 0x1: Enable Write to CH1_ABORT bit" "Disable Write to CH1_ABORT bit,Enable Write to CH1_ABORT bit"
newline
bitfld.long 0x0 7. "CH8_ABORT,Channel-8 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-8 Abort,Request for Channel-8 Abort"
newline
bitfld.long 0x0 6. "CH7_ABORT,Channel-7 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-7 Abort,Request for Channel-7 Abort"
newline
bitfld.long 0x0 5. "CH6_ABORT,Channel-6 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-6 Abort,Request for Channel-6 Abort"
newline
bitfld.long 0x0 4. "CH5_ABORT,Channel-5 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-5 Abort,Request for Channel-5 Abort"
newline
bitfld.long 0x0 3. "CH4_ABORT,Channel-4 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-4 Abort,Request for Channel-4 Abort"
newline
bitfld.long 0x0 2. "CH3_ABORT,Channel-3 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-3 Abort,Request for Channel-3 Abort"
newline
bitfld.long 0x0 1. "CH2_ABORT,Channel-2 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-2 Abort,Request for Channel-2 Abort"
newline
bitfld.long 0x0 0. "CH1_ABORT,Channel-1 Abort Request. Software sets this bit to 1 to request channel abort. If this bit is set to 1 DW_axi_dmac disables the channel immediately. Aborting the channel might result in AXI Protocol violation as DW_axi_dmac does not make sure.." "No Request for Channel-1 Abort,Request for Channel-1 Abort"
rgroup.long 0x30++0x7
line.long 0x0 "DMAC_INTSTATUSREG_0,DMAC Interrupt Status Register captures the combined channel interrupt for each channel and Combined common register block interrupt. This register is present provided number of DMA channels are greater than 8."
hexmask.long.word 0x0 17.--31. 1. "RSVD_DMAC_INTSTATUSREG_63TO17,DMAC Interrupt Status Register (bits 63to17) Reserved bits - Read Only"
newline
bitfld.long 0x0 16. "COMMONREG_INTSTAT,Common Register Interrupt Status Bit. 0x1: Common Register Interrupt is Active 0x0: Common Register Interrupt is Inactive" "Common Register Interrupt is Inactive,Common Register Interrupt is Active"
newline
hexmask.long.byte 0x0 8.--15. 1. "RSVD_DMAC_INTSTATUSREG,DMAC Interrupt Status Register (bits 15to8) Reserved bits - Read Only"
newline
bitfld.long 0x0 7. "CH8_INTSTAT,Channel 8 Interrupt Status Bit. 0x1: Channel 8 Interrupt is Active 0x0: Channel 8 Interrupt is Inactive" "Channel 8 Interrupt is Inactive,Channel 8 Interrupt is Active"
newline
bitfld.long 0x0 6. "CH7_INTSTAT,Channel 7 Interrupt Status Bit. 0x1: Channel 7 Interrupt is Active 0x0: Channel 7 Interrupt is Inactive" "Channel 7 Interrupt is Inactive,Channel 7 Interrupt is Active"
newline
bitfld.long 0x0 5. "CH6_INTSTAT,Channel 6 Interrupt Status Bit. 0x1: Channel 6 Interrupt is Active 0x0: Channel 6 Interrupt is Inactive" "Channel 6 Interrupt is Inactive,Channel 6 Interrupt is Active"
newline
bitfld.long 0x0 4. "CH5_INTSTAT,Channel 5 Interrupt Status Bit. 0x1: Channel 5 Interrupt is Active 0x0: Channel 5 Interrupt is Inactive" "Channel 5 Interrupt is Inactive,Channel 5 Interrupt is Active"
newline
bitfld.long 0x0 3. "CH4_INTSTAT,Channel 4 Interrupt Status Bit. 0x1: Channel 4 Interrupt is Active 0x0: Channel 4 Interrupt is Inactive" "Channel 4 Interrupt is Inactive,Channel 4 Interrupt is Active"
newline
bitfld.long 0x0 2. "CH3_INTSTAT,Channel 3 Interrupt Status Bit. 0x1: Channel 3 Interrupt is Active 0x0: Channel 3 Interrupt is Inactive" "Channel 3 Interrupt is Inactive,Channel 3 Interrupt is Active"
newline
bitfld.long 0x0 1. "CH2_INTSTAT,Channel 2 Interrupt Status Bit. 0x1: Channel 2 Interrupt is Active 0x0: Channel 2 Interrupt is Inactive" "Channel 2 Interrupt is Inactive,Channel 2 Interrupt is Active"
newline
bitfld.long 0x0 0. "CH1_INTSTAT,Channel 1 Interrupt Status Bit. 0x1: Channel 1 Interrupt is Active 0x0: Channel 1 Interrupt is Inactive" "Channel 1 Interrupt is Inactive,Channel 1 Interrupt is Active"
line.long 0x4 "DMAC_INTSTATUSREG_1,DMAC Interrupt Status Register captures the combined channel interrupt for each channel and Combined common register block interrupt. This register is present provided number of DMA channels are greater than 8."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_INTSTATUSREG_63TO17,DMAC Interrupt Status Register (bits 63to17) Reserved bits - Read Only"
wgroup.long 0x38++0x7
line.long 0x0 "DMAC_COMMONREG_INTCLEARREG_0,Writing 1 to specific field clears the corresponding field in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long.tbyte 0x0 9.--31. 1. "RSVD_DMAC_COMMONREG_INTCLEARREG_63TO9,DMAC Common Register Interrupt Clear Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x0 8. "CLEAR_SLVIF_UNDEFINEDREG_DEC_ERR_INTSTAT,Slave Interface Undefined register Decode Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_UndefinedReg_DEC_ERR_IntStat in DMAC_CommonReg_IntStatusReg. 0x1:.." "Inactive signal,Clear the SLVIF_UndefinedReg_DEC_ERR interrupt.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RSVD_DMAC_COMMONREG_INTCLEARREG_7TO4,DMAC Common Register Interrupt Clear Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "CLEAR_SLVIF_COMMONREG_WRONHOLD_ERR_INTSTAT,Slave Interface Common Register Write On Hold Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_CommonReg_WrOnHold_ERR_IntStat in.." "Inactive signal,Clear the SLVIF_CommonReg_WrOnHold_ERR interrupt.."
newline
bitfld.long 0x0 2. "CLEAR_SLVIF_COMMONREG_RD2WO_ERR_INTSTAT,Slave Interface Common Register Read to Write only Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_CommonReg_RD2WO_ERR_IntStat in.." "Inactive signal,Clear the SLVIF_CommonReg_RD2WO_ERR interrupt in.."
newline
bitfld.long 0x0 1. "CLEAR_SLVIF_COMMONREG_WR2RO_ERR_INTSTAT,Slave Interface Common Register Write to Read only Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit(SLVIF_CommonReg_WR2RO_ERR_IntStat in.." "Inactive signal,Clear the SLVIF_CommonReg_WR2RO_ERR interrupt in.."
newline
bitfld.long 0x0 0. "CLEAR_SLVIF_COMMONREG_DEC_ERR_INTSTAT,Slave Interface Common Register Decode Error Interrupt clear Bit. This bit is used to clear the corresponding channel interrupt status bit (SLVIF_CommonReg_DEC_ERR_IntStat in DMAC_CommonReg_IntStatusReg. 0x1: Clear.." "Inactive signal,Clear the SLVIF_CommonReg_DEC_ERR interrupt in.."
line.long 0x4 "DMAC_COMMONREG_INTCLEARREG_1,Writing 1 to specific field clears the corresponding field in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_COMMONREG_INTCLEARREG_63TO9,DMAC Common Register Interrupt Clear Register (bits 63to9) Reserved bits - Read Only"
group.long 0x40++0x3
line.long 0x0 "DMAC_COMMONREG_INTSTATUS_ENABLEREG_0,Writing 1 to specific field enables the corresponding interrupt status generation in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long.tbyte 0x0 9.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUS_ENABLEREG_63TO9,DMAC Common Register Interrupt Status Enable Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x0 8. "ENABLE_SLVIF_UNDEFINEDREG_DEC_ERR_INTSTAT,Slave Interface Undefined register Decode Error Interrupt Status enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_UndefinedReg_DEC_ERR_IntStat in.." "SLVIF_UndefinedReg_DEC_ERR_IntStat bit in..,SLVIF_UndefinedReg_DEC_ERR_IntStat bit in.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RSVD_DMAC_COMMONREG_INTSTATUS_ENABLEREG_7TO4,DMAC Common Register Interrupt Status Enable Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "ENABLE_SLVIF_COMMONREG_WRONHOLD_ERR_INTSTAT,Slave Interface Common Register Write On Hold Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_WrOnHold_ERR_IntStat in.." "SLVIF_CommonReg_WrOnHold_ERR_IntStat bit in..,SLVIF_CommonReg_WrOnHold_ERR_IntStat bit in.."
newline
bitfld.long 0x0 2. "ENABLE_SLVIF_COMMONREG_RD2WO_ERR_INTSTAT,Slave Interface Common Register Read to Write only Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_RD2WO_ERR_IntStat in.." "SLVIF_CommonReg_RD2WO_ERR_IntStat bit in..,SLVIF_CommonReg_RD2WO_ERR_IntStat bit in.."
newline
bitfld.long 0x0 1. "ENABLE_SLVIF_COMMONREG_WR2RO_ERR_INTSTAT,Slave Interface Common Register Write to Read only Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_WR2RO_ERR_IntStat in.." "SLVIF_CommonReg_WR2RO_ERR_IntStat bit in..,SLVIF_CommonReg_WR2RO_ERR_IntStat bit in.."
newline
bitfld.long 0x0 0. "ENABLE_SLVIF_COMMONREG_DEC_ERR_INTSTAT,Slave Interface Common Register Decode Error Interrupt Status Enable Bit. This bit is used to enable the corresponding channel interrupt status bit (SLVIF_CommonReg_DEC_ERR_IntStat in DMAC_CommonReg_IntStatusReg." "SLVIF_CommonReg_DEC_ERR_IntStat bit in..,SLVIF_CommonReg_DEC_ERR_IntStat bit in.."
rgroup.long 0x44++0x3
line.long 0x0 "DMAC_COMMONREG_INTSTATUS_ENABLEREG_1,Writing 1 to specific field enables the corresponding interrupt status generation in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg)."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUS_ENABLEREG_63TO9,DMAC Common Register Interrupt Status Enable Register (bits 63to9) Reserved bits - Read Only"
group.long 0x48++0x3
line.long 0x0 "DMAC_COMMONREG_INTSIGNAL_ENABLEREG_0,Writing 1 to specific field will propagate the corresponding interrupt status in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg) to generate an port level interrupt."
hexmask.long.tbyte 0x0 9.--31. 1. "RSVD_DMAC_COMMONREG_INTSIGNAL_ENABLEREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x0 8. "ENABLE_SLVIF_UNDEFINEDREG_DEC_ERR_INTSIGNAL,Slave Interface Undefined register Decode Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit(SLVIF_UndefinedReg_DEC_ERR_IntStat in.." "SLVIF_UndefinedReg_DEC_ERR_IntStat signal in..,SLVIF_UndefinedReg_DEC_ERR_IntStat signal in.."
newline
hexmask.long.byte 0x0 4.--7. 1. "RSVD_DMAC_COMMONREG_INTSIGNAL_ENABLEREG_7TO4,DMAC Common Register Interrupt Signal Enable Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "ENABLE_SLVIF_COMMONREG_WRONHOLD_ERR_INTSIGNAL,Slave Interface Common Register Write On Hold Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit(SLVIF_CommonReg_WrOnHold_ERR_IntStat.." "SLVIF_CommonReg_WrOnHold_ERR_IntStat signal in..,SLVIF_CommonReg_WrOnHold_ERR_IntStat signal in.."
newline
bitfld.long 0x0 2. "ENABLE_SLVIF_COMMONREG_RD2WO_ERR_INTSIGNAL,Slave Interface Common Register Read to Write only Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit (SLVIF_CommonReg_RD2WO_ERR_IntStat.." "SLVIF_CommonReg_RD2WO_ERR_IntStat signal in..,SLVIF_CommonReg_RD2WO_ERR_IntStat signal in.."
newline
bitfld.long 0x0 1. "ENABLE_SLVIF_COMMONREG_WR2RO_ERR_INTSIGNAL,Slave Interface Common Register Write to Read only Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit (SLVIF_CommonReg_WR2RO_ERR_IntStat.." "SLVIF_CommonReg_WR2RO_ERR_IntStat signal in..,SLVIF_CommonReg_WR2RO_ERR_IntStat signal in.."
newline
bitfld.long 0x0 0. "ENABLE_SLVIF_COMMONREG_DEC_ERR_INTSIGNAL,Slave Interface Common Register Decode Error Interrupt Signal Enable Bit. This bit is used to enable the propagation of corresponding channel interrupt status bit (SLVIF_CommonReg_DEC_ERR_IntStat in.." "SLVIF_CommonReg_DEC_ERR_IntStat signal in..,SLVIF_CommonReg_DEC_ERR_IntStat signal in.."
rgroup.long 0x4C++0xB
line.long 0x0 "DMAC_COMMONREG_INTSIGNAL_ENABLEREG_1,Writing 1 to specific field will propagate the corresponding interrupt status in DMAC Common register Interrupt Status Register (DMAC_CommonReg_IntStatusReg) to generate an port level interrupt."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_COMMONREG_INTSIGNAL_ENABLEREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
line.long 0x4 "DMAC_COMMONREG_INTSTATUSREG_0,This Register captures Slave interface access errors. - Decode Error. - Write to read only register. - Read to write only register. - write on hold. - undefined address."
hexmask.long.tbyte 0x4 9.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUSREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
newline
bitfld.long 0x4 8. "SLVIF_UNDEFINEDREG_DEC_ERR_INTSTAT,Slave Interface Undefined register Decode Error Interrupt Signal Enable Bit. Decode Error generated by DW_axi_dmac during register access. This error occurs if the register access is to undefined address range (>0x8FF.." "No Slave Interface Decode Errors,Slave Interface Decode Error detected"
newline
hexmask.long.byte 0x4 4.--7. 1. "RSVD_DMAC_COMMONREG_INTSTATUSREG_7TO4,DMAC Common Register Interrupt Status Register (bits 7to4) Reserved bits - Read Only"
newline
bitfld.long 0x4 3. "SLVIF_COMMONREG_WRONHOLD_ERR_INTSTAT,Slave Interface Common Register Write On Hold Error Interrupt Status Bit. This error occurs if an illegal write operation is performed on a common register; this happens if a write operation is performed on a common.." "No Slave Interface Common Register Write On Hold..,Slave Interface Common Register Write On Hold.."
newline
bitfld.long 0x4 2. "SLVIF_COMMONREG_RD2WO_ERR_INTSTAT,Slave Interface Common Register Read to Write only Error Interrupt Status bit. This error occurs if Read operation is performed to a Write Only register in the common register space (0x000 to 0x0FF). - 0: No Slave.." "No Slave Interface Read to Write Only Errors,Slave Interface Read to Write Only Error detected"
newline
bitfld.long 0x4 1. "SLVIF_COMMONREG_WR2RO_ERR_INTSTAT,Slave Interface Common Register Write to Read Only Error Interrupt Status bit. This error occurs if write operation is performed to a Read Only register in the common register space (0x000 to 0x0FF). - 0: No Slave.." "Slave Interface Write to Read Only Error detected,No Slave Interface Write to Read Only Errors"
newline
bitfld.long 0x4 0. "SLVIF_COMMONREG_DEC_ERR_INTSTAT,Slave Interface Common Register Decode Error Interrupt Status Bit. Decode Error generated by DW_axi_dmac during register access. This error occurs if the register access is to an invalid address in the common register.." "No Slave Interface Decode Errors,Slave Interface Decode Error detected"
line.long 0x8 "DMAC_COMMONREG_INTSTATUSREG_1,This Register captures Slave interface access errors. - Decode Error. - Write to read only register. - Read to write only register. - write on hold. - undefined address."
hexmask.long 0x8 0.--31. 1. "RSVD_DMAC_COMMONREG_INTSTATUSREG_63TO9,DMAC Common Register Interrupt Signal Enable Register (bits 63to9) Reserved bits - Read Only"
group.long 0x58++0x3
line.long 0x0 "DMAC_RESETREG_0,This register is used to initiate the Software Reset to DW_axi_dmac."
hexmask.long 0x0 1.--31. 1. "RSVD_DMAC_RESETREG_1TO63,DMAC_ResetReg (bits 1to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 0. "DMAC_RST,DMAC Reset Request bit Software writes 1 to this bit to reset the DW_axi_dmac and polls this bit to see it as 0. DW_axi_dmac resets all the modules except the slave bus interface module and clears this bit to 0. NOTE: Software is not allowed to.." "0,1"
rgroup.long 0x5C++0x3
line.long 0x0 "DMAC_RESETREG_1,This register is used to initiate the Software Reset to DW_axi_dmac."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_RESETREG_1TO63,DMAC_ResetReg (bits 1to63) Reserved bits - Read Only"
group.long 0x60++0x7
line.long 0x0 "DMAC_LOWPOWER_CFGREG_0,This register contains the fields that configures the Context Sensitive Low Power feature. This register should be programmed prior to enabling the channel."
hexmask.long 0x0 4.--31. 1. "RSVD_DMAC_LOWPOWER_CFGREG_31TO4,DMAC_LOWPOWER_CFGREG (bits 4to31) Reserved bits - Read Only"
newline
bitfld.long 0x0 3. "MXIF_CSLP_EN,AXI Master Interface Context Sensitive Low Power feature enable. 0x0: AXI Master Interface Context Sensitive Low Power feature is disabled 0x1: AXI Master Interface Context Sensitive Low Power feature is enabled" "AXI Master Interface Context Sensitive Low Power..,AXI Master Interface Context Sensitive Low Power.."
newline
bitfld.long 0x0 2. "SBIU_CSLP_EN,SBIU Context Sensitive Low Power feature enable. 0x0: SBIU Context Sensitive Low Power feature is disabled 0x1: SBIU Context Sensitive Low Power feature is enabled" "SBIU Context Sensitive Low Power feature is..,SBIU Context Sensitive Low Power feature is.."
newline
bitfld.long 0x0 1. "CHNL_CSLP_EN,DMA Channel Context Sensitive Low Power feature enable. 0x0: DMA Channel Context Sensitive Low Power feature is disabled 0x1: DMA Channel Context Sensitive Low Power feature is enabled" "DMA Channel Context Sensitive Low Power feature..,DMA Channel Context Sensitive Low Power feature.."
newline
bitfld.long 0x0 0. "GBL_CSLP_EN,Global Context Sensitive Low Power feature enable. 0x0: Global Context Sensitive Low Power feature is disabled 0x1: Global Context Sensitive Low Power feature is enabled" "Global Context Sensitive Low Power feature is..,Global Context Sensitive Low Power feature is.."
line.long 0x4 "DMAC_LOWPOWER_CFGREG_1,This register contains the fields that configures the Context Sensitive Low Power feature. This register should be programmed prior to enabling the channel."
hexmask.long.byte 0x4 24.--31. 1. "RSVD_DMAC_LOWPOWER_CFGREG_63TO56,DMAC_LOWPOWER_CFGREG (bits 56to63) Reserved bits - Read Only"
newline
hexmask.long.byte 0x4 16.--23. 1. "MXIF_LPDLY,Defines the load value to be programmed into the AXI Master Interface low power delay counter. The programmed value must be greater than or equal to 0x4. If value programmed is less than 0x4 then the register value is reset to.."
newline
hexmask.long.byte 0x4 8.--15. 1. "SBIU_LPDLY,Defines the load value to be programmed into the SBIU low power delay counter. The programmed value must be greater than or equal to 0x4. If value programmed is less than 0x4 then the register value is reset to DMAX_SBIU_LPDLY. The maximum.."
newline
hexmask.long.byte 0x4 0.--7. 1. "GLCH_LPDLY,Defines the load value to be programmed into the Global and DMA Channel low power delay counter. The programmed value must be greater than or equal to 0x4. If value programmed is less than 0x4 then the register value is reset to.."
tree.end
tree "CH$1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2)++0x3
line.long 0x0 "CH$1_SAR_$1,The starting source address is programmed by software before the DMA channel is enabled. or by an LLI update before the start of the DMA transfer. While the DMA transfer is in progress. this register is updated to reflect the source address.."
hexmask.long 0x0 0.--31. 1. "SAR,Current Source Address of DMA transfer. Updated after each source transfer. The SINC fields in the CHx_CTL register determines whether the address increments or is left unchanged on every source transfer throughout the block transfer."
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x8)++0x3
line.long 0x0 "CH$1_DAR_$1,The starting destination address is programmed by the software before the DMA channel is enabled. or by an LLI update before the start of the DMA transfer. While the DMA transfer is in progress. this register is updated to reflect the.."
hexmask.long 0x0 0.--31. 1. "DAR,Current Destination Address of DMA transfer. Updated after each destination transfer. The DINC fields in the CHx_CTL register determines whether the address increments or is left unchanged on every destination transfer throughout the block transfer."
repeat.end
group.long 0x10++0x3
line.long 0x0 "CH$1_BLOCK_TS_0,When DW_axi_dmac is the flow controller. the DMAC uses this register before the channel is enabled for block-size."
hexmask.long.word 0x0 16.--31. 1. "RSVD_DMAC_CHX_BLOCK_TSREG_63TO16,DMAC Channelx Block Transfer Size Register (bits 63to16) Reserved bits - Read Only"
newline
hexmask.long.word 0x0 0.--15. 1. "BLOCK_TS,Block Transfer Size. The number programmed into BLOCK_TS field indicates the total number of data of width CHx_CTL.SRC_TR_WIDTH to be transferred in a DMA block transfer. Block Transfer Size = BLOCK_TS+1 When the transfer starts the read-back.."
rgroup.long 0x14++0x3
line.long 0x0 "CH$1_BLOCK_TS_1,When DW_axi_dmac is the flow controller. the DMAC uses this register before the channel is enabled for block-size."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_BLOCK_TSREG_63TO16,DMAC Channelx Block Transfer Size Register (bits 63to16) Reserved bits - Read Only"
group.long 0x18++0x17
line.long 0x0 "CH$1_CTL_0,This register contains fields that control the DMA transfer. This register should be programmed prior to enabling the channel except for LLI-based multi-block transfer. When LLI-based multi-block transfer is enabled. the CHx_CTL register is.."
rbitfld.long 0x0 31. "RSVD_DMAC_CHX_CTL_31,DMAC Channelx Control Transfer Register bit31 Reserved bits - Read Only" "0,1"
newline
bitfld.long 0x0 30. "NONPOSTED_LASTWRITE_EN,Non Posted Last Write Enable This bit decides whether posted writes can be used throughout the block transfer. - 0: Posted writes may be used throughout the block transfer. - 1: Posted writes may be used till the end of the block.." "Posted writes may be used throughout the block..,Last write in the block must be non-posted"
newline
hexmask.long.byte 0x0 26.--29. 1. "AW_CACHE,AXI 'aw_cache' signal"
newline
hexmask.long.byte 0x0 22.--25. 1. "AR_CACHE,AXI 'ar_cache' signal"
newline
hexmask.long.byte 0x0 18.--21. 1. "DST_MSIZE,Destination Burst Transaction Length. Number of data items each of width CHx_CTL.DST_TR_WIDTH to be written to the destination every time a destination burst transaction request is made from the corresponding hardware or software handshaking.."
newline
hexmask.long.byte 0x0 14.--17. 1. "SRC_MSIZE,Source Burst Transaction Length. Number of data items each of width CHx_CTL.SRC_TR_WIDTH to be read from the source every time a source burst transaction request is made from the corresponding hardware or software handshaking interface. The.."
newline
rbitfld.long 0x0 11.--13. "DST_TR_WIDTH,Destination Transfer Width. Mapped to AXI bus awsize this value must be less than or equal to DMAX_M_DATA_WIDTH. 0x4: Destination Transfer Width is 128 bits 0x1: Destination Transfer Width is 16 bits 0x5: Destination Transfer Width is 256.." "Destination Transfer Width is 8 bits,Destination Transfer Width is 16 bits,Destination Transfer Width is 32 bits,Destination Transfer Width is 64 bits,Destination Transfer Width is 128 bits,Destination Transfer Width is 256 bits,Destination Transfer Width is 512 bits,?"
newline
rbitfld.long 0x0 8.--10. "SRC_TR_WIDTH,Source Transfer Width. Mapped to AXI bus arsize this value must be less than or equal to DMAX_M_DATA_WIDTH. 0x4: Source Transfer Width is 128 bits 0x1: Source Transfer Width is 16 bits 0x5: Source Transfer Width is 256 bits 0x2: Source.." "Source Transfer Width is 8 bits,Source Transfer Width is 16 bits,Source Transfer Width is 32 bits,Source Transfer Width is 64 bits,Source Transfer Width is 128 bits,Source Transfer Width is 256 bits,Source Transfer Width is 512 bits,?"
newline
rbitfld.long 0x0 7. "RSVD_DMAC_CHX_CTL_7,DMAC Channelx Control Transfer Register bit7 Reserved bits - Read Only" "0,1"
newline
bitfld.long 0x0 6. "DINC,Destination Address Increment. Indicates whether to increment the destination address on every destination transfer. If the device is writing data from a source peripheral FIFO with a fixed address then set this field to 'No change'. - 0: Increment.." "Destination address incremented on every source..,Destination address is fixed"
newline
rbitfld.long 0x0 5. "RSVD_DMAC_CHX_CTL_5,DMAC Channelx Control Transfer Register bit5 Reserved bits - Read Only" "0,1"
newline
bitfld.long 0x0 4. "SINC,Source Address Increment. Indicates whether to increment the source address on every source transfer. If the device is fetching data from a source peripheral FIFO with a fixed address then set this field to 'No change'. - 0: Increment - 1: No.." "Source address incremented on every source..,Source address is fixed"
newline
rbitfld.long 0x0 3. "RSVD_DMAC_CHX_CTL_3,DMAC Channelx Control Transfer Register bit3 Reserved bits - Read Only" "0,1"
newline
rbitfld.long 0x0 2. "DMS,Destination Master Select. Identifies the Master Interface layer from which the destination device (peripheral or memory) is accessed. - 0: AXI master 1 - 1: AXI Master 2 0x0: Destination device on Master-1 interface layer 0x1: Destination device on.." "Destination device on Master-1 interface layer,Destination device on Master-2 interface layer"
newline
rbitfld.long 0x0 1. "RSVD_DMAC_CHX_CTL_1,DMAC Channelx Control Transfer Register bit1 Reserved bits - Read Only" "0,1"
newline
rbitfld.long 0x0 0. "SMS,Source Master Select. Identifies the Master Interface layer from which the source device (peripheral or memory) is accessed. - 0: AXI master 1 - 1: AXI Master 2 0x0: Source device on Master-1 interface layer 0x1: Source device on Master-2 interface.." "Source device on Master-1 interface layer,Source device on Master-2 interface layer"
line.long 0x4 "CH$1_CTL_1,This register contains fields that control the DMA transfer. This register should be programmed prior to enabling the channel except for LLI-based multi-block transfer. When LLI-based multi-block transfer is enabled. the CHx_CTL register is.."
bitfld.long 0x4 31. "SHADOWREG_OR_LLI_VALID,Shadow Register content/Linked List Item valid. Indicates whether the content of shadow register or the linked list item fetched from the memory is valid. - 0: Shadow Register content/LLI is invalid. - 1: Last Shadow Register/LLI.." "Shadow Register content/LLI is invalid,Last Shadow Register/LLI is valid"
newline
bitfld.long 0x4 30. "SHADOWREG_OR_LLI_LAST,Last Shadow Register/Linked List Item. Indicates whether shadow register content or the linked list item fetched from the memory is the last one or not. - 0: Not last Shadow Register/LLI - 1: Last Shadow Register/LLI LLI based.." "Indicates shadowreg/LLI content is not the last..,Indicates shadowreg/LLI content is the last one"
newline
rbitfld.long 0x4 27.--29. "RSVD_DMAC_CHX_CTL_59TO61,DMAC Channelx Control Transfer Register (bits 59to61) Reserved bits - Read Only" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 26. "IOC_BLKTFR,Interrupt On completion of Block Transfer This bit is used to control the block transfer completion interrupt generation on a block by block basis for shadow register or linked list based multi-block transfers. Writing 1 to this register field.." "Disables CHx_IntStatusReg,Enables CHx_IntStatusReg"
newline
rbitfld.long 0x4 25. "DST_STAT_EN,Destination Status Enable Enable the logic to fetch status from destination peripheral of channel x pointed to by the content of CHx_DSTATAR register and stores it in CHx_DSTAT register. This value is written back to the CHx_DSTAT location of.." "No status fetch for Destination device,Enables status fetch for Destination and store.."
newline
rbitfld.long 0x4 24. "SRC_STAT_EN,Source Status Enable Enable the logic to fetch status from source peripheral of channel x pointed to by the content of CHx_SSTATAR register and stores it in CHx_SSTAT register. This value is written back to the CHx_SSTAT location of linked.." "No status fetch for Source device,Enables status fetch for Source and store the.."
newline
hexmask.long.byte 0x4 16.--23. 1. "AWLEN,Destination Burst Length AXI Burst length used for destination data transfer. The specified burst length is used for destination data transfer till the extent possible; remaining transfers use maximum possible value that is less than or equal to.."
newline
bitfld.long 0x4 15. "AWLEN_EN,Destination Burst Length Enable If this bit is set to 1 DW_axi_dmac uses the value of CHx_CTL.AWLEN as AXI Burst length for destination data transfer till the extent possible; remaining transfers use maximum possible burst length. If this bit.." "AXI Burst Length is any possible value <=..,AXI Burst Length is CH$1_CTL"
newline
hexmask.long.byte 0x4 7.--14. 1. "ARLEN,Source Burst Length AXI Burst length used for source data transfer. The specified burst length is used for source data transfer till the extent possible; remaining transfers use maximum possible value that is less than or equal to.."
newline
bitfld.long 0x4 6. "ARLEN_EN,Source Burst Length Enable If this bit is set to 1 DW_axi_dmac uses the value of CHx_CTL.ARLEN as AXI Burst length for source data transfer till the extent possible; remaining transfers use maximum possible burst length. If this bit is set to 0.." "AXI Burst Length is any possible value <=..,AXI Burst Length is CH$1_CTL"
newline
bitfld.long 0x4 3.--5. "AW_PROT,AXI 'aw_prot' signal" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0.--2. "AR_PROT,AXI 'ar_prot' signal" "0,1,2,3,4,5,6,7"
line.long 0x8 "CH$1_CFG_0,This register contains fields that configure the DMA transfer. This register should be programmed prior to enabling the channel. Bits [63:32] of the channel configuration register remains fixed for all blocks of a multi-block transfer and can.."
hexmask.long 0x8 4.--31. 1. "RSVD_DMAC_CHX_CFG_4TO31,DMAC Channelx Transfer Configuration Register (bits 4to31) Reserved bits - Read Only"
newline
bitfld.long 0x8 2.--3. "DST_MULTBLK_TYPE,Destination Multi Block Transfer Type. These bits define the type of multi-block transfer used for destination peripheral. - 00: Contiguous - 01: Reload - 10: Shadow Register - 11: Linked List If the type selected is Contiguous the.." "Contiguous Multiblock Type used for Destination..,Reload Multiblock Type used for Destination..,Shadow Register based Multiblock Type used for..,Linked List based Multiblock Type used for.."
newline
bitfld.long 0x8 0.--1. "SRC_MULTBLK_TYPE,Source Multi Block Transfer Type. These bits define the type of multi-block transfer used for source peripheral. - 00: Contiguous - 01: Reload - 10: Shadow Register - 11: Linked List If the type selected is Contiguous the CHx_SAR.." "Contiguous Multiblock Type used for Source..,Reload Multiblock Type used for Source Transfer,Shadow Register based Multiblock Type used for..,Linked List based Multiblock Type used for.."
line.long 0xC "CH$1_CFG_1,This register contains fields that configure the DMA transfer. This register should be programmed prior to enabling the channel. Bits [63:32] of the channel configuration register remains fixed for all blocks of a multi-block transfer and can.."
rbitfld.long 0xC 31. "RSVD_DMAC_CHX_CFG_63,DMAC Channelx Transfer Configuration Register (63bit) Reserved bit - Read Only" "0,1"
newline
hexmask.long.byte 0xC 27.--30. 1. "DST_OSR_LMT,Destination Outstanding Request Limit - Maximum outstanding request supported is 16. - Source Outstanding Request Limit = DST_OSR_LMT + 1"
newline
hexmask.long.byte 0xC 23.--26. 1. "SRC_OSR_LMT,Source Outstanding Request Limit - Maximum outstanding request supported is 16. - Source Outstanding Request Limit = SRC_OSR_LMT + 1"
newline
rbitfld.long 0xC 21.--22. "LOCK_CH_L,Channel Lock Level This bit indicates the duration over which CHx_CFG.LOCK_CH bit applies. - 00: Over complete DMA transfer - 01: Over DMA block transfer - 1x: Reserved This field does not exist if the configuration parameter DMAX_CHx_LOCK_EN.." "Duration of the Channel locking is for the..,Duration of the Channel locking is for the..,?,?"
newline
rbitfld.long 0xC 20. "LOCK_CH,Channel Lock bit When the channel is granted control of the master bus interface and if the CHx_CFG.LOCK_CH bit is asserted then no other channels are granted control of the master bus interface for the duration specified in CHx_CFG.LOCK_CH_L." "Channel is not locked during the transfers,Channel is locked and granted exclusive access.."
newline
bitfld.long 0xC 17.--19. "CH_PRIOR,Channel Priority A priority of DMAX_NUM_CHANNELS-1 is the highest priority and 0 is the lowest. This field must be programmed within the following range: 0: DMAX_NUM_CHANNELS-1 A programmed value outside this range will cause erroneous behavior." "DMAX_NUM_CHANNELS-1,?,?,?,?,?,?,?"
newline
rbitfld.long 0xC 16. "RSVD_DMAC_CHX_CFG_48,DMAC Channelx Transfer Configuration Register (48bit) Reserved bit - Read Only" "0,1"
newline
hexmask.long.byte 0xC 12.--15. 1. "DST_PER,Assigns a hardware handshaking interface (0 - DMAX_NUM_HS_IF-1) to the destination of Channelx if the CHx_CFG.HS_SEL_DST field is 0; otherwise this field is ignored. The channel can then communicate with the destination peripheral connected to.."
newline
rbitfld.long 0xC 11. "RSVD_DMAC_CHX_CFG_43,DMAC Channelx Transfer Configuration Register (43bit) Reserved bit - Read Only" "0,1"
newline
hexmask.long.byte 0xC 7.--10. 1. "SRC_PER,Assigns a hardware handshaking interface (0 - DMAX_NUM_HS_IF-1) to the source of Channelx if the CHx_CFG.HS_SEL_SRC field is 0; otherwise this field is ignored. The channel can then communicate with the source peripheral connected to that.."
newline
rbitfld.long 0xC 6. "DST_HWHS_POL,Destination Hardware Handshaking Interface Polarity. - 0: ACTIVE HIGH - 1: ACTIVE LOW 0x0: Polarity of the Handshaking Interface used for the Destination peripheral is Active High 0x1: Polarity of the Handshaking Interface used for the.." "Polarity of the Handshaking Interface used for..,Polarity of the Handshaking Interface used for.."
newline
rbitfld.long 0xC 5. "SRC_HWHS_POL,Source Hardware Handshaking Interface Polarity. - 0: ACTIVE HIGH - 1: ACTIVE LOW 0x0: Polarity of the Handshaking Interface used for the Source peripheral is Active High 0x1: Polarity of the Handshaking Interface used for the Source.." "Polarity of the Handshaking Interface used for..,Polarity of the Handshaking Interface used for.."
newline
bitfld.long 0xC 4. "HS_SEL_DST,Destination Software or Hardware Handshaking Select. This register selects which of the handshaking interfaces (hardware or software) is active for destination requests on this channel. - 0: Hardware handshaking interface. Software-initiated.." "Hardware Handshaking Interface is used for the..,Software Handshaking Interface is used for the.."
newline
bitfld.long 0xC 3. "HS_SEL_SRC,Source Software or Hardware Handshaking Select. This register selects which of the handshaking interfaces (hardware or software) is active for source requests on this channel. - 0: Hardware handshaking interface. Software-initiated transaction.." "Hardware Handshaking Interface is used for the..,Software Handshaking Interface is used for the.."
newline
bitfld.long 0xC 0.--2. "TT_FC,Transfer Type and Flow Control. The following transfer types are supported. - Memory to Memory - Memory to Peripheral - Peripheral to Memory - Peripheral to Peripheral Flow Control can be assigned to the DW_axi_dmac the source peripheral or hte.." "Transfer Type is memory to memory and Flow..,Transfer Type is memory to peripheral and Flow..,Transfer Type is peripheral to memory and Flow..,Transfer Type is peripheral to peripheral and..,?,?,?,Reserved"
line.long 0x10 "CH$1_LLP_0,This is the Linked List Pointer register. This register must be programmed to point to the first Linked List Item (LLI) in memory prior to enabling the channel if linked-list-based block chaining is enabled. This register is updated with new.."
hexmask.long 0x10 6.--31. 1. "LOC,Starting Address Memory of LLI block Starting Address In Memory of next LLI if block chaining is enabled. The six LSBs of the starting address are not stored because the address is assumed to be aligned to a 64-byte boundary. LLI access always uses.."
newline
hexmask.long.byte 0x10 1.--5. 1. "RSVD_DMAC_CHX_LLP_1TO5,DMAC Channelx Linked List Pointer Register (bits 1to5) Reserved bits - Read Only"
newline
rbitfld.long 0x10 0. "LMS,LLI master Select This bit identifies the AXI layer/interface where the memory device that stores the next linked list item resides. - 0: AXI Master 1 - 1: AXI Master 2 This field does not exist if the configuration parameter DMAX_CHx_LMS is not set.." "next Linked List item resides on AXI Master1..,next Linked List item resides on AXI Master2.."
line.long 0x14 "CH$1_LLP_1,This is the Linked List Pointer register. This register must be programmed to point to the first Linked List Item (LLI) in memory prior to enabling the channel if linked-list-based block chaining is enabled. This register is updated with new.."
hexmask.long 0x14 0.--31. 1. "LOC,Starting Address Memory of LLI block Starting Address In Memory of next LLI if block chaining is enabled. The six LSBs of the starting address are not stored because the address is assumed to be aligned to a 64-byte boundary. LLI access always uses.."
rgroup.long 0x30++0x7
line.long 0x0 "CH$1_STATUSREG_0,Channelx Status Register contains fields that indicate the status of DMA transfers for Channelx."
hexmask.long.word 0x0 22.--31. 1. "RSVD_DMAC_CHX_STATUSREG_22TO31,DMAC Channelx Status Register (bits 22to31) Reserved bits - Read Only"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "CMPLTD_BLK_TFR_SIZE,Completed Block Transfer Size. This bit indicates the total number of data of width CHx_CTL.SRC_TR_WIDTH transferred for the previous block transfer. For normal block transfer completion without any errors this value will be equal to.."
line.long 0x4 "CH$1_STATUSREG_1,Channelx Status Register contains fields that indicate the status of DMA transfers for Channelx."
hexmask.long.tbyte 0x4 15.--31. 1. "RSVD_DMAC_CHX_STATUSREG_47TO63,DMAC Channelx Status Register (bits 47to63) Reserved bits - Read Only"
newline
hexmask.long.word 0x4 0.--14. 1. "DATA_LEFT_IN_FIFO,Data Left in FIFO. This bit indicates the total number of data left in DW_axi_dmac channel FIFO after completing the current block transfer. The width of the data in channel FIFO is equal to CHx_CTL.SRC_TR_WIDTH. For normal block.."
group.long 0x38++0x3
line.long 0x0 "CH$1_SWHSSRCREG_0,Channelx Software handshake Source Register."
hexmask.long 0x0 6.--31. 1. "RSVD_DMAC_CHX_SWHSSRCREG_6TO63,DMAC Channelx Software Handshake Source Register (bits 6to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 5. "SWHS_LST_SRC_WE,Write Enable bit for Software Handshake Last Request for Channel Source. 0x0: Disables write to the SWHS_LAST_SRC bit 0x1: Enables write to the SWHS_LAST_SRC bit" "Disables write to the SWHS_LAST_SRC bit,Enables write to the SWHS_LAST_SRC bit"
newline
bitfld.long 0x0 4. "SWHS_LST_SRC,Software Handshake Last Request for Channel Source. This bit is used to request LAST dma source data transfer if software handshaking method is selected for the source of the corresponding channel. This bit is ignored if software handshaking.." "Source peripheral indication that the curent..,Source peripheral indication to dmac that the.."
newline
bitfld.long 0x0 3. "SWHS_SGLREQ_SRC_WE,Write Enable bit for Software Handshake Single Request for Channel Source. 0x0: Disables write to the SWHS_SGLREQ_SRC bit 0x1: Enables write to the SWHS_SGLREQ_SRC bit" "Disables write to the SWHS_SGLREQ_SRC bit,Enables write to the SWHS_SGLREQ_SRC bit"
newline
bitfld.long 0x0 2. "SWHS_SGLREQ_SRC,Software Handshake Single Request for Channel Source. This bit is used to request SINGLE (AXI burst length = 1) dma source data transfer if software handshaking method is selected for the source of the corresponding channel. This bit is.." "Source peripheral is not requesting for a single..,Source peripheral request for a single dma.."
newline
bitfld.long 0x0 1. "SWHS_REQ_SRC_WE,Write Enable bit for Software Handshake Request for Channel Source. Note: This bit always returns 0 on a read back. 0x0: Disables write to the SWHS_REQ_SRC bit 0x1: Enables write to the SWHS_REQ_SRC bit" "Disables write to the SWHS_REQ_SRC bit,Enables write to the SWHS_REQ_SRC bit"
newline
bitfld.long 0x0 0. "SWHS_REQ_SRC,Software Handshake Request for Channel Source. This bit is used to request dma source data transfer if software handshaking method is selected for the source of the corresponding channel. This bit is ignored if software handshaking is not.." "Source peripheral is not requesting for a burst..,Source peripheral request for a dma transfer"
rgroup.long 0x3C++0x3
line.long 0x0 "CH$1_SWHSSRCREG_1,Channelx Software handshake Source Register."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_SWHSSRCREG_6TO63,DMAC Channelx Software Handshake Source Register (bits 6to63) Reserved bits - Read Only"
group.long 0x40++0x3
line.long 0x0 "CH$1_SWHSDSTREG_0,Channelx Software handshake Destination Register."
hexmask.long 0x0 6.--31. 1. "RSVD_DMAC_CHX_SWHSDSTREG_6TO63,DMAC Channelx Software Handshake Destination Register (bits 6to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 5. "SWHS_LST_DST_WE,Write Enable bit for Software Handshake Last Request for Channel Destination. Note: This bit always returns 0 on a read back. 0x0: Disables write to the SWHS_LAST_DST bit 0x1: Enables write to the SWHS_LAST_DST bit" "Disables write to the SWHS_LAST_DST bit,Enables write to the SWHS_LAST_DST bit"
newline
bitfld.long 0x0 4. "SWHS_LST_DST,Software Handshake Last Request for Channel Destination. This bit is used to request LAST dma destination data transfer if software handshaking method is selected for the destination of the corresponding channel. This bit is ignored if.." "Destination peripheral indication that the..,Destination peripheral indication to dmac that.."
newline
bitfld.long 0x0 3. "SWHS_SGLREQ_DST_WE,Write Enable bit for Software Handshake Single Request for Channel Destination. Note: This bit always returns 0 on a read block. 0x0: Disables write to the SWHS_SGLREQ_DST bit 0x1: Enables write to the SWHS_SGLREQ_DST bit" "Disables write to the SWHS_SGLREQ_DST bit,Enables write to the SWHS_SGLREQ_DST bit"
newline
bitfld.long 0x0 2. "SWHS_SGLREQ_DST,Software Handshake Single Request for Channel Destination. This bit is used to request SINGLE (AXI burst length = 1) dma destination data transfer if software handshaking method is selected for the destination of the corresponding.." "Destination peripheral is not requesting for a..,Destination peripheral request for a single dma.."
newline
bitfld.long 0x0 1. "SWHS_REQ_DST_WE,Write Enable bit for Software Handshake Request for Channel Destination. Note: This bit always returns 0 on a read block. 0x0: Disables write to the SWHS_REQ_DST bit 0x1: Enables write to the SWHS_REQ_DST bit" "Disables write to the SWHS_REQ_DST bit,Enables write to the SWHS_REQ_DST bit"
newline
bitfld.long 0x0 0. "SWHS_REQ_DST,Software Handshake Request for Channel Destination. This bit is used to request dma destination data transfer if software handshaking method is selected for the destination of the corresponding channel. This bit is ignored if software.." "Destination peripheral is not requesting for a..,Destination peripheral request for a dma transfer"
rgroup.long 0x44++0x3
line.long 0x0 "CH$1_SWHSDSTREG_1,Channelx Software handshake Destination Register."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_SWHSDSTREG_6TO63,DMAC Channelx Software Handshake Destination Register (bits 6to63) Reserved bits - Read Only"
wgroup.long 0x48++0x7
line.long 0x0 "CH$1_BLK_TFR_RESUMEREQREG_0,Channelx Block Transfer Resume Request Register. This register is used during Linked List or Shadow Register based multi-block transfer. - For Linked-List-based multi-block transfer. ShadowReg_Or_LLI_Valid bit in LLI.CHx_CTL.."
hexmask.long 0x0 1.--31. 1. "RSVD_DMAC_CHX_BLK_TFR_RESUMEREQREG_1TO63,DMAC Channelx Block Transfer Resume Request Register (bits 1to63) Reserved bits - Read Only"
newline
bitfld.long 0x0 0. "BLK_TFR_RESUMEREQ,Block Transfer Resume Request during Linked-List or Shadow-Register-based multi-block transfer. 0x1: Request for resuming the block transfer 0x0: No request to resume the block transfer" "No request to resume the block transfer,Request for resuming the block transfer"
line.long 0x4 "CH$1_BLK_TFR_RESUMEREQREG_1,Channelx Block Transfer Resume Request Register. This register is used during Linked List or Shadow Register based multi-block transfer. - For Linked-List-based multi-block transfer. ShadowReg_Or_LLI_Valid bit in LLI.CHx_CTL.."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_CHX_BLK_TFR_RESUMEREQREG_1TO63,DMAC Channelx Block Transfer Resume Request Register (bits 1to63) Reserved bits - Read Only"
group.long 0x50++0x3
line.long 0x0 "CH$1_AXI_IDREG_0,Channelx AXI ID Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer. Note: The presence of this register is determined by the DMAC_M_ID_WIDTH.."
hexmask.long.word 0x0 18.--31. 1. "RSVD_DMAC_CHX_AXI_IDREG_IDW_L2NCM32TO63,DMAC Channelx AXI ID Register (bits (IDW-L2NC-1)to32) Reserved bits - Read Only"
newline
bitfld.long 0x0 16.--17. "AXI_WRITE_ID_SUFFIX,AXI Write ID Suffix. These bits form part of the AWID output of AXI3/AXI4 master interface. IDW = DMAX_M_ID_WIDTH L2NC = log2(DMAX_NUM_CHANNELS) The upper L2NC+1 bits of awidN is derived from the channel number which is currently.." "0,1,2,3"
newline
hexmask.long.word 0x0 2.--15. 1. "RSVD_DMAC_CHX_AXI_IDREG_IDW_L2NCM1TO31,DMAC Channelx AXI ID Register (bits (IDW-L2NC-1)to31) Reserved bits - Read Only"
newline
bitfld.long 0x0 0.--1. "AXI_READ_ID_SUFFIX,AXI Read ID Suffix These bits form part of the ARID output of AXI3/AXI4 master interface. IDW = DMAX_M_ID_WIDTH L2NC = log2(DMAX_NUM_CHANNELS) The upper L2NC+1 bits of aridN is derived from the channel number which is currently.." "0,1,2,3"
rgroup.long 0x54++0x3
line.long 0x0 "CH$1_AXI_IDREG_1,Channelx AXI ID Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer. Note: The presence of this register is determined by the DMAC_M_ID_WIDTH.."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_AXI_IDREG_32TO63,DMAC Channelx AXI ID Register (bits 32to63) Reserved bits - Read Only"
group.long 0x58++0x3
line.long 0x0 "CH$1_AXI_QOSREG_0,Channelx AXI QOS Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer."
hexmask.long.tbyte 0x0 8.--31. 1. "RSVD_DMAC_CHX_AXI_QOSREG_8TO63,DMAC Channelx AXI QOS Register (bits 8to63) Reserved bits - Read Only"
newline
hexmask.long.byte 0x0 4.--7. 1. "AXI_ARQOS,AXI ARQOS. These bits form the arqos output of AXI4 master interface."
newline
hexmask.long.byte 0x0 0.--3. 1. "AXI_AWQOS,AXI AWQOS. These bits form the awqos output of AXI4 master interface."
rgroup.long 0x5C++0x3
line.long 0x0 "CH$1_AXI_QOSREG_1,Channelx AXI QOS Register. This register is allowed to be updated only when the channel is disabled. which means that it remains fixed for the entire DMA transfer."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_AXI_QOSREG_8TO63,DMAC Channelx AXI QOS Register (bits 8to63) Reserved bits - Read Only"
group.long 0x80++0x3
line.long 0x0 "CH$1_INTSTATUS_ENABLEREG_0,Writing 1 to specific field enables the corresponding interrupt status generation in Channelx Interrupt Status Register(CH$1_IntStatusReg)."
bitfld.long 0x0 31. "ENABLE_CH_ABORTED_INTSTAT,Channel Aborted Status Enable. - 0: Disable the generation of Channel Aborted Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Aborted Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of Channel.." "Disable the generation of Channel Aborted..,Enable the generation of Channel Aborted.."
newline
bitfld.long 0x0 30. "ENABLE_CH_DISABLED_INTSTAT,Channel Disabled Status Enable. - 0: Disable the generation of Channel Disabled Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Disabled Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of Channel.." "Disable the generation of Channel Disabled..,Enable the generation of Channel Disabled.."
newline
bitfld.long 0x0 29. "ENABLE_CH_SUSPENDED_INTSTAT,Channel Suspended Status Enable. - 0: Disable the generation of Channel Suspended Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Suspended Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of.." "Disable the generation of Channel Suspended..,Enable the generation of Channel Suspended.."
newline
bitfld.long 0x0 28. "ENABLE_CH_SRC_SUSPENDED_INTSTAT,Channel Source Suspended Status Enable. - 0: Disable the generation of Channel Source Suspended Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel Source Suspended Interrupt in CHx_INTSTATUSREG 0x0:.." "Disable the generation of Channel Source..,Enable the generation of Channel Source.."
newline
bitfld.long 0x0 27. "ENABLE_CH_LOCK_CLEARED_INTSTAT,Channel Lock Cleared Status Enable. - 0: Disable the generation of Channel LOCK CLEARED Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Channel LOCK CLEARED Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Channel LOCK CLEARED..,Enable the generation of Channel LOCK CLEARED.."
newline
hexmask.long.byte 0x0 22.--26. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_22TO26,DMAC Channelx Interrupt Status Register (bits 22to26) Reserved bits - Read Only"
newline
bitfld.long 0x0 21. "ENABLE_SLVIF_WRONHOLD_ERR_INTSTAT,Slave Interface Write On Hold Error Status Enable. - 0: Disable the generation of Slave Interface Write On Hold Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Write On Hold Error.." "Disable the generation of Slave Interface Write..,Enable the generation of Slave Interface Write.."
newline
bitfld.long 0x0 20. "ENABLE_SLVIF_SHADOWREG_WRON_VALID_ERR_INTSTAT,Shadow Register Write On Valid Error Status Enable. - 0: Disable the generation of Shadow Register Write On Valid Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Shadow register Write On.." "Disable the generation of Shadow Register Write..,Enable the generation of Shadow register Write.."
newline
bitfld.long 0x0 19. "ENABLE_SLVIF_WRONCHEN_ERR_INTSTAT,Slave Interface Write On Channel Enabled Error Status Enable. - 0: Disable the generation of Slave Interface Write On Channel enabled Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface.." "Disable the generation of Slave Interface Write..,Enable the generation of Slave Interface Write.."
newline
bitfld.long 0x0 18. "ENABLE_SLVIF_RD2RWO_ERR_INTSTAT,Slave Interface Read to write Only Error Status Enable. - 0: Disable the generation of Slave Interface Read to Write only Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Read to Write Only.." "Disable the generation of Slave Interface Read..,Enable the generation of Slave Interface Read to.."
newline
bitfld.long 0x0 17. "ENABLE_SLVIF_WR2RO_ERR_INTSTAT,Slave Interface Write to Read Only Error Status Enable. - 0: Disable the generation of Slave Interface Write to Read only Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Write to Read Only.." "Disable the generation of Slave Interface Write..,Enable the generation of Slave Interface Write.."
newline
bitfld.long 0x0 16. "ENABLE_SLVIF_DEC_ERR_INTSTAT,Slave Interface Decode Error Status Enable. - 0: Disable the generation of Slave Interface Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Decode Error Interrupt in CHx_INTSTATUSREG.." "Disable the generation of Slave Interface Decode..,Enable the generation of Slave Interface Decode.."
newline
rbitfld.long 0x0 15. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_15,DMAC Channelx Interrupt Status Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 14. "ENABLE_SLVIF_MULTIBLKTYPE_ERR_INTSTAT,Slave Interface Multi Block type Error Status Enable. - 0: Disable the generation of Slave Interface Multi Block type Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Slave Interface Multi Block type.." "Disable the generation of Slave Interface Multi..,Enable the generation of Slave Interface Multi.."
newline
bitfld.long 0x0 13. "ENABLE_SHADOWREG_OR_LLI_INVALID_ERR_INTSTAT,Shadow register or LLI Invalid Error Status Enable. - 0: Disable the generation of Shadow Register or LLI Invalid Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Shadow Register or LLI Invalid.." "Disable the generation of Shadow Register or LLI..,Enable the generation of Shadow Register or LLI.."
newline
bitfld.long 0x0 12. "ENABLE_LLI_WR_SLV_ERR_INTSTAT,LLI WRITE Slave Error Status Enable. - 0: Disable the generation of LLI WRITE Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI WRITE Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI WRITE Slave Error..,Enable the generation of LLI WRITE Slave Error.."
newline
bitfld.long 0x0 11. "ENABLE_LLI_RD_SLV_ERR_INTSTAT,LLI Read Slave Error Status Enable. - 0: Disable the generation of LLI Read Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI Read Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI Read Slave Error..,Enable the generation of LLI Read Slave Error.."
newline
bitfld.long 0x0 10. "ENABLE_LLI_WR_DEC_ERR_INTSTAT,LLI WRITE Decode Error Status Enable. - 0: Disable the generation of LLI WRITE Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI WRITE Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI WRITE Decode Error..,Enable the generation of LLI WRITE Decode Error.."
newline
bitfld.long 0x0 9. "ENABLE_LLI_RD_DEC_ERR_INTSTAT,LLI Read Decode Error Status Enable. - 0: Disable the generation of LLI Read Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of LLI Read Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of LLI Read Decode Error..,Enable the generation of LLI Read Decode Error.."
newline
bitfld.long 0x0 8. "ENABLE_DST_SLV_ERR_INTSTAT,Destination Slave Error Status Enable. - 0: Disable the generation of Destination Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Destination Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Destination Slave..,Enable the generation of Destination Slave Error.."
newline
bitfld.long 0x0 7. "ENABLE_SRC_SLV_ERR_INTSTAT,Source Slave Error Status Enable. - 0: Disable the generation of Source Slave Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Source Slave Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of.." "Disable the generation of Source Slave Error..,Enable the generation of Source Slave Error.."
newline
bitfld.long 0x0 6. "ENABLE_DST_DEC_ERR_INTSTAT,Destination Decode Error Status Enable. - 0: Disable the generation of Destination Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Destination Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Destination Decode..,Enable the generation of Destination Decode.."
newline
bitfld.long 0x0 5. "ENABLE_SRC_DEC_ERR_INTSTAT,Source Decode Error Status Enable. - 0: Disable the generation of Source Decode Error Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Source Decode Error Interrupt in CHx_INTSTATUSREG 0x0: Disable the generation of.." "Disable the generation of Source Decode Error..,Enable the generation of Source Decode Error.."
newline
bitfld.long 0x0 4. "ENABLE_DST_TRANSCOMP_INTSTAT,Destination Transaction Completed Status Enable. - 0: Disable the generation of Destination Transaction complete Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Destination Transaction complete Interrupt in.." "Disable the generation of Destination..,Enable the generation of Destination Transaction.."
newline
bitfld.long 0x0 3. "ENABLE_SRC_TRANSCOMP_INTSTAT,Source Transaction Completed Status Enable. - 0: Disable the generation of Source Transaction Complete Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Source Transaction Complete Interrupt in CHx_INTSTATUSREG 0x0:.." "Disable the generation of Source Transaction..,Enable the generation of Source Transaction.."
newline
rbitfld.long 0x0 2. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_2,DMAC Channelx Interrupt Status Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 1. "ENABLE_DMA_TFR_DONE_INTSTAT,DMA Transfer Done Interrupt Status Enable. - 0: Disable the generation of DMA Transfer Done Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of DMA Transfer Done Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of DMA Transfer Done..,Enable the generation of DMA Transfer Done.."
newline
bitfld.long 0x0 0. "ENABLE_BLOCK_TFR_DONE_INTSTAT,Block Transfer Done Interrupt Status Enable. - 0: Disable the generation of Block Transfer Done Interrupt in CHx_INTSTATUSREG - 1: Enable the generation of Block Transfer Done Interrupt in CHx_INTSTATUSREG 0x0: Disable the.." "Disable the generation of Block Transfer Done..,Enable the generation of Block Transfer Done.."
rgroup.long 0x84++0xB
line.long 0x0 "CH$1_INTSTATUS_ENABLEREG_1,Writing 1 to specific field enables the corresponding interrupt status generation in Channelx Interrupt Status Register(CH$1_IntStatusReg)."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_32TO63,DMAC Channelx Interrupt Status Register (bits 32to63) Reserved bits - Read Only"
line.long 0x4 "CH$1_INTSTATUS_0,Channelx Interrupt Status Register captures the Channelx specific interrupts"
bitfld.long 0x4 31. "CH_ABORTED_INTSTAT,Channel Aborted. This indicates to the software that the corresponding channel in DW_axi_dmac is aborted. - 0: Channel is not aborted - 1: Channel is aborted Error Interrupt is generated if the corresponding bit in.." "Channel is not aborted,Channel is aborted"
newline
bitfld.long 0x4 30. "CH_DISABLED_INTSTAT,Channel Disabled. This indicates to the software that the corresponding channel in DW_axi_dmac is disabled. - 0: Channel is not disabled. - 1: Channel is disabled. Error Interrupt is generated if the corresponding bit in.." "Channel is not disabled,Channel is disabled"
newline
bitfld.long 0x4 29. "CH_SUSPENDED_INTSTAT,Channel Suspended. This indicates to the software that the corresponding channel in DW_axi_dmac is suspended. - 0: Channel is not suspended. - 1: Channel is suspended. Error Interrupt is generated if the corresponding bit in.." "Channel is not suspended,Channel is suspended"
newline
bitfld.long 0x4 28. "CH_SRC_SUSPENDED_INTSTAT,Channel Source Suspended. This indicates to the software that the corresponding channel source data transfer in DW_axi_dmac is suspended. - 0: Channel source is not suspended - 1: Channel Source is suspended. Error Interrupt is.." "Channel source is not suspended,Channel Source is suspended"
newline
bitfld.long 0x4 27. "CH_LOCK_CLEARED_INTSTAT,Channel Lock Cleared. This indicates to the software that the locking of the corresponding channel in DW_axi_dmac is cleared. - 0: Channel locking is not cleared. - 1: Channel locking is cleared. Channel locking is cleared by.." "Channel locking is not cleared,Channel Locking is cleared"
newline
hexmask.long.byte 0x4 22.--26. 1. "RSVD_DMAC_CHX_INTSTATUSREG_22TO26,DMAC Channelx Specific Interrupt Register (bits 22to26) Reserved bits - Read Only"
newline
bitfld.long 0x4 21. "SLVIF_WRONHOLD_ERR_INTSTAT,Slave Interface Write On Hold Error. This error occurs if an illegal write operation is performed on a register; this happens if a write operation is performed on a channel register when DW_axi_dmac is in Hold mode. - 0: No.." "No Slave Interface Write On Hold Errors,Slave Interface Write On Hold Error detected"
newline
bitfld.long 0x4 20. "SLVIF_SHADOWREG_WRON_VALID_ERR_INTSTAT,Shadow Register Write On Valid Error. This error occurs if shadow register based multi-block transfer is enabled and software tries to write to the shadow register when CHx_CTL.ShadowReg_Or_LLI_Valid bit is 1. - 0:.." "No Slave Interface Shadow Register Write On..,Slave Interface Shadow Register Write On Valid.."
newline
bitfld.long 0x4 19. "SLVIF_WRONCHEN_ERR_INTSTAT,Slave Interface Write On Channel Enabled Error. This error occurs if an illegal write operation is performed on a register; this happens if a write operation is performed on a register when the channel is enabled and if it is.." "No Slave Interface Write On Channel Enabled Errors,Slave Interface Write On Channel Enabled Error.."
newline
bitfld.long 0x4 18. "SLVIF_RD2RWO_ERR_INTSTAT,Slave Interface Read to write Only Error. This error occurs if read operation is performed to a Write Only register. - 0: No Slave Interface Read to Write Only Errors. - 1: Slave Interface Read to Write Only Error detected. Error.." "No Slave Interface Read to Write Only Errors,Slave Interface Read to Write Only Error detected"
newline
bitfld.long 0x4 17. "SLVIF_WR2RO_ERR_INTSTAT,Slave Interface Write to Read Only Error. This error occurs if write operation is performed to a Read Only register. - 0: No Slave Interface Write to Read Only Errors. - 1: Slave Interface Write to Read Only Error detected. Error.." "No Slave Interface Write to Read Only Errors,Slave Interface Write to Read Only Error detected"
newline
bitfld.long 0x4 16. "SLVIF_DEC_ERR_INTSTAT,Slave Interface Decode Error. Decode Error generated by DW_axi_dmac during register access. This error occurs if the register access is to invalid address in Channelx register space resulting in error response by DW_axi_dmac slave.." "No Slave Interface Decode errors,Slave Interface Decode Error detected"
newline
bitfld.long 0x4 15. "RSVD_DMAC_CHX_INTSTATUSREG_15,DMAC Channelx Specific Interrupt Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x4 14. "SLVIF_MULTIBLKTYPE_ERR_INTSTAT,Slave Interface Multi Block type Error. This error occurs if multi-block transfer type programmed in CHx_CFG register (SRC_MLTBLK_TYPE and DST_MLTBLK_TYPE) is invalid. This error condition causes the DW_axi_dmac to halt the.." "No Multi-block transfer type Errors,Multi-block transfer type Error detected"
newline
bitfld.long 0x4 13. "SHADOWREG_OR_LLI_INVALID_ERR_INTSTAT,Shadow register or LLI Invalid Error. This error occurs if CHx_CTL.ShadowReg_Or_LLI_Valid bit is seen to be 0 during DW_axi_dmac Shadow Register / LLI fetch phase. This error condition causes the DW_axi_dmac to halt.." "No Shadow Register / LLI Invalid errors,Shadow Register / LLI Invalid error detected"
newline
bitfld.long 0x4 12. "LLI_WR_SLV_ERR_INTSTAT,LLI WRITE Slave Error. Slave Error detected by Master Interface during LLI write-back operation. This error occurs if the slave interface on which LLI resides issues a Slave Error. This error condition causes the DW_axi_dmac to.." "No LLI write Slave Errors,LLI Write SLAVE Error detected"
newline
bitfld.long 0x4 11. "LLI_RD_SLV_ERR_INTSTAT,LLI Read Slave Error. Slave Error detected by Master Interface during LLI read operation. This error occurs if the slave interface on which LLI resides issues a Slave Error. This error condition causes the DW_axi_dmac to disable.." "No LLI Read Slave Errors,LLI read Slave Error detected"
newline
bitfld.long 0x4 10. "LLI_WR_DEC_ERR_INTSTAT,LLI WRITE Decode Error. Decode Error detected by Master Interface during LLI write-back operation. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition.." "NO LLI Write Decode Errors,LLI write Decode Error detected"
newline
bitfld.long 0x4 9. "LLI_RD_DEC_ERR_INTSTAT,LLI Read Decode Error. Decode Error detected by Master Interface during LLI read operation. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition causes.." "NO LLI Read Decode Errors,LLI Read Decode Error detected"
newline
bitfld.long 0x4 8. "DST_SLV_ERR_INTSTAT,Destination Slave Error. Slave Error detected by Master Interface during destination data transfer. This error occurs if the slave interface to which the data is written issues a Slave Error. This error condition causes the.." "No Destination Slave Errors,Destination Slave Errors Detected"
newline
bitfld.long 0x4 7. "SRC_SLV_ERR_INTSTAT,Source Slave Error. Slave Error detected by Master Interface during source data transfer. This error occurs if the slave interface from which the data is read issues a Slave Error. This error condition causes the DW_axi_dmac to.." "No Source Slave Errors,Source Slave Error Detected"
newline
bitfld.long 0x4 6. "DST_DEC_ERR_INTSTAT,Destination Decode Error. Decode Error detected by Master Interface during destination data transfer. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition.." "No destination Decode Errors,Destination Decode Error Detected"
newline
bitfld.long 0x4 5. "SRC_DEC_ERR_INTSTAT,Source Decode Error. Decode Error detected by Master Interface during source data transfer. This error occurs if the access is to invalid address and a Decode Error is returned from interconnect/slave. This error condition causes the.." "No Source Decode Errors,Source Decode Error detected"
newline
bitfld.long 0x4 4. "DST_TRANSCOMP_INTSTAT,Destination Transaction Completed. This bit is cleared to 0 on writing 1 to the corresponding channel interrupt clear bit in CHx_IntClearReg register or on enabling the channel (needed when interrupt is not enabled. 0x1: Destination.." "Destination transaction is not complete,Destination transaction is complete"
newline
bitfld.long 0x4 3. "SRC_TRANSCOMP_INTSTAT,Source Transaction Completed. This bit is cleared to 0 on writing 1 to the corresponding channel interrupt clear bit in CHx_IntClearReg register or on enabling the channel (needed when interrupt is not enabled. 0x1: Source.." "Source transation is not complete,Source transaction is complete"
newline
bitfld.long 0x4 2. "RSVD_DMAC_CHX_INTSTATUSREG_2,DMAC Channelx Specific Interrupt Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x4 1. "DMA_TFR_DONE_INTSTAT,DMA Transfer Done. This indicates to the software that the DW_axi_dmac has completed the requested DMA transfer. The DW_axi_dmac sets this bit to 1 along with setting CHx_INTSTATUS.BLOCK_TFR_DONE bit to 1 when the last block transfer.." "DMA Transfer not complete,DMA Transfer completed"
newline
bitfld.long 0x4 0. "BLOCK_TFR_DONE_INTSTAT,Block Transfer Done. This indicates to the software that the DW_axi_dmac has completed the requested block transfer. The DW_axi_dmac sets this bit to 1 when the transfer is successfully completed. - 0: Block Transfer not completed." "Block Transfer not complete,Block Transfer completed"
line.long 0x8 "CH$1_INTSTATUS_1,Channelx Interrupt Status Register captures the Channelx specific interrupts"
hexmask.long 0x8 0.--31. 1. "RSVD_DMAC_CHX_INTSTATUSREG_32TO63,DMAC Channelx Specific Interrupt Register (bits 32to63) Reserved bits - Read Only"
group.long 0x90++0x3
line.long 0x0 "CH$1_INTSIGNAL_ENABLEREG_0,This register contains fields that are used to enable the generation of port level interrupt at the channel level."
bitfld.long 0x0 31. "ENABLE_CH_ABORTED_INTSIGNAL,Channel Aborted Signal Enable. - 0: Disable the propagation of Channel Aborted Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Aborted Interrupt to generate a port level interrupt 0x0:.." "Disable the propagation of Channel Aborted..,Enable the propagation of Channel Aborted.."
newline
bitfld.long 0x0 30. "ENABLE_CH_DISABLED_INTSIGNAL,Channel Disabled Signal Enable. - 0: Disable the propagation of Channel Disabled Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Disabled Interrupt to generate a port level interrupt 0x0:.." "Disable the propagation of Channel Disabled..,Enable the propagation of Channel Disabled.."
newline
bitfld.long 0x0 29. "ENABLE_CH_SUSPENDED_INTSIGNAL,Channel Suspended Signal Enable. - 0: Disable the propagation of Channel Suspended Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Suspended Interrupt to generate a port level interrupt.." "Disable the propagation of Channel Suspended..,Enable the propagation of Channel Suspended.."
newline
bitfld.long 0x0 28. "ENABLE_CH_SRC_SUSPENDED_INTSIGNAL,Channel Source Suspended Signal Enable. - 0: Disable the propagation of Channel Source Suspended Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Source Suspended Interrupt to generate.." "Disable the propagation of Channel Source..,Enable the propagation of Channel Source.."
newline
bitfld.long 0x0 27. "ENABLE_CH_LOCK_CLEARED_INTSIGNAL,Channel Lock Cleared Signal Enable. - 0: Disable the propagation of Channel Lock Cleared Interrupt to generate a port level interrupt - 1: Enable the propagation of Channel Lock Cleared Interrupt to generate a port level.." "Disable the propagation of Channel Lock Cleared..,Enable the propagation of Channel Lock Cleared.."
newline
hexmask.long.byte 0x0 22.--26. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_22TO26,DMAC Channelx Interrupt Status Enable Register (bits 22to26) Reserved bits - Read Only"
newline
bitfld.long 0x0 21. "ENABLE_SLVIF_WRONHOLD_ERR_INTSIGNAL,Slave Interface Write On Hold Error Signal Enable. - 0: Disable the propagation of Slave Interface Write On Hold Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface Write.." "Disable the propagation of Slave Interface Write..,Enable the propagation of Slave Interface Write.."
newline
bitfld.long 0x0 20. "ENABLE_SLVIF_SHADOWREG_WRON_VALID_ERR_INTSIGNAL,Shadow Register Write On Valid Error Signal Enable. - 0: Disable the propagation of Shadow Register Write On Valid Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Shadow.." "Disable the propagation of Shadow Register Write..,Enable the propagation of Shadow register Write.."
newline
bitfld.long 0x0 19. "ENABLE_SLVIF_WRONCHEN_ERR_INTSIGNAL,Slave Interface Write On Channel Enabled Error Signal Enable. - 0: Disable the propagation of Slave Interface Write On Channel enabled Error Interrupt to generate a port level interrupt - 1: Enable the propagation of.." "Disable the propagation of Slave Interface Write..,Enable the propagation of Slave Interface Write.."
newline
bitfld.long 0x0 18. "ENABLE_SLVIF_RD2RWO_ERR_INTSIGNAL,Slave Interface Read to write Only Error Signal Enable. - 0: Disable the propagation of Slave Interface Read to Write only Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface.." "Disable the propagation of Slave Interface Read..,Enable the propagation of Slave Interface Read.."
newline
bitfld.long 0x0 17. "ENABLE_SLVIF_WR2RO_ERR_INTSIGNAL,Slave Interface Write to Read Only Error Signal Enable. - 0: Disable the propagation of Slave Interface Write to Read only Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface.." "Disable the propagation of Slave Interface Write..,Enable the propagation of Slave Interface Write.."
newline
bitfld.long 0x0 16. "ENABLE_SLVIF_DEC_ERR_INTSIGNAL,Slave Interface Decode Error Signal Enable. - 0: Disable the propagation of Slave Interface Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave Interface Decode Error Interrupt to.." "Disable the propagation of Slave Interface..,Enable the propagation of Slave Interface Decode.."
newline
rbitfld.long 0x0 15. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_15,DMAC Channelx Interrupt Status Enable Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 14. "ENABLE_SLVIF_MULTIBLKTYPE_ERR_INTSIGNAL,Slave Interface Multi Block type Error Signal Enable. - 0: Disable the propagation of Slave Interface Multi Block type Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Slave.." "Disable the propagation of Slave Interface Multi..,Enable the propagation of Slave Interface Multi.."
newline
bitfld.long 0x0 13. "ENABLE_SHADOWREG_OR_LLI_INVALID_ERR_INTSIGNAL,Shadow register or LLI Invalid Error Signal Enable. - 0: Disable the propagation of Shadow Register or LLI Invalid Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Shadow.." "Disable the propagation of Shadow Register or..,Enable the propagation of Shadow Register or LLI.."
newline
bitfld.long 0x0 12. "ENABLE_LLI_WR_SLV_ERR_INTSIGNAL,LLI WRITE Slave Error Signal Enable. - 0: Disable the propagation of LLI WRITE Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI WRITE Slave Error Interrupt to generate a port.." "Disable the propagation of LLI WRITE Slave Error..,Enable the propagation of LLI WRITE Slave Error.."
newline
bitfld.long 0x0 11. "ENABLE_LLI_RD_SLV_ERR_INTSIGNAL,LLI Read Slave Error Signal Enable. - 0: Disable the propagation of LLI Read Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI Read Slave Error Interrupt to generate a port level.." "Disable the propagation of LLI Read Slave Error..,Enable the propagation of LLI Read Slave Error.."
newline
bitfld.long 0x0 10. "ENABLE_LLI_WR_DEC_ERR_INTSIGNAL,LLI WRITE Decode Error Signal Enable. - 0: Disable the propagation of LLI WRITE Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI WRITE Decode Error Interrupt to generate a port.." "Disable the propagation of LLI WRITE Decode..,Enable the propagation of LLI WRITE Decode Error.."
newline
bitfld.long 0x0 9. "ENABLE_LLI_RD_DEC_ERR_INTSIGNAL,LLI Read Decode Error Signal Enable. - 0: Disable the propagation of LLI Read Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of LLI Read Decode Error Interrupt to generate a port.." "Disable the propagation of LLI Read Decode Error..,Enable the propagation of LLI Read Decode Error.."
newline
bitfld.long 0x0 8. "ENABLE_DST_SLV_ERR_INTSIGNAL,Destination Slave Error Signal Enable. - 0: Disable the propagation of Destination Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Destination Slave Error Interrupt to generate a port.." "Disable the propagation of Destination Slave..,Enable the propagation of Destination Slave.."
newline
bitfld.long 0x0 7. "ENABLE_SRC_SLV_ERR_INTSIGNAL,Source Slave Error Signal Enable. - 0: Disable the propagation of Source Slave Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Source Slave Error Interrupt to generate a port level interrupt.." "Disable the propagation of Source Slave Error..,Enable the propagation of Source Slave Error.."
newline
bitfld.long 0x0 6. "ENABLE_DST_DEC_ERR_INTSIGNAL,Destination Decode Error Signal Enable. - 0: Disable the propagation of Destination Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Destination Decode Error Interrupt to generate a.." "Disable the propagation of Destination Decode..,Enable the propagation of Destination Decode.."
newline
bitfld.long 0x0 5. "ENABLE_SRC_DEC_ERR_INTSIGNAL,Source Decode Error Signal Enable. - 0: Disable the propagation of Source Decode Error Interrupt to generate a port level interrupt - 1: Enable the propagation of Source Decode Error Interrupt to generate a port level.." "Disable the propagation of Source Decode Error..,Enable the propagation of Source Decode Error.."
newline
bitfld.long 0x0 4. "ENABLE_DST_TRANSCOMP_INTSIGNAL,Destination Transaction Completed Signal Enable. - 0: Disable the propagation of Destination Transaction complete Interrupt to generate a port level interrupt - 1: Enable the propagation of Destination Transaction complete.." "Disable the propagation of Destination..,Enable the propagation of Destination.."
newline
bitfld.long 0x0 3. "ENABLE_SRC_TRANSCOMP_INTSIGNAL,Source Transaction Completed Signal Enable. - 0: Disable the propagation of Source Transaction Complete Interrupt to generate a port level interrupt - 1: Enable the propagation of Source Transaction Complete Interrupt to.." "Disable the propagation of Source Transaction..,Enable the propagation of Source Transaction.."
newline
rbitfld.long 0x0 2. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_2,DMAC Channelx Interrupt Status Enable Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 1. "ENABLE_DMA_TFR_DONE_INTSIGNAL,DMA Transfer Done Interrupt Signal Enable. - 0: Disable the propagation of DMA Transfer Done Interrupt to generate a port level interrupt - 1: Enable the propagation of DMA Transfer Done Interrupt to generate a port level.." "Disable the propagation of DMA Transfer Done..,Enable the propagation of DMA Transfer Done.."
newline
bitfld.long 0x0 0. "ENABLE_BLOCK_TFR_DONE_INTSIGNAL,Block Transfer Done Interrupt Signal Enable. - 0: Disable the propagation of Block Transfer Done Interrupt to generate a port level interrupt - 1: Enable the propagation of Block Transfer Done Interrupt to generate a port.." "Disable the propagation of Block Transfer Done..,Enable the propagation of Block Transfer Done.."
rgroup.long 0x94++0x3
line.long 0x0 "CH$1_INTSIGNAL_ENABLEREG_1,This register contains fields that are used to enable the generation of port level interrupt at the channel level."
hexmask.long 0x0 0.--31. 1. "RSVD_DMAC_CHX_INTSTATUS_ENABLEREG_32TO63,DMAC Channelx Interrupt Status Enable Register (bits 32to63) Reserved bits - Read Only"
wgroup.long 0x98++0x7
line.long 0x0 "CH$1_INTCLEARREG_0,Writing 1 to specific field will clear the corresponding field in Channelx Interrupt Status Register(CHx_IntStatusReg)."
bitfld.long 0x0 31. "CLEAR_CH_ABORTED_INTSTAT,Channel Aborted Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_ABORTED interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the CH_ABORTED interrupt in the Interrupt.."
newline
bitfld.long 0x0 30. "CLEAR_CH_DISABLED_INTSTAT,Channel Disabled Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_DISABLED interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the CH_DISABLED interrupt in the Interrupt.."
newline
bitfld.long 0x0 29. "CLEAR_CH_SUSPENDED_INTSTAT,Channel Suspended Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_SUSPENDED interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the CH_SUSPENDED interrupt in the.."
newline
bitfld.long 0x0 28. "CLEAR_CH_SRC_SUSPENDED_INTSTAT,Channel Source Suspended Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_SRC_SUSPENDED interrupt in the Interrupt Status.." "Inactive signal,Clear the CH_SRC_SUSPENDED interrupt in the.."
newline
bitfld.long 0x0 27. "CLEAR_CH_LOCK_CLEARED_INTSTAT,Channel Lock Cleared Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the CH_LOCK_CLEARED interrupt in the Interrupt Status.." "Inactive signal,Clear the CH_LOCK_CLEARED interrupt in the.."
newline
hexmask.long.byte 0x0 22.--26. 1. "RSVD_DMAC_CHX_INTCLEARREG_22TO26,DMAC Channelx Interrupt Clear Register (bits 22to26) Reserved bit - Read Only"
newline
bitfld.long 0x0 21. "CLEAR_SLVIF_WRONHOLD_ERR_INTSTAT,Slave Interface Write On Hold Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_WRONHOLD_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_WRONHOLD_ERR interrupt in the.."
newline
bitfld.long 0x0 20. "CLEAR_SLVIF_SHADOWREG_WRON_VALID_ERR_INTSTAT,Shadow Register Write On Valid Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_SHADOWREG_WRON_VALID_ERR interrupt.." "Inactive signal,Clear the SLVIF_SHADOWREG_WRON_VALID_ERR.."
newline
bitfld.long 0x0 19. "CLEAR_SLVIF_WRONCHEN_ERR_INTSTAT,Slave Interface Write On Channel Enabled Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_WRONCHEN_ERR interrupt in the.." "Inactive signal,Clear the SLVIF_WRONCHEN_ERR interrupt in the.."
newline
bitfld.long 0x0 18. "CLEAR_SLVIF_RD2RWO_ERR_INTSTAT,Slave Interface Read to write Only Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_RD2RWO_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_RD2RWO_ERR interrupt in the.."
newline
bitfld.long 0x0 17. "CLEAR_SLVIF_WR2RO_ERR_INTSTAT,Slave Interface Write to Read Only Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_WR2RO_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_WR2RO_ERR interrupt in the.."
newline
bitfld.long 0x0 16. "CLEAR_SLVIF_DEC_ERR_INTSTAT,Slave Interface Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_DEC_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the SLVIF_DEC_ERR interrupt in the.."
newline
bitfld.long 0x0 15. "RSVD_DMAC_CHX_INTCLEARREG_15,DMAC Channelx Interrupt Clear Register (bit 15) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 14. "CLEAR_SLVIF_MULTIBLKTYPE_ERR_INTSTAT,Slave Interface Multi Block type Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SLVIF_MULTIBLKTYPE_ERR interrupt in the.." "Inactive signal,Clear the SLVIF_MULTIBLKTYPE_ERR interrupt in.."
newline
bitfld.long 0x0 13. "CLEAR_SHADOWREG_OR_LLI_INVALID_ERR_INTSTAT,Shadow register or LLI Invalid Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SHADOWREG_OR_LLI_INVALID_ERR interrupt in.." "Inactive signal,Clear the SHADOWREG_OR_LLI_INVALID_ERR interrupt.."
newline
bitfld.long 0x0 12. "CLEAR_LLI_WR_SLV_ERR_INTSTAT,LLI WRITE Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_WR_SLV_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_WR_SLV_ERR interrupt in the.."
newline
bitfld.long 0x0 11. "CLEAR_LLI_RD_SLV_ERR_INTSTAT,LLI Read Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_RD_SLV_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_RD_SLV_ERR interrupt in the.."
newline
bitfld.long 0x0 10. "CLEAR_LLI_WR_DEC_ERR_INTSTAT,LLI WRITE Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_WR_DEC_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_WR_DEC_ERR interrupt in the.."
newline
bitfld.long 0x0 9. "CLEAR_LLI_RD_DEC_ERR_INTSTAT,LLI Read Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the LLI_RD_DEC_ERR interrupt in the Interrupt Status.." "Inactive signal,Clear the LLI_RD_DEC_ERR interrupt in the.."
newline
bitfld.long 0x0 8. "CLEAR_DST_SLV_ERR_INTSTAT,Destination Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DST_SLV_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg)." "Inactive signal,Clear the DST_SLV_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 7. "CLEAR_SRC_SLV_ERR_INTSTAT,Source Slave Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SRC_SLV_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the SRC_SLV_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 6. "CLEAR_DST_DEC_ERR_INTSTAT,Destination Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DST_DEC_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg)." "Inactive signal,Clear the DST_DEC_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 5. "CLEAR_SRC_DEC_ERR_INTSTAT,Source Decode Error Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SRC_DEC_ERR interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the SRC_DEC_ERR interrupt in the Interrupt.."
newline
bitfld.long 0x0 4. "CLEAR_DST_TRANSCOMP_INTSTAT,Destination Transaction Completed Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DST_TRANSCOMP interrupt in the Interrupt Status.." "Inactive signal,Clear the DST_TRANSCOMP interrupt in the.."
newline
bitfld.long 0x0 3. "CLEAR_SRC_TRANSCOMP_INTSTAT,Source Transaction Completed Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the SRC_TRANSCOMP interrupt in the Interrupt Status.." "Inactive signal,Clear the SRC_TRANSCOMP interrupt in the.."
newline
bitfld.long 0x0 2. "RSVD_DMAC_CHX_INTCLEARREG_2,DMAC Channelx Interrupt Clear Register (bit 2) Reserved bit - Read Only" "0,1"
newline
bitfld.long 0x0 1. "CLEAR_DMA_TFR_DONE_INTSTAT,DMA Transfer Done Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CHx_INTSTATUSREG. 0x1: Clear the DMA_TFR_DONE interrupt in the Interrupt Status Register(CH$1_IntStatusReg). 0x0:.." "Inactive signal,Clear the DMA_TFR_DONE interrupt in the.."
newline
bitfld.long 0x0 0. "CLEAR_BLOCK_TFR_DONE_INTSTAT,Block Transfer Done Interrupt Clear Bit. This bit is used to clear the corresponding channel interrupt status bit in CH$1_INTSTATUSREG 0x1: Clear the interrupt in the Interrupt Status Register(CHx_IntStatusReg). Writing a 1 to.." "Inactive signal,Clear the interrupt in the Interrupt Status.."
line.long 0x4 "CH$1_INTCLEARREG_1,Writing 1 to specific field will clear the corresponding field in Channelx Interrupt Status Register(CHx_IntStatusReg)."
hexmask.long 0x4 0.--31. 1. "RSVD_DMAC_CHX_INTCLEARREG_32TO63,DMAC Channelx Interrupt Clear Register (bits 32to63) Reserved bit - Read Only"
tree.end
tree.end
repeat.end
endif
tree.end
tree "DMA_MUX (DMA MUX Controller)"
sif (CORENAME()=="CORTEXR5F")
tree "DMA_MUX_PCIE1"
base ad:0xF13C0000
group.long 0x0++0x23
line.long 0x0 "MUX_CHANNEL1,MUX channel 1 mapping parameter"
hexmask.long.byte 0x0 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter"
hexmask.long.byte 0x0 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter"
line.long 0x4 "MUX_CHANNEL2,MUX channel 2 mapping parameter"
hexmask.long.byte 0x4 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter"
hexmask.long.byte 0x4 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter"
line.long 0x8 "MUX_CHANNEL3,MUX channel 3 mapping parameter"
hexmask.long.byte 0x8 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter"
hexmask.long.byte 0x8 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter"
line.long 0xC "MUX_CHANNEL4,MUX channel 4 mapping parameter"
hexmask.long.byte 0xC 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter"
hexmask.long.byte 0xC 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter"
line.long 0x10 "MUX_CHANNEL5,MUX channel 5 mapping parameter"
hexmask.long.byte 0x10 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter"
hexmask.long.byte 0x10 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter"
line.long 0x14 "MUX_CHANNEL6,MUX channel 6 mapping parameter"
hexmask.long.byte 0x14 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter"
hexmask.long.byte 0x14 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter"
line.long 0x18 "MUX_CHANNEL7,MUX channel 7 mapping parameter"
hexmask.long.byte 0x18 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter"
hexmask.long.byte 0x18 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter"
line.long 0x1C "MUX_CHANNEL8,MUX channel 8 mapping parameter"
hexmask.long.byte 0x1C 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter"
hexmask.long.byte 0x1C 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter"
line.long 0x20 "MUX_REG_UPDATE,MUX register update"
bitfld.long 0x20 0. "ENABLE,MUX register update enable" "0,1"
tree.end
tree "DMA_MUX_PCIE2"
base ad:0xF13E0000
group.long 0x0++0x23
line.long 0x0 "MUX_CHANNEL1,MUX channel 1 mapping parameter"
hexmask.long.byte 0x0 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter"
hexmask.long.byte 0x0 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter"
line.long 0x4 "MUX_CHANNEL2,MUX channel 2 mapping parameter"
hexmask.long.byte 0x4 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter"
hexmask.long.byte 0x4 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter"
line.long 0x8 "MUX_CHANNEL3,MUX channel 3 mapping parameter"
hexmask.long.byte 0x8 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter"
hexmask.long.byte 0x8 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter"
line.long 0xC "MUX_CHANNEL4,MUX channel 4 mapping parameter"
hexmask.long.byte 0xC 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter"
hexmask.long.byte 0xC 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter"
line.long 0x10 "MUX_CHANNEL5,MUX channel 5 mapping parameter"
hexmask.long.byte 0x10 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter"
hexmask.long.byte 0x10 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter"
line.long 0x14 "MUX_CHANNEL6,MUX channel 6 mapping parameter"
hexmask.long.byte 0x14 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter"
hexmask.long.byte 0x14 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter"
line.long 0x18 "MUX_CHANNEL7,MUX channel 7 mapping parameter"
hexmask.long.byte 0x18 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter"
hexmask.long.byte 0x18 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter"
line.long 0x1C "MUX_CHANNEL8,MUX channel 8 mapping parameter"
hexmask.long.byte 0x1C 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter"
hexmask.long.byte 0x1C 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter"
line.long 0x20 "MUX_REG_UPDATE,MUX register update"
bitfld.long 0x20 0. "ENABLE,MUX register update enable" "0,1"
tree.end
repeat 8. (increment 1. 1.) (list ad:0xF01E0000 ad:0xF0440000 ad:0xF0450000 ad:0xF0460000 ad:0xF0470000 ad:0xF0480000 ad:0xF0490000 ad:0xF04A0000)
tree "DMA_MUX$1"
base $2
group.long 0x0++0x23
line.long 0x0 "MUX_CHANNEL1,MUX channel 1 mapping parameter"
hexmask.long.byte 0x0 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter"
hexmask.long.byte 0x0 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter"
line.long 0x4 "MUX_CHANNEL2,MUX channel 2 mapping parameter"
hexmask.long.byte 0x4 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter"
hexmask.long.byte 0x4 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter"
line.long 0x8 "MUX_CHANNEL3,MUX channel 3 mapping parameter"
hexmask.long.byte 0x8 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter"
hexmask.long.byte 0x8 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter"
line.long 0xC "MUX_CHANNEL4,MUX channel 4 mapping parameter"
hexmask.long.byte 0xC 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter"
hexmask.long.byte 0xC 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter"
line.long 0x10 "MUX_CHANNEL5,MUX channel 5 mapping parameter"
hexmask.long.byte 0x10 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter"
hexmask.long.byte 0x10 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter"
line.long 0x14 "MUX_CHANNEL6,MUX channel 6 mapping parameter"
hexmask.long.byte 0x14 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter"
hexmask.long.byte 0x14 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter"
line.long 0x18 "MUX_CHANNEL7,MUX channel 7 mapping parameter"
hexmask.long.byte 0x18 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter"
hexmask.long.byte 0x18 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter"
line.long 0x1C "MUX_CHANNEL8,MUX channel 8 mapping parameter"
hexmask.long.byte 0x1C 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter"
hexmask.long.byte 0x1C 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter"
line.long 0x20 "MUX_REG_UPDATE,MUX register update"
bitfld.long 0x20 0. "ENABLE,MUX register update enable" "0,1"
tree.end
repeat.end
elif (CORENAME()=="CORTEXA55")
tree "DMA_MUX_PCIE1"
base ad:0x313C0000
group.long 0x0++0x23
line.long 0x0 "MUX_CHANNEL1,MUX channel 1 mapping parameter"
hexmask.long.byte 0x0 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter"
hexmask.long.byte 0x0 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter"
line.long 0x4 "MUX_CHANNEL2,MUX channel 2 mapping parameter"
hexmask.long.byte 0x4 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter"
hexmask.long.byte 0x4 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter"
line.long 0x8 "MUX_CHANNEL3,MUX channel 3 mapping parameter"
hexmask.long.byte 0x8 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter"
hexmask.long.byte 0x8 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter"
line.long 0xC "MUX_CHANNEL4,MUX channel 4 mapping parameter"
hexmask.long.byte 0xC 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter"
hexmask.long.byte 0xC 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter"
line.long 0x10 "MUX_CHANNEL5,MUX channel 5 mapping parameter"
hexmask.long.byte 0x10 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter"
hexmask.long.byte 0x10 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter"
line.long 0x14 "MUX_CHANNEL6,MUX channel 6 mapping parameter"
hexmask.long.byte 0x14 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter"
hexmask.long.byte 0x14 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter"
line.long 0x18 "MUX_CHANNEL7,MUX channel 7 mapping parameter"
hexmask.long.byte 0x18 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter"
hexmask.long.byte 0x18 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter"
line.long 0x1C "MUX_CHANNEL8,MUX channel 8 mapping parameter"
hexmask.long.byte 0x1C 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter"
hexmask.long.byte 0x1C 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter"
line.long 0x20 "MUX_REG_UPDATE,MUX register update"
bitfld.long 0x20 0. "ENABLE,MUX register update enable" "0,1"
tree.end
tree "DMA_MUX_PCIE2"
base ad:0x313E0000
group.long 0x0++0x23
line.long 0x0 "MUX_CHANNEL1,MUX channel 1 mapping parameter"
hexmask.long.byte 0x0 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter"
hexmask.long.byte 0x0 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter"
line.long 0x4 "MUX_CHANNEL2,MUX channel 2 mapping parameter"
hexmask.long.byte 0x4 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter"
hexmask.long.byte 0x4 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter"
line.long 0x8 "MUX_CHANNEL3,MUX channel 3 mapping parameter"
hexmask.long.byte 0x8 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter"
hexmask.long.byte 0x8 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter"
line.long 0xC "MUX_CHANNEL4,MUX channel 4 mapping parameter"
hexmask.long.byte 0xC 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter"
hexmask.long.byte 0xC 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter"
line.long 0x10 "MUX_CHANNEL5,MUX channel 5 mapping parameter"
hexmask.long.byte 0x10 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter"
hexmask.long.byte 0x10 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter"
line.long 0x14 "MUX_CHANNEL6,MUX channel 6 mapping parameter"
hexmask.long.byte 0x14 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter"
hexmask.long.byte 0x14 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter"
line.long 0x18 "MUX_CHANNEL7,MUX channel 7 mapping parameter"
hexmask.long.byte 0x18 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter"
hexmask.long.byte 0x18 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter"
line.long 0x1C "MUX_CHANNEL8,MUX channel 8 mapping parameter"
hexmask.long.byte 0x1C 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter"
hexmask.long.byte 0x1C 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter"
line.long 0x20 "MUX_REG_UPDATE,MUX register update"
bitfld.long 0x20 0. "ENABLE,MUX register update enable" "0,1"
tree.end
repeat 8. (increment 1. 1.) (list ad:0x301E0000 ad:0x30440000 ad:0x30450000 ad:0x30460000 ad:0x30470000 ad:0x30480000 ad:0x30490000 ad:0x304A0000)
tree "DMA_MUX$1"
base $2
group.long 0x0++0x23
line.long 0x0 "MUX_CHANNEL1,MUX channel 1 mapping parameter"
hexmask.long.byte 0x0 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 1 read handshaking mapping parameter"
hexmask.long.byte 0x0 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x0 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 1 write handshaking mapping parameter"
line.long 0x4 "MUX_CHANNEL2,MUX channel 2 mapping parameter"
hexmask.long.byte 0x4 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 2 read handshaking mapping parameter"
hexmask.long.byte 0x4 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x4 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 2 write handshaking mapping parameter"
line.long 0x8 "MUX_CHANNEL3,MUX channel 3 mapping parameter"
hexmask.long.byte 0x8 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 3 read handshaking mapping parameter"
hexmask.long.byte 0x8 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x8 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 3 write handshaking mapping parameter"
line.long 0xC "MUX_CHANNEL4,MUX channel 4 mapping parameter"
hexmask.long.byte 0xC 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 4 read handshaking mapping parameter"
hexmask.long.byte 0xC 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0xC 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 4 write handshaking mapping parameter"
line.long 0x10 "MUX_CHANNEL5,MUX channel 5 mapping parameter"
hexmask.long.byte 0x10 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 5 read handshaking mapping parameter"
hexmask.long.byte 0x10 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x10 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 5 write handshaking mapping parameter"
line.long 0x14 "MUX_CHANNEL6,MUX channel 6 mapping parameter"
hexmask.long.byte 0x14 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 6 read handshaking mapping parameter"
hexmask.long.byte 0x14 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x14 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 6 write handshaking mapping parameter"
line.long 0x18 "MUX_CHANNEL7,MUX channel 7 mapping parameter"
hexmask.long.byte 0x18 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 7 read handshaking mapping parameter"
hexmask.long.byte 0x18 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x18 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 7 write handshaking mapping parameter"
line.long 0x1C "MUX_CHANNEL8,MUX channel 8 mapping parameter"
hexmask.long.byte 0x1C 24.--31. 1. "UPDATED_RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 16.--23. 1. "RD_HDSK_MAPPING,MUX channel 8 read handshaking mapping parameter"
hexmask.long.byte 0x1C 8.--15. 1. "UPDATED_WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter after DMA updating."
hexmask.long.byte 0x1C 0.--7. 1. "WR_HDSK_MAPPING,MUX channel 8 write handshaking mapping parameter"
line.long 0x20 "MUX_REG_UPDATE,MUX register update"
bitfld.long 0x20 0. "ENABLE,MUX register update enable" "0,1"
tree.end
repeat.end
endif
tree.end
tree "EFUSEC (Fuse Controller)"
sif (CORENAME()=="CORTEXR5F")
base ad:0xF0010000
group.long 0x0++0xB
line.long 0x0 "FUSE_CTRL,This register provides controll setting and save status for fuse opeation"
hexmask.long.word 0x0 16.--31. 1. "PROG_KEY,Write special key to enable fuse program operation."
bitfld.long 0x0 12. "ERROR,It indicates fuse operation is failed when set." "0,1"
rbitfld.long 0x0 11. "BUSY,It indicates fuse operation is not complete when set" "0,1"
bitfld.long 0x0 9.--10. "AT,It is used to assert AT1 and AT0 pin of fuse macro in Test row and column fuse programming and reading." "0,1,2,3"
newline
bitfld.long 0x0 8. "MARGIN,It is used to assert MR pin of fuse macro in fuse margin reading." "0,1"
hexmask.long.byte 0x0 0.--7. 1. "ADDR,Fuse program and read access address register. In normal program and read ADDR[7:0] is used to select one of 256 fuse words. In test program mode ADDR[6:0] is used to select which bit is programmed in selected test row. In test read mode.."
line.long 0x4 "PROG_DATA,This register is used to provide programming data."
hexmask.long 0x4 0.--31. 1. "DATA,fuse program data"
line.long 0x8 "FUSE_TRIG,Fuse operation trigger register. It is in conjuction with FUSE_CTRL and FUSE_DATA register to trigger fuse operation."
bitfld.long 0x8 4. "LOAD,After set fuse_ctrl wil reload fuse into shadow registers in order. It will be clear after reload is complete." "0,1"
bitfld.long 0x8 3. "TPROG,After set it will trigger fuse program operation for test row/column fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete" "0,1"
bitfld.long 0x8 2. "PROG,After set it will trigger fuse program operation for fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete" "0,1"
bitfld.long 0x8 1. "TREAD,After set it will trigger fuse read operation for test row/column fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete" "0,1"
newline
bitfld.long 0x8 0. "READ,After set it will trigger fuse read oeration for fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete." "0,1"
rgroup.long 0xC++0x3
line.long 0x0 "READ_FUSE_DATA,This register is used to save fuse data read from fuse macro."
hexmask.long 0x0 0.--31. 1. "DATA,The fuse data read from fuse macro."
group.long 0x10++0x1B
line.long 0x0 "FUSE_TIMING_0,Fuse timing register 0. It provides timing configuration for fuse programming and reading."
hexmask.long.byte 0x0 24.--31. 1. "PD_SETUP_CNT,Specifiy setup time from PD to PS or PD to CS"
hexmask.long.byte 0x0 18.--23. 1. "PD_HOLD_CNT,Specifiy hold time from PD to PS or PD to CS"
hexmask.long.byte 0x0 13.--17. 1. "PS_SETUP_CNT,Specifiy setup time from PS to other signals."
hexmask.long.byte 0x0 8.--12. 1. "PS_HOLD_CNT,Specifiy hold time from PS to other signals."
hexmask.long.byte 0x0 4.--7. 1. "CS_SETUP_CNT,Specifiy setup time to address signals."
newline
hexmask.long.byte 0x0 0.--3. 1. "CS_HOLD_CNT,Specifiy hold time to address signals."
line.long 0x4 "FUSE_TIMING_1,Fuse timing register1. It provides timing configuration for fuse programming and reading."
hexmask.long.byte 0x4 24.--31. 1. "RD_TO_PROG_CNT,Specify timing interval between fuse reading and programming"
hexmask.long.byte 0x4 18.--23. 1. "MR_RD_STRB_CNT,Specify strobe signal width in fuse margin reading"
hexmask.long.byte 0x4 12.--17. 1. "RD_STRB_CNT,Specify strobe signal width in fuse normal reading"
hexmask.long.word 0x4 0.--11. 1. "PROG_STRB_CNT,Sepcify strobe signal width in fuse programming."
line.long 0x8 "CHECK_FUSE_ADDR,It indicates which fuse word need be checked. Need set between188~255"
hexmask.long.byte 0x8 0.--7. 1. "ADDR,Indicate which fuse word need be checked. Need set between 188~255"
line.long 0xC "SEMA_LOCK,It provides semaphore lock for SE and AP access"
rbitfld.long 0xC 2. "AP_LOCK,This bit shows that LOCK bit is set by AP APB bus." "0,1"
rbitfld.long 0xC 1. "SE_LOCK,This bit shows that LOCK bit is set by SE APB bus" "0,1"
bitfld.long 0xC 0. "LOCK,When this bit is set by SE or AP APB bus Other APB bus can not access register 0x0~0x18 and clear this bit." "0,1"
line.long 0x10 "INT_STA,Interrupt status register"
bitfld.long 0x10 3. "FATAL,It reports ECC correction logic error." "0,1"
bitfld.long 0x10 2. "MUL_ERR,Indicate ECC mulitple bit error happen" "0,1"
bitfld.long 0x10 1. "RED_ERR,Indicate redundancy fuse has been corrected" "0,1"
bitfld.long 0x10 0. "SIG_ERR,Indicate ECC single bit correction happens" "0,1"
line.long 0x14 "INT_STA_EN,Interrupt status enable"
bitfld.long 0x14 3. "FATAL,ECC correction logic error interrupt status enable" "0,1"
bitfld.long 0x14 2. "MUL_ERR,ECC multiple bit errors interrupt status enable" "0,1"
bitfld.long 0x14 1. "RED_ERR,redundancy fuse correction interrupt status enable" "0,1"
bitfld.long 0x14 0. "SIG_ERR,ECC single bit error interrupt status enable" "0,1"
line.long 0x18 "INT_SIG_EN,Interrupt signal enable"
bitfld.long 0x18 3. "FATAL,ECC correction logic error interrupt signal enable" "0,1"
bitfld.long 0x18 2. "MUL_ERR,Multiple fuse bits error interrupt signal enable" "0,1"
bitfld.long 0x18 1. "RED_ERR,Redundancy fuse correction interrupt signal enable" "0,1"
bitfld.long 0x18 0. "SIG_ERR,ECC single error bit interrupt signal enable" "0,1"
repeat 5. (list 0x0 0x1 0x2 0x3 0x4 )(list 0x0 0x4 0x8 0xC 0x10 )
group.long ($2+0x100)++0x3
line.long 0x0 "ECC_SIG_ERROR$1,Indicate single bit error in ecc word0~31"
bitfld.long 0x0 31. "ERR_31,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 30. "ERR_30,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 29. "ERR_29,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 28. "ERR_28,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 27. "ERR_27,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 26. "ERR_26,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 25. "ERR_25,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 24. "ERR_24,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 23. "ERR_23,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 22. "ERR_22,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 21. "ERR_21,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 20. "ERR_20,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 19. "ERR_19,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 18. "ERR_18,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 17. "ERR_17,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 16. "ERR_16,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 15. "ERR_15,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 14. "ERR_14,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 13. "ERR_13,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 12. "ERR_12,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 11. "ERR_11,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 10. "ERR_10,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 9. "ERR_9,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 8. "ERR_8,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 7. "ERR_7,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 6. "ERR_6,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 5. "ERR_5,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 4. "ERR_4,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 3. "ERR_3,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 2. "ERR_2,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 1. "ERR_1,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 0. "ERR_0,ecc fuse word single bit error" "0,1"
repeat.end
repeat 5. (list 0x0 0x1 0x2 0x3 0x4 )(list 0x0 0x4 0x8 0xC 0x10 )
group.long ($2+0x114)++0x3
line.long 0x0 "ECC_MUL_ERROR$1,Indicate mulltiple bit error in ecc word0~31"
bitfld.long 0x0 31. "ERR_31,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 30. "ERR_30,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 29. "ERR_29,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 28. "ERR_28,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 27. "ERR_27,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 26. "ERR_26,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 25. "ERR_25,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 24. "ERR_24,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 23. "ERR_23,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 22. "ERR_22,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 21. "ERR_21,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 20. "ERR_20,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 19. "ERR_19,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 18. "ERR_18,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 17. "ERR_17,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 16. "ERR_16,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 15. "ERR_15,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 14. "ERR_14,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 13. "ERR_13,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 12. "ERR_12,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 11. "ERR_11,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 10. "ERR_10,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 9. "ERR_9,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 8. "ERR_8,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 7. "ERR_7,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 6. "ERR_6,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 5. "ERR_5,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 4. "ERR_4,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 3. "ERR_3,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 2. "ERR_2,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 1. "ERR_1,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 0. "ERR_0,ecc fuse word multiple bits error" "0,1"
repeat.end
group.long 0x128++0x7
line.long 0x0 "RED_ERROR0,Indicate error in redundancy word0~19"
bitfld.long 0x0 19. "ERR_19,Redundancy fuse word error" "0,1"
bitfld.long 0x0 18. "ERR_18,Redundancy fuse word error" "0,1"
bitfld.long 0x0 17. "ERR_17,Redundancy fuse word error" "0,1"
bitfld.long 0x0 16. "ERR_16,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 15. "ERR_15,Redundancy fuse word error" "0,1"
bitfld.long 0x0 14. "ERR_14,Redundancy fuse word error" "0,1"
bitfld.long 0x0 13. "ERR_13,Redundancy fuse word error" "0,1"
bitfld.long 0x0 12. "ERR_12,Redundancy fuse word error" "0,1"
bitfld.long 0x0 11. "ERR_11,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 10. "ERR_10,Redundancy fuse word error" "0,1"
bitfld.long 0x0 9. "ERR_9,Redundancy fuse word error" "0,1"
bitfld.long 0x0 8. "ERR_8,Redundancy fuse word error" "0,1"
bitfld.long 0x0 7. "ERR_7,Redundancy fuse word error" "0,1"
bitfld.long 0x0 6. "ERR_6,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 5. "ERR_5,Redundancy fuse word error" "0,1"
bitfld.long 0x0 4. "ERR_4,Redundancy fuse word error" "0,1"
bitfld.long 0x0 3. "ERR_3,Redundancy fuse word error" "0,1"
bitfld.long 0x0 2. "ERR_2,Redundancy fuse word error" "0,1"
bitfld.long 0x0 1. "ERR_1,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 0. "ERR_0,Redundancy fuse word error" "0,1"
line.long 0x4 "LOCK_RED_ERROR,Indicate error in lock fuse word0~7"
bitfld.long 0x4 7. "ERR_7,Redundancy fuse word error" "0,1"
bitfld.long 0x4 6. "ERR_6,Redundancy fuse word error" "0,1"
bitfld.long 0x4 5. "ERR_5,Redundancy fuse word error" "0,1"
bitfld.long 0x4 4. "ERR_4,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x4 3. "ERR_3,Redundancy fuse word error" "0,1"
bitfld.long 0x4 2. "ERR_2,Redundancy fuse word error" "0,1"
bitfld.long 0x4 1. "ERR_1,Redundancy fuse word error" "0,1"
bitfld.long 0x4 0. "ERR_0,Redundancy fuse word error" "0,1"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
rgroup.long ($2+0x200)++0x3
line.long 0x0 "ECC_DATA_CORR_$1,indictor which data bit has been corrected."
hexmask.long 0x0 0.--31. 1. "DATA,Indicate which data bit has been corrected"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
rgroup.long ($2+0x204)++0x3
line.long 0x0 "ECC_CORR_$1,Indicate which ecc bit is not correct"
hexmask.long.byte 0x0 0.--6. 1. "ECC,Indicate which ecc bit has been corrected."
repeat.end
rgroup.long 0x220++0x7
line.long 0x0 "RED_DATA_CORR,Indicate which bit in redudancy bits are not corrected."
hexmask.long 0x0 0.--31. 1. "DATA,Indicate which redundancy data bit are not correct."
line.long 0x4 "RED_CORR,Indicate which redundancy data bit are not correct."
hexmask.long 0x4 0.--31. 1. "RED,Indicate which redundancy data bit are not correct."
group.long 0x300++0x27
line.long 0x0 "SE_GLB_LOCK,Global setting for SE bank lock. Sticky register."
bitfld.long 0x0 0. "SE_LOCK,Lock SE lock register bits" "0,1"
line.long 0x4 "SE_BANK_LOCK0,Bank0~7 lock bit for SE. Sticky register."
bitfld.long 0x4 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x4 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x4 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x4 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x4 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x4 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x4 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x4 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x4 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x4 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x4 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x4 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x4 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x4 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x4 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x4 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x4 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x4 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x4 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x4 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x4 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x4 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x4 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x4 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x4 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x8 "SE_BANK_LOCK1,Bank8~15 lock bit for SE. Sticky register."
bitfld.long 0x8 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x8 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x8 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x8 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x8 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x8 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x8 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x8 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x8 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x8 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x8 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x8 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x8 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x8 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x8 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x8 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x8 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x8 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x8 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x8 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x8 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x8 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x8 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x8 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x8 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0xC "SE_BANK_LOCK2,Bank16~23 lock bit for SE. Sticky register."
bitfld.long 0xC 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0xC 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0xC 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0xC 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0xC 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0xC 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0xC 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0xC 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0xC 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0xC 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0xC 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0xC 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0xC 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0xC 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0xC 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0xC 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0xC 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0xC 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0xC 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0xC 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0xC 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0xC 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0xC 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0xC 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0xC 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x10 "SE_BANK_LOCK3,Bank24~31 lock bit for SE. Sticky register."
bitfld.long 0x10 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x10 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x10 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x10 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x10 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x10 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x10 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x10 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x10 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x10 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x10 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x10 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x10 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x10 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x10 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x10 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x10 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x10 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x10 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x10 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x10 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x10 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x10 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x10 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x10 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x14 "AP_GLB_LOCK,Global setting for AP bank lock. Sticky register."
bitfld.long 0x14 0. "AP_LOCK,Lock AP lock register bits." "0,1"
line.long 0x18 "AP_BANK_LOCK0,Bank0~7 lock bit for AP. Sticky register."
bitfld.long 0x18 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x18 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x18 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x18 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x18 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x18 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x18 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x18 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x18 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x18 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x18 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x18 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x18 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x18 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x18 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x18 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x18 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x18 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x18 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x18 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x18 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x18 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x18 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x18 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x18 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x1C "AP_BANK_LOCK1,Bank8~15 lock bit for AP. Sticky register."
bitfld.long 0x1C 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x1C 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x1C 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x1C 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x1C 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x1C 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x1C 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x1C 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x1C 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x1C 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x1C 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x1C 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x1C 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x1C 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x1C 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x1C 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x1C 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x1C 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x1C 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x20 "AP_BANK_LOCK2,Bank16~23 lock bit for AP. Sticky register."
bitfld.long 0x20 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x20 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x20 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x20 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x20 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x20 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x20 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x20 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x20 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x20 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x20 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x20 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x20 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x20 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x20 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x20 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x20 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x20 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x20 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x20 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x20 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x20 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x20 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x20 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x20 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x24 "AP_BANK_LOCK3,Bank24~31 lock bit for AP. Sticky register."
bitfld.long 0x24 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x24 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x24 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x24 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x24 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x24 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x24 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x24 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x24 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x24 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x24 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x24 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x24 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x24 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x24 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x24 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x24 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x24 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x24 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x24 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x24 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x24 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x24 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x24 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x24 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 0. "PLOCK0,Lock for program fuse." "0,1"
group.long 0x400++0x17
line.long 0x0 "DATA_INJ_ECC,Error jnjection for read data for ECC mode."
hexmask.long 0x0 0.--31. 1. "INJ,Error jnjection for read data."
line.long 0x4 "ECC_INJ_ECC,Error injection for ecc code for ECC mode"
hexmask.long.byte 0x4 0.--6. 1. "INJ,Error injection for ecc code"
line.long 0x8 "DATA_INJ_RED,Error injection for read data for redundancy fuse data"
hexmask.long 0x8 0.--31. 1. "INJ,Error injection for redundancy fuse data"
line.long 0xC "RED_INJ_RED,Error injection for redundancy fuse data for redundancy mode"
hexmask.long 0xC 0.--31. 1. "INJ,Error injection for redundancy fuse data"
line.long 0x10 "RDATA_INJ_ECC,Error jnjection for read correction data for ECC mode."
hexmask.long 0x10 0.--31. 1. "INJ,Error jnjection for read data."
line.long 0x14 "RECC_INJ_ECC_1,Error injection for ecc correction code for ECC mode"
hexmask.long.byte 0x14 0.--6. 1. "INJ,Error injection for ecc code"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x500)++0x3
line.long 0x0 "SW_STICKY_SE$1,SW sticky register bit for safety domain."
bitfld.long 0x0 31. "BIT_31,SW sticky bit" "0,1"
bitfld.long 0x0 30. "BIT_30,SW sticky bit" "0,1"
bitfld.long 0x0 29. "BIT_29,SW sticky bit" "0,1"
bitfld.long 0x0 28. "BIT_28,SW sticky bit" "0,1"
bitfld.long 0x0 27. "BIT_27,SW sticky bit" "0,1"
newline
bitfld.long 0x0 26. "BIT_26,SW sticky bit" "0,1"
bitfld.long 0x0 25. "BIT_25,SW sticky bit" "0,1"
bitfld.long 0x0 24. "BIT_24,SW sticky bit" "0,1"
bitfld.long 0x0 23. "BIT_23,SW sticky bit" "0,1"
bitfld.long 0x0 22. "BIT_22,SW sticky bit" "0,1"
newline
bitfld.long 0x0 21. "BIT_21,SW sticky bit" "0,1"
bitfld.long 0x0 20. "BIT_20,SW sticky bit" "0,1"
bitfld.long 0x0 19. "BIT_19,SW sticky bit" "0,1"
bitfld.long 0x0 18. "BIT_18,SW sticky bit" "0,1"
bitfld.long 0x0 17. "BIT_17,SW sticky bit" "0,1"
newline
bitfld.long 0x0 16. "BIT_16,SW sticky bit" "0,1"
bitfld.long 0x0 15. "BIT_15,SW sticky bit" "0,1"
bitfld.long 0x0 14. "BIT_14,SW sticky bit" "0,1"
bitfld.long 0x0 13. "BIT_13,SW sticky bit" "0,1"
bitfld.long 0x0 12. "BIT_12,SW sticky bit" "0,1"
newline
bitfld.long 0x0 11. "BIT_11,SW sticky bit" "0,1"
bitfld.long 0x0 10. "BIT_10,SW sticky bit" "0,1"
bitfld.long 0x0 9. "BIT_9,SW sticky bit" "0,1"
bitfld.long 0x0 8. "BIT_8,SW sticky bit" "0,1"
bitfld.long 0x0 7. "BIT_7,SW sticky bit" "0,1"
newline
bitfld.long 0x0 6. "BIT_6,SW sticky bit" "0,1"
bitfld.long 0x0 5. "BIT_5,SW sticky bit" "0,1"
bitfld.long 0x0 4. "BIT_4,SW sticky bit" "0,1"
bitfld.long 0x0 3. "BIT_3,SW sticky bit" "0,1"
bitfld.long 0x0 2. "BIT_2,SW sticky bit" "0,1"
newline
bitfld.long 0x0 1. "BIT_1,SW sticky bit" "0,1"
bitfld.long 0x0 0. "BIT_0,SW sticky bit" "0,1"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x510)++0x3
line.long 0x0 "SW_STICKY_AP$1,SW sticky register bit for AP domain."
bitfld.long 0x0 31. "BIT_31,SW sticky bit" "0,1"
bitfld.long 0x0 30. "BIT_30,SW sticky bit" "0,1"
bitfld.long 0x0 29. "BIT_29,SW sticky bit" "0,1"
bitfld.long 0x0 28. "BIT_28,SW sticky bit" "0,1"
bitfld.long 0x0 27. "BIT_27,SW sticky bit" "0,1"
newline
bitfld.long 0x0 26. "BIT_26,SW sticky bit" "0,1"
bitfld.long 0x0 25. "BIT_25,SW sticky bit" "0,1"
bitfld.long 0x0 24. "BIT_24,SW sticky bit" "0,1"
bitfld.long 0x0 23. "BIT_23,SW sticky bit" "0,1"
bitfld.long 0x0 22. "BIT_22,SW sticky bit" "0,1"
newline
bitfld.long 0x0 21. "BIT_21,SW sticky bit" "0,1"
bitfld.long 0x0 20. "BIT_20,SW sticky bit" "0,1"
bitfld.long 0x0 19. "BIT_19,SW sticky bit" "0,1"
bitfld.long 0x0 18. "BIT_18,SW sticky bit" "0,1"
bitfld.long 0x0 17. "BIT_17,SW sticky bit" "0,1"
newline
bitfld.long 0x0 16. "BIT_16,SW sticky bit" "0,1"
bitfld.long 0x0 15. "BIT_15,SW sticky bit" "0,1"
bitfld.long 0x0 14. "BIT_14,SW sticky bit" "0,1"
bitfld.long 0x0 13. "BIT_13,SW sticky bit" "0,1"
bitfld.long 0x0 12. "BIT_12,SW sticky bit" "0,1"
newline
bitfld.long 0x0 11. "BIT_11,SW sticky bit" "0,1"
bitfld.long 0x0 10. "BIT_10,SW sticky bit" "0,1"
bitfld.long 0x0 9. "BIT_9,SW sticky bit" "0,1"
bitfld.long 0x0 8. "BIT_8,SW sticky bit" "0,1"
bitfld.long 0x0 7. "BIT_7,SW sticky bit" "0,1"
newline
bitfld.long 0x0 6. "BIT_6,SW sticky bit" "0,1"
bitfld.long 0x0 5. "BIT_5,SW sticky bit" "0,1"
bitfld.long 0x0 4. "BIT_4,SW sticky bit" "0,1"
bitfld.long 0x0 3. "BIT_3,SW sticky bit" "0,1"
bitfld.long 0x0 2. "BIT_2,SW sticky bit" "0,1"
newline
bitfld.long 0x0 1. "BIT_1,SW sticky bit" "0,1"
bitfld.long 0x0 0. "BIT_0,SW sticky bit" "0,1"
repeat.end
repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C )
rgroup.long ($2+0x1000)++0x3
line.long 0x0 "LOCK_FUSE_$1,Shadow register for lock fuse word"
hexmask.long 0x0 0.--31. 1. "LOCK,shadow register for lock fuse word"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 4. (list 0xB0 0xB1 0xB2 0xB3 )(list 0x2C0 0x2C4 0x2C8 0x2CC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
elif (CORENAME()=="CORTEXA55")
base ad:0x30010000
group.long 0x0++0xB
line.long 0x0 "FUSE_CTRL,This register provides controll setting and save status for fuse opeation"
hexmask.long.word 0x0 16.--31. 1. "PROG_KEY,Write special key to enable fuse program operation."
bitfld.long 0x0 12. "ERROR,It indicates fuse operation is failed when set." "0,1"
rbitfld.long 0x0 11. "BUSY,It indicates fuse operation is not complete when set" "0,1"
bitfld.long 0x0 9.--10. "AT,It is used to assert AT1 and AT0 pin of fuse macro in Test row and column fuse programming and reading." "0,1,2,3"
newline
bitfld.long 0x0 8. "MARGIN,It is used to assert MR pin of fuse macro in fuse margin reading." "0,1"
hexmask.long.byte 0x0 0.--7. 1. "ADDR,Fuse program and read access address register. In normal program and read ADDR[7:0] is used to select one of 256 fuse words. In test program mode ADDR[6:0] is used to select which bit is programmed in selected test row. In test read mode.."
line.long 0x4 "PROG_DATA,This register is used to provide programming data."
hexmask.long 0x4 0.--31. 1. "DATA,fuse program data"
line.long 0x8 "FUSE_TRIG,Fuse operation trigger register. It is in conjuction with FUSE_CTRL and FUSE_DATA register to trigger fuse operation."
bitfld.long 0x8 4. "LOAD,After set fuse_ctrl wil reload fuse into shadow registers in order. It will be clear after reload is complete." "0,1"
bitfld.long 0x8 3. "TPROG,After set it will trigger fuse program operation for test row/column fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete" "0,1"
bitfld.long 0x8 2. "PROG,After set it will trigger fuse program operation for fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete" "0,1"
bitfld.long 0x8 1. "TREAD,After set it will trigger fuse read operation for test row/column fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete" "0,1"
newline
bitfld.long 0x8 0. "READ,After set it will trigger fuse read oeration for fuse word which address is assigned by ADDR in FUSE_CTRL register. It will be clear after operation is complete." "0,1"
rgroup.long 0xC++0x3
line.long 0x0 "READ_FUSE_DATA,This register is used to save fuse data read from fuse macro."
hexmask.long 0x0 0.--31. 1. "DATA,The fuse data read from fuse macro."
group.long 0x10++0x1B
line.long 0x0 "FUSE_TIMING_0,Fuse timing register 0. It provides timing configuration for fuse programming and reading."
hexmask.long.byte 0x0 24.--31. 1. "PD_SETUP_CNT,Specifiy setup time from PD to PS or PD to CS"
hexmask.long.byte 0x0 18.--23. 1. "PD_HOLD_CNT,Specifiy hold time from PD to PS or PD to CS"
hexmask.long.byte 0x0 13.--17. 1. "PS_SETUP_CNT,Specifiy setup time from PS to other signals."
hexmask.long.byte 0x0 8.--12. 1. "PS_HOLD_CNT,Specifiy hold time from PS to other signals."
hexmask.long.byte 0x0 4.--7. 1. "CS_SETUP_CNT,Specifiy setup time to address signals."
newline
hexmask.long.byte 0x0 0.--3. 1. "CS_HOLD_CNT,Specifiy hold time to address signals."
line.long 0x4 "FUSE_TIMING_1,Fuse timing register1. It provides timing configuration for fuse programming and reading."
hexmask.long.byte 0x4 24.--31. 1. "RD_TO_PROG_CNT,Specify timing interval between fuse reading and programming"
hexmask.long.byte 0x4 18.--23. 1. "MR_RD_STRB_CNT,Specify strobe signal width in fuse margin reading"
hexmask.long.byte 0x4 12.--17. 1. "RD_STRB_CNT,Specify strobe signal width in fuse normal reading"
hexmask.long.word 0x4 0.--11. 1. "PROG_STRB_CNT,Sepcify strobe signal width in fuse programming."
line.long 0x8 "CHECK_FUSE_ADDR,It indicates which fuse word need be checked. Need set between188~255"
hexmask.long.byte 0x8 0.--7. 1. "ADDR,Indicate which fuse word need be checked. Need set between 188~255"
line.long 0xC "SEMA_LOCK,It provides semaphore lock for SE and AP access"
rbitfld.long 0xC 2. "AP_LOCK,This bit shows that LOCK bit is set by AP APB bus." "0,1"
rbitfld.long 0xC 1. "SE_LOCK,This bit shows that LOCK bit is set by SE APB bus" "0,1"
bitfld.long 0xC 0. "LOCK,When this bit is set by SE or AP APB bus Other APB bus can not access register 0x0~0x18 and clear this bit." "0,1"
line.long 0x10 "INT_STA,Interrupt status register"
bitfld.long 0x10 3. "FATAL,It reports ECC correction logic error." "0,1"
bitfld.long 0x10 2. "MUL_ERR,Indicate ECC mulitple bit error happen" "0,1"
bitfld.long 0x10 1. "RED_ERR,Indicate redundancy fuse has been corrected" "0,1"
bitfld.long 0x10 0. "SIG_ERR,Indicate ECC single bit correction happens" "0,1"
line.long 0x14 "INT_STA_EN,Interrupt status enable"
bitfld.long 0x14 3. "FATAL,ECC correction logic error interrupt status enable" "0,1"
bitfld.long 0x14 2. "MUL_ERR,ECC multiple bit errors interrupt status enable" "0,1"
bitfld.long 0x14 1. "RED_ERR,redundancy fuse correction interrupt status enable" "0,1"
bitfld.long 0x14 0. "SIG_ERR,ECC single bit error interrupt status enable" "0,1"
line.long 0x18 "INT_SIG_EN,Interrupt signal enable"
bitfld.long 0x18 3. "FATAL,ECC correction logic error interrupt signal enable" "0,1"
bitfld.long 0x18 2. "MUL_ERR,Multiple fuse bits error interrupt signal enable" "0,1"
bitfld.long 0x18 1. "RED_ERR,Redundancy fuse correction interrupt signal enable" "0,1"
bitfld.long 0x18 0. "SIG_ERR,ECC single error bit interrupt signal enable" "0,1"
repeat 5. (list 0x0 0x1 0x2 0x3 0x4 )(list 0x0 0x4 0x8 0xC 0x10 )
group.long ($2+0x100)++0x3
line.long 0x0 "ECC_SIG_ERROR$1,Indicate single bit error in ecc word0~31"
bitfld.long 0x0 31. "ERR_31,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 30. "ERR_30,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 29. "ERR_29,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 28. "ERR_28,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 27. "ERR_27,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 26. "ERR_26,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 25. "ERR_25,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 24. "ERR_24,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 23. "ERR_23,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 22. "ERR_22,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 21. "ERR_21,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 20. "ERR_20,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 19. "ERR_19,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 18. "ERR_18,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 17. "ERR_17,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 16. "ERR_16,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 15. "ERR_15,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 14. "ERR_14,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 13. "ERR_13,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 12. "ERR_12,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 11. "ERR_11,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 10. "ERR_10,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 9. "ERR_9,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 8. "ERR_8,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 7. "ERR_7,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 6. "ERR_6,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 5. "ERR_5,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 4. "ERR_4,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 3. "ERR_3,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 2. "ERR_2,ecc fuse word single bit error" "0,1"
newline
bitfld.long 0x0 1. "ERR_1,ecc fuse word single bit error" "0,1"
bitfld.long 0x0 0. "ERR_0,ecc fuse word single bit error" "0,1"
repeat.end
repeat 5. (list 0x0 0x1 0x2 0x3 0x4 )(list 0x0 0x4 0x8 0xC 0x10 )
group.long ($2+0x114)++0x3
line.long 0x0 "ECC_MUL_ERROR$1,Indicate mulltiple bit error in ecc word0~31"
bitfld.long 0x0 31. "ERR_31,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 30. "ERR_30,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 29. "ERR_29,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 28. "ERR_28,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 27. "ERR_27,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 26. "ERR_26,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 25. "ERR_25,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 24. "ERR_24,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 23. "ERR_23,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 22. "ERR_22,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 21. "ERR_21,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 20. "ERR_20,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 19. "ERR_19,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 18. "ERR_18,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 17. "ERR_17,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 16. "ERR_16,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 15. "ERR_15,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 14. "ERR_14,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 13. "ERR_13,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 12. "ERR_12,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 11. "ERR_11,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 10. "ERR_10,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 9. "ERR_9,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 8. "ERR_8,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 7. "ERR_7,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 6. "ERR_6,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 5. "ERR_5,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 4. "ERR_4,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 3. "ERR_3,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 2. "ERR_2,ecc fuse word multiple bits error" "0,1"
newline
bitfld.long 0x0 1. "ERR_1,ecc fuse word multiple bits error" "0,1"
bitfld.long 0x0 0. "ERR_0,ecc fuse word multiple bits error" "0,1"
repeat.end
group.long 0x128++0x7
line.long 0x0 "RED_ERROR0,Indicate error in redundancy word0~19"
bitfld.long 0x0 19. "ERR_19,Redundancy fuse word error" "0,1"
bitfld.long 0x0 18. "ERR_18,Redundancy fuse word error" "0,1"
bitfld.long 0x0 17. "ERR_17,Redundancy fuse word error" "0,1"
bitfld.long 0x0 16. "ERR_16,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 15. "ERR_15,Redundancy fuse word error" "0,1"
bitfld.long 0x0 14. "ERR_14,Redundancy fuse word error" "0,1"
bitfld.long 0x0 13. "ERR_13,Redundancy fuse word error" "0,1"
bitfld.long 0x0 12. "ERR_12,Redundancy fuse word error" "0,1"
bitfld.long 0x0 11. "ERR_11,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 10. "ERR_10,Redundancy fuse word error" "0,1"
bitfld.long 0x0 9. "ERR_9,Redundancy fuse word error" "0,1"
bitfld.long 0x0 8. "ERR_8,Redundancy fuse word error" "0,1"
bitfld.long 0x0 7. "ERR_7,Redundancy fuse word error" "0,1"
bitfld.long 0x0 6. "ERR_6,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 5. "ERR_5,Redundancy fuse word error" "0,1"
bitfld.long 0x0 4. "ERR_4,Redundancy fuse word error" "0,1"
bitfld.long 0x0 3. "ERR_3,Redundancy fuse word error" "0,1"
bitfld.long 0x0 2. "ERR_2,Redundancy fuse word error" "0,1"
bitfld.long 0x0 1. "ERR_1,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x0 0. "ERR_0,Redundancy fuse word error" "0,1"
line.long 0x4 "LOCK_RED_ERROR,Indicate error in lock fuse word0~7"
bitfld.long 0x4 7. "ERR_7,Redundancy fuse word error" "0,1"
bitfld.long 0x4 6. "ERR_6,Redundancy fuse word error" "0,1"
bitfld.long 0x4 5. "ERR_5,Redundancy fuse word error" "0,1"
bitfld.long 0x4 4. "ERR_4,Redundancy fuse word error" "0,1"
newline
bitfld.long 0x4 3. "ERR_3,Redundancy fuse word error" "0,1"
bitfld.long 0x4 2. "ERR_2,Redundancy fuse word error" "0,1"
bitfld.long 0x4 1. "ERR_1,Redundancy fuse word error" "0,1"
bitfld.long 0x4 0. "ERR_0,Redundancy fuse word error" "0,1"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
rgroup.long ($2+0x200)++0x3
line.long 0x0 "ECC_DATA_CORR_$1,indictor which data bit has been corrected."
hexmask.long 0x0 0.--31. 1. "DATA,Indicate which data bit has been corrected"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x8 0x10 0x18 )
rgroup.long ($2+0x204)++0x3
line.long 0x0 "ECC_CORR_$1,Indicate which ecc bit is not correct"
hexmask.long.byte 0x0 0.--6. 1. "ECC,Indicate which ecc bit has been corrected."
repeat.end
rgroup.long 0x220++0x7
line.long 0x0 "RED_DATA_CORR,Indicate which bit in redudancy bits are not corrected."
hexmask.long 0x0 0.--31. 1. "DATA,Indicate which redundancy data bit are not correct."
line.long 0x4 "RED_CORR,Indicate which redundancy data bit are not correct."
hexmask.long 0x4 0.--31. 1. "RED,Indicate which redundancy data bit are not correct."
group.long 0x300++0x27
line.long 0x0 "SE_GLB_LOCK,Global setting for SE bank lock. Sticky register."
bitfld.long 0x0 0. "SE_LOCK,Lock SE lock register bits" "0,1"
line.long 0x4 "SE_BANK_LOCK0,Bank0~7 lock bit for SE. Sticky register."
bitfld.long 0x4 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x4 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x4 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x4 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x4 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x4 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x4 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x4 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x4 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x4 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x4 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x4 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x4 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x4 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x4 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x4 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x4 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x4 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x4 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x4 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x4 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x4 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x4 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x4 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x4 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x4 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x8 "SE_BANK_LOCK1,Bank8~15 lock bit for SE. Sticky register."
bitfld.long 0x8 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x8 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x8 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x8 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x8 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x8 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x8 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x8 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x8 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x8 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x8 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x8 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x8 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x8 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x8 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x8 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x8 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x8 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x8 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x8 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x8 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x8 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x8 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x8 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x8 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x8 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0xC "SE_BANK_LOCK2,Bank16~23 lock bit for SE. Sticky register."
bitfld.long 0xC 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0xC 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0xC 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0xC 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0xC 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0xC 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0xC 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0xC 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0xC 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0xC 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0xC 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0xC 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0xC 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0xC 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0xC 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0xC 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0xC 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0xC 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0xC 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0xC 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0xC 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0xC 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0xC 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0xC 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0xC 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0xC 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x10 "SE_BANK_LOCK3,Bank24~31 lock bit for SE. Sticky register."
bitfld.long 0x10 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x10 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x10 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x10 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x10 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x10 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x10 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x10 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x10 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x10 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x10 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x10 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x10 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x10 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x10 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x10 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x10 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x10 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x10 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x10 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x10 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x10 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x10 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x10 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x10 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x10 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x14 "AP_GLB_LOCK,Global setting for AP bank lock. Sticky register."
bitfld.long 0x14 0. "AP_LOCK,Lock AP lock register bits." "0,1"
line.long 0x18 "AP_BANK_LOCK0,Bank0~7 lock bit for AP. Sticky register."
bitfld.long 0x18 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x18 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x18 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x18 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x18 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x18 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x18 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x18 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x18 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x18 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x18 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x18 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x18 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x18 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x18 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x18 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x18 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x18 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x18 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x18 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x18 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x18 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x18 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x18 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x18 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x18 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x1C "AP_BANK_LOCK1,Bank8~15 lock bit for AP. Sticky register."
bitfld.long 0x1C 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x1C 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x1C 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x1C 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x1C 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x1C 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x1C 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x1C 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x1C 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x1C 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x1C 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x1C 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x1C 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x1C 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x1C 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x1C 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x1C 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x1C 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x1C 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x1C 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x1C 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x20 "AP_BANK_LOCK2,Bank16~23 lock bit for AP. Sticky register."
bitfld.long 0x20 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x20 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x20 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x20 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x20 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x20 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x20 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x20 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x20 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x20 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x20 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x20 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x20 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x20 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x20 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x20 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x20 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x20 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x20 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x20 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x20 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x20 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x20 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x20 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x20 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x20 0. "PLOCK0,Lock for program fuse." "0,1"
line.long 0x24 "AP_BANK_LOCK3,Bank24~31 lock bit for AP. Sticky register."
bitfld.long 0x24 31. "HLOCK7,Lock for HW key bus." "0,1"
bitfld.long 0x24 30. "OLOCK7,Lock for write shadow registers." "0,1"
bitfld.long 0x24 29. "RLOCK7,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 28. "PLOCK7,Lock for program fuse." "0,1"
bitfld.long 0x24 27. "HLOCK6,Lock for HW key bus." "0,1"
newline
bitfld.long 0x24 26. "OLOCK6,Lock for write shadow registers." "0,1"
bitfld.long 0x24 25. "RLOCK6,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 24. "PLOCK6,Lock for program fuse." "0,1"
bitfld.long 0x24 23. "HLOCK5,Lock for HW key bus." "0,1"
bitfld.long 0x24 22. "OLOCK5,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x24 21. "RLOCK5,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 20. "PLOCK5,Lock for program fuse." "0,1"
bitfld.long 0x24 19. "HLOCK4,Lock for HW key bus." "0,1"
bitfld.long 0x24 18. "OLOCK4,Lock for write shadow registers." "0,1"
bitfld.long 0x24 17. "RLOCK4,Lock for read fuse and shadow register." "0,1"
newline
bitfld.long 0x24 16. "PLOCK4,Lock for program fuse." "0,1"
bitfld.long 0x24 15. "HLOCK3,Lock for HW key bus." "0,1"
bitfld.long 0x24 14. "OLOCK3,Lock for write shadow registers." "0,1"
bitfld.long 0x24 13. "RLOCK3,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 12. "PLOCK3,Lock for program fuse." "0,1"
newline
bitfld.long 0x24 11. "HLOCK2,Lock for HW key bus." "0,1"
bitfld.long 0x24 10. "OLOCK2,Lock for write shadow registers." "0,1"
bitfld.long 0x24 9. "RLOCK2,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 8. "PLOCK2,Lock for program fuse." "0,1"
bitfld.long 0x24 7. "HLOCK1,Lock for HW key bus." "0,1"
newline
bitfld.long 0x24 6. "OLOCK1,Lock for write shadow registers." "0,1"
bitfld.long 0x24 5. "RLOCK1,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 4. "PLOCK1,Lock for program fuse." "0,1"
bitfld.long 0x24 3. "HLOCK0,Lock for HW key bus." "0,1"
bitfld.long 0x24 2. "OLOCK0,Lock for write shadow registers." "0,1"
newline
bitfld.long 0x24 1. "RLOCK0,Lock for read fuse and shadow register." "0,1"
bitfld.long 0x24 0. "PLOCK0,Lock for program fuse." "0,1"
group.long 0x400++0x17
line.long 0x0 "DATA_INJ_ECC,Error jnjection for read data for ECC mode."
hexmask.long 0x0 0.--31. 1. "INJ,Error jnjection for read data."
line.long 0x4 "ECC_INJ_ECC,Error injection for ecc code for ECC mode"
hexmask.long.byte 0x4 0.--6. 1. "INJ,Error injection for ecc code"
line.long 0x8 "DATA_INJ_RED,Error injection for read data for redundancy fuse data"
hexmask.long 0x8 0.--31. 1. "INJ,Error injection for redundancy fuse data"
line.long 0xC "RED_INJ_RED,Error injection for redundancy fuse data for redundancy mode"
hexmask.long 0xC 0.--31. 1. "INJ,Error injection for redundancy fuse data"
line.long 0x10 "RDATA_INJ_ECC,Error jnjection for read correction data for ECC mode."
hexmask.long 0x10 0.--31. 1. "INJ,Error jnjection for read data."
line.long 0x14 "RECC_INJ_ECC_1,Error injection for ecc correction code for ECC mode"
hexmask.long.byte 0x14 0.--6. 1. "INJ,Error injection for ecc code"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x500)++0x3
line.long 0x0 "SW_STICKY_SE$1,SW sticky register bit for safety domain."
bitfld.long 0x0 31. "BIT_31,SW sticky bit" "0,1"
bitfld.long 0x0 30. "BIT_30,SW sticky bit" "0,1"
bitfld.long 0x0 29. "BIT_29,SW sticky bit" "0,1"
bitfld.long 0x0 28. "BIT_28,SW sticky bit" "0,1"
bitfld.long 0x0 27. "BIT_27,SW sticky bit" "0,1"
newline
bitfld.long 0x0 26. "BIT_26,SW sticky bit" "0,1"
bitfld.long 0x0 25. "BIT_25,SW sticky bit" "0,1"
bitfld.long 0x0 24. "BIT_24,SW sticky bit" "0,1"
bitfld.long 0x0 23. "BIT_23,SW sticky bit" "0,1"
bitfld.long 0x0 22. "BIT_22,SW sticky bit" "0,1"
newline
bitfld.long 0x0 21. "BIT_21,SW sticky bit" "0,1"
bitfld.long 0x0 20. "BIT_20,SW sticky bit" "0,1"
bitfld.long 0x0 19. "BIT_19,SW sticky bit" "0,1"
bitfld.long 0x0 18. "BIT_18,SW sticky bit" "0,1"
bitfld.long 0x0 17. "BIT_17,SW sticky bit" "0,1"
newline
bitfld.long 0x0 16. "BIT_16,SW sticky bit" "0,1"
bitfld.long 0x0 15. "BIT_15,SW sticky bit" "0,1"
bitfld.long 0x0 14. "BIT_14,SW sticky bit" "0,1"
bitfld.long 0x0 13. "BIT_13,SW sticky bit" "0,1"
bitfld.long 0x0 12. "BIT_12,SW sticky bit" "0,1"
newline
bitfld.long 0x0 11. "BIT_11,SW sticky bit" "0,1"
bitfld.long 0x0 10. "BIT_10,SW sticky bit" "0,1"
bitfld.long 0x0 9. "BIT_9,SW sticky bit" "0,1"
bitfld.long 0x0 8. "BIT_8,SW sticky bit" "0,1"
bitfld.long 0x0 7. "BIT_7,SW sticky bit" "0,1"
newline
bitfld.long 0x0 6. "BIT_6,SW sticky bit" "0,1"
bitfld.long 0x0 5. "BIT_5,SW sticky bit" "0,1"
bitfld.long 0x0 4. "BIT_4,SW sticky bit" "0,1"
bitfld.long 0x0 3. "BIT_3,SW sticky bit" "0,1"
bitfld.long 0x0 2. "BIT_2,SW sticky bit" "0,1"
newline
bitfld.long 0x0 1. "BIT_1,SW sticky bit" "0,1"
bitfld.long 0x0 0. "BIT_0,SW sticky bit" "0,1"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x510)++0x3
line.long 0x0 "SW_STICKY_AP$1,SW sticky register bit for AP domain."
bitfld.long 0x0 31. "BIT_31,SW sticky bit" "0,1"
bitfld.long 0x0 30. "BIT_30,SW sticky bit" "0,1"
bitfld.long 0x0 29. "BIT_29,SW sticky bit" "0,1"
bitfld.long 0x0 28. "BIT_28,SW sticky bit" "0,1"
bitfld.long 0x0 27. "BIT_27,SW sticky bit" "0,1"
newline
bitfld.long 0x0 26. "BIT_26,SW sticky bit" "0,1"
bitfld.long 0x0 25. "BIT_25,SW sticky bit" "0,1"
bitfld.long 0x0 24. "BIT_24,SW sticky bit" "0,1"
bitfld.long 0x0 23. "BIT_23,SW sticky bit" "0,1"
bitfld.long 0x0 22. "BIT_22,SW sticky bit" "0,1"
newline
bitfld.long 0x0 21. "BIT_21,SW sticky bit" "0,1"
bitfld.long 0x0 20. "BIT_20,SW sticky bit" "0,1"
bitfld.long 0x0 19. "BIT_19,SW sticky bit" "0,1"
bitfld.long 0x0 18. "BIT_18,SW sticky bit" "0,1"
bitfld.long 0x0 17. "BIT_17,SW sticky bit" "0,1"
newline
bitfld.long 0x0 16. "BIT_16,SW sticky bit" "0,1"
bitfld.long 0x0 15. "BIT_15,SW sticky bit" "0,1"
bitfld.long 0x0 14. "BIT_14,SW sticky bit" "0,1"
bitfld.long 0x0 13. "BIT_13,SW sticky bit" "0,1"
bitfld.long 0x0 12. "BIT_12,SW sticky bit" "0,1"
newline
bitfld.long 0x0 11. "BIT_11,SW sticky bit" "0,1"
bitfld.long 0x0 10. "BIT_10,SW sticky bit" "0,1"
bitfld.long 0x0 9. "BIT_9,SW sticky bit" "0,1"
bitfld.long 0x0 8. "BIT_8,SW sticky bit" "0,1"
bitfld.long 0x0 7. "BIT_7,SW sticky bit" "0,1"
newline
bitfld.long 0x0 6. "BIT_6,SW sticky bit" "0,1"
bitfld.long 0x0 5. "BIT_5,SW sticky bit" "0,1"
bitfld.long 0x0 4. "BIT_4,SW sticky bit" "0,1"
bitfld.long 0x0 3. "BIT_3,SW sticky bit" "0,1"
bitfld.long 0x0 2. "BIT_2,SW sticky bit" "0,1"
newline
bitfld.long 0x0 1. "BIT_1,SW sticky bit" "0,1"
bitfld.long 0x0 0. "BIT_0,SW sticky bit" "0,1"
repeat.end
repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C )
rgroup.long ($2+0x1000)++0x3
line.long 0x0 "LOCK_FUSE_$1,Shadow register for lock fuse word"
hexmask.long 0x0 0.--31. 1. "LOCK,shadow register for lock fuse word"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
repeat 4. (list 0xB0 0xB1 0xB2 0xB3 )(list 0x2C0 0x2C4 0x2C8 0x2CC )
group.long ($2+0x1020)++0x3
line.long 0x0 "FUSE_WORD_$1,Shadow register for fuse word"
hexmask.long 0x0 0.--31. 1. "DATA,Shadow register for fuse word"
repeat.end
endif
tree.end
tree "ETHERNET (Ethernet Controller)"
sif (CORENAME()=="CORTEXR5F")
repeat 2. (increment 1. 1.) (list ad:0xF0170000 ad:0xF06A0000)
tree "ETHERNET$1"
base $2
tree "EQOS_MAC"
group.long 0x0++0x1F
line.long 0x0 "MAC_CONFIGURATION,The MAC Configuration Register establishes the operating mode of the MAC."
rbitfld.long 0x0 31. "RESERVED_ARPEN,Reserved." "0,1"
newline
bitfld.long 0x0 28.--30. "SARC,Source Address Insertion or Replacement Control This field controls the source address insertion or replacement for all transmitted packets. Bit 30 specifies which MAC Address register (0 or 1) is used for source address insertion or replacement.." "mti_sa_ctrl_i and ati_sa_ctrl_i input signals..,?,Contents of MAC Addr-0 inserted in SA field,Contents of MAC Addr-0 replaces SA field,?,?,Contents of MAC Addr-1 inserted in SA field,Contents of MAC Addr-1 replaces SA field"
newline
bitfld.long 0x0 27. "IPC,Checksum Offload When set this bit enables the IPv4 header checksum checking and IPv4 or IPv6 TCP UDP or ICMP payload checksum checking. When this bit is reset the COE function in the receiver is disabled. The Layer 3 and Layer 4 Packet Filter.." "IP header/payload checksum checking is disabled,IP header/payload checksum checking is enabled"
newline
bitfld.long 0x0 24.--26. "IPG,Inter-Packet Gap These bits control the minimum IPG between packets during transmission. This range of minimum IPG is valid in full-duplex mode. In the half-duplex mode the minimum IPG can be configured only for 64-bit times (IPG = 100). Lower.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 23. "GPSLCE,Giant Packet Size Limit Control Enable When this bit is set the MAC considers the value in GPSL field in MAC_Ext_Configuration register to declare a received packet as Giant packet. This field must be programmed to more than 1 518 bytes." "Giant Packet Size Limit Control is disabled,Giant Packet Size Limit Control is enabled"
newline
bitfld.long 0x0 22. "S2KP,IEEE 802.3as Support for 2K Packets When this bit is set the MAC considers all packets with up to 2 000 bytes length as normal packets. When the JE bit is not set the MAC considers all received packets of size more than 2K bytes as Giant packets." "Support upto 2K packet is disabled,Support upto 2K packet is Enabled"
newline
bitfld.long 0x0 21. "CST,CRC stripping for Type packets When this bit is set the last four bytes (FCS) of all packets of Ether type (type field greater than 1 536) are stripped and dropped before forwarding the packet to the application. Note: For information about how the.." "CRC stripping for Type packets is disabled,CRC stripping for Type packets is enabled"
newline
bitfld.long 0x0 20. "ACS,Automatic Pad or CRC Stripping When this bit is set the MAC strips the Pad or FCS field on the incoming packets only if the value of the length field is less than 1 536 bytes. All received packets with length field greater than or equal to 1 536.." "Automatic Pad or CRC Stripping is disabled,Automatic Pad or CRC Stripping is enabled"
newline
bitfld.long 0x0 19. "WD,Watchdog Disable When this bit is set the MAC disables the watchdog timer on the receiver. The MAC can receive packets of up to 16 383 bytes. When this bit is reset the MAC does not allow more than 2 048 bytes (10 240 if JE is set high) of the.." "Watchdog is enabled,Watchdog is disabled"
newline
bitfld.long 0x0 18. "BE,Packet Burst Enable When this bit is set the MAC allows packet bursting during transmission in the GMII half-duplex mode. 0x0: Packet Burst is disabled 0x1: Packet Burst is enabled" "Packet Burst is disabled,Packet Burst is enabled"
newline
bitfld.long 0x0 17. "JD,Jabber Disable When this bit is set the MAC disables the jabber timer on the transmitter. The MAC can transfer packets of up to 16 383 bytes. When this bit is reset if the application sends more than 2 048 bytes of data (10 240 if JE is set high).." "Jabber is enabled,Jabber is disabled"
newline
bitfld.long 0x0 16. "JE,Jumbo Packet Enable When this bit is set the MAC allows jumbo packets of 9 018 bytes (9 022 bytes for VLAN tagged packets) without reporting a giant packet error in the Rx packet status. 0x0: Jumbo packet is disabled 0x1: Jumbo packet is enabled" "Jumbo packet is disabled,Jumbo packet is enabled"
newline
bitfld.long 0x0 15. "PS,Port Select This bit selects the Ethernet line speed. This bit along with Bit 14 selects the exact line speed. In the 10/100 Mbps-only (always 1) or 1000 Mbps-only (always 0) configurations this bit is read-only (RO) with appropriate value. In.." "For 1000 or 2500 Mbps operations,For 10 or 100 Mbps operations"
newline
bitfld.long 0x0 14. "FES,Speed This bit selects the speed mode. The mac_speed_o[0] signal reflects the value of this bit. 0x1: 100 Mbps when PS bit is 1 and 2.5 Gbps when PS bit is 0 0x0: 10 Mbps when PS bit is 1 and 1 Gbps when PS bit is 0" "0,1"
newline
bitfld.long 0x0 13. "DM,Duplex Mode When this bit is set the MAC operates in the full-duplex mode in which it can transmit and receive simultaneously. This bit is RO with default value of 1'b1 in the full-duplex-only configurations. 0x1: Full-duplex mode 0x0: Half-duplex mode" "Half-duplex mode,Full-duplex mode"
newline
bitfld.long 0x0 12. "LM,Loopback Mode When this bit is set the MAC operates in the loopback mode at GMII or MII. The (G)MII Rx clock input (clk_rx_i) is required for the loopback to work properly. This is because the Tx clock is not internally looped back. 0x0: Loopback is.." "Loopback is disabled,Loopback is enabled"
newline
bitfld.long 0x0 11. "ECRSFD,Enable Carrier Sense Before Transmission in Full-Duplex Mode When this bit is set the MAC transmitter checks the CRS signal before packet transmission in the full-duplex mode. The MAC starts the transmission only when the CRS signal is low. When.." "ECRSFD is disabled,ECRSFD is enabled"
newline
bitfld.long 0x0 10. "DO,Disable Receive Own When this bit is set the MAC disables the reception of packets when the gmii_txen_o is asserted in the half-duplex mode. When this bit is reset the MAC receives all packets given by the PHY. This bit is not applicable in the.." "Enable Receive Own,Disable Receive Own"
newline
bitfld.long 0x0 9. "DCRS,Disable Carrier Sense During Transmission When this bit is set the MAC transmitter ignores the (G)MII CRS signal during packet transmission in the half-duplex mode. As a result no errors are generated because of Loss of Carrier or No Carrier.." "Enable Carrier Sense During Transmission,Disable Carrier Sense During Transmission"
newline
bitfld.long 0x0 8. "DR,Disable Retry When this bit is set the MAC attempts only one transmission. When a collision occurs on the GMII or MII interface the MAC ignores the current packet transmission and reports a Packet Abort with excessive collision error in the Tx.." "Enable Retry,Disable Retry"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 5.--6. "BL,Back-Off Limit The back-off limit determines the random integer number (r) of slot time delays (4 096 bit times for 1000/2500 Mbps; 512 bit times for 10/100 Mbps) for which the MAC waits before rescheduling a transmission attempt during retries after.." "k = min,k = min,k = min,k = min"
newline
bitfld.long 0x0 4. "DC,Deferral Check When this bit is set the deferral check function is enabled in the MAC. The MAC issues a Packet Abort status along with the excessive deferral error bit set in the Tx packet status when the Tx state machine is deferred for more than.." "Deferral check function is disabled,Deferral check function is enabled"
newline
bitfld.long 0x0 2.--3. "PRELEN,Preamble Length for Transmit packets These bits control the number of preamble bytes that are added to the beginning of every Tx packet. The preamble reduction occurs only when the MAC is operating in the full-duplex mode. 0x2: 3 bytes of preamble.." "?,?,?,Reserved"
newline
bitfld.long 0x0 1. "TE,Transmitter Enable When this bit is set the Tx state machine of the MAC is enabled for transmission on the GMII or MII interface. When this bit is reset the MAC Tx state machine is disabled after it completes the transmission of the current packet." "Transmitter is disabled,Transmitter is enabled"
newline
bitfld.long 0x0 0. "RE,Receiver Enable When this bit is set the Rx state machine of the MAC is enabled for receiving packets from the GMII or MII interface. When this bit is reset the MAC Rx state machine is disabled after it completes the reception of the current packet." "Receiver is disabled,Receiver is enabled"
line.long 0x4 "MAC_EXT_CONFIGURATION,The MAC Extended Configuration Register establishes the operating mode of the MAC."
rbitfld.long 0x4 31. "RESERVED_FHE,Reserved." "0,1"
newline
rbitfld.long 0x4 30. "RESERVED_30,Reserved." "0,1"
newline
hexmask.long.byte 0x4 25.--29. 1. "EIPG,Extended Inter-Packet Gap The value in this field is applicable when the EIPGEN bit is set. This field (as Most Significant bits) along with IPG field in MAC_Configuration register gives the minimum IPG greater than 96 bit times in steps of 8 bit.."
newline
bitfld.long 0x4 24. "EIPGEN,Extended Inter-Packet Gap Enable When this bit is set the MAC interprets EIPG field and IPG field in MAC_Configuration register together as minimum IPG greater than 96 bit times in steps of 8 bit times. When this bit is reset the MAC ignores.." "Extended Inter-Packet Gap is disabled,Extended Inter-Packet Gap is enabled"
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 20.--22. "RESERVED_HDSMS,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "PDC,Packet Duplication Control When this bit is set the received packet with Multicast/Broadcast Destination address is routed to multiple Receive DMA Channels. The Receive DMA Channels is identified by the DCS field of MAC_Address(#i)_High register.." "Packet Duplication Control is disabled,Packet Duplication Control is enabled"
newline
bitfld.long 0x4 18. "USP,Unicast Slow Protocol Packet Detect When this bit is set the MAC detects the Slow Protocol packets with unicast address of the station specified in the MAC_Address0_High and MAC_Address0_Low registers. The MAC also detects the Slow Protocol packets.." "Unicast Slow Protocol Packet Detection is disabled,Unicast Slow Protocol Packet Detection is enabled"
newline
bitfld.long 0x4 17. "SPEN,Slow Protocol Detection Enable When this bit is set MAC processes the Slow Protocol packets (Ether Type 0x8809) and provides the Rx status. The MAC discards the Slow Protocol packets with invalid sub-types. When this bit is reset the MAC forwards.." "Slow Protocol Detection is disabled,Slow Protocol Detection is enabled"
newline
bitfld.long 0x4 16. "DCRCC,Disable CRC Checking for Received Packets When this bit is set the MAC receiver does not check the CRC field in the received packets. When this bit is reset the MAC receiver always checks the CRC field in the received packets. 0x1: CRC Checking.." "CRC Checking is enabled,CRC Checking is disabled"
newline
rbitfld.long 0x4 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 0.--13. 1. "GPSL,Giant Packet Size Limit If the received packet size is greater than the value programmed in this field in units of bytes the MAC declares the received packet as Giant packet. The value programmed in this field must be greater than or equal to 1 518.."
line.long 0x8 "MAC_PACKET_FILTER,The MAC Packet Filter register contains the filter controls for receiving packets. Some of the controls from this register go to the address check block of the MAC which performs the first level of address filtering. The second level of.."
bitfld.long 0x8 31. "RA,Receive All When this bit is set the MAC Receiver module passes all received packets to the application irrespective of whether they pass the address filter or not. The result of the SA or DA filtering is updated (pass or fail) in the corresponding.." "Receive All is disabled,Receive All is enabled"
newline
hexmask.long.word 0x8 22.--30. 1. "RESERVED_30_22,Reserved."
newline
bitfld.long 0x8 21. "DNTU,Drop Non-TCP/UDP over IP Packets When this bit is set the MAC drops the non-TCP or UDP over IP packets. The MAC forward only those packets that are processed by the Layer 4 filter. When this bit is reset the MAC forwards all non-TCP or UDP over IP.." "Forward Non-TCP/UDP over IP Packets,Drop Non-TCP/UDP over IP Packets"
newline
bitfld.long 0x8 20. "IPFE,Layer 3 and Layer 4 Filter Enable When this bit is set the MAC drops packets that do not match the enabled Layer 3 and Layer 4 filters. If Layer 3 or Layer 4 filters are not enabled for matching this bit does not have any effect. When this bit is.." "Layer 3 and Layer 4 Filters are disabled,Layer 3 and Layer 4 Filters are enabled"
newline
rbitfld.long 0x8 17.--19. "RESERVED_19_17,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 16. "VTFE,VLAN Tag Filter Enable When this bit is set the MAC drops the VLAN tagged packets that do not match the VLAN Tag. When this bit is reset the MAC forwards all packets irrespective of the match status of the VLAN Tag. 0x0: VLAN Tag Filter is.." "VLAN Tag Filter is disabled,VLAN Tag Filter is enabled"
newline
hexmask.long.byte 0x8 11.--15. 1. "RESERVED_15_11,Reserved."
newline
bitfld.long 0x8 10. "HPF,Hash or Perfect Filter When this bit is set the address filter passes a packet if it matches either the perfect filtering or hash filtering as set by the HMC or HUC bit. When this bit is reset and the HUC or HMC bit is set the packet is passed only.." "Hash or Perfect Filter is disabled,Hash or Perfect Filter is enabled"
newline
bitfld.long 0x8 9. "SAF,Source Address Filter Enable When this bit is set the MAC compares the SA field of the received packets with the values programmed in the enabled SA registers. If the comparison fails the MAC drops the packet. When this bit is reset the MAC.." "SA Filtering is disabled,SA Filtering is enabled"
newline
bitfld.long 0x8 8. "SAIF,SA Inverse Filtering When this bit is set the Address Check block operates in the inverse filtering mode for SA address comparison. If the SA of a packet matches the values programmed in the SA registers it is marked as failing the SA Address.." "SA Inverse Filtering is disabled,SA Inverse Filtering is enabled"
newline
bitfld.long 0x8 6.--7. "PCF,Pass Control Packets These bits control the forwarding of all control packets (including unicast and multicast Pause packets). 0x0: MAC filters all control packets from reaching the application 0x2: MAC forwards all control packets to the application.." "MAC filters all control packets from reaching..,MAC forwards all control packets except Pause..,MAC forwards all control packets to the..,MAC forwards the control packets that pass the.."
newline
bitfld.long 0x8 5. "DBF,Disable Broadcast Packets When this bit is set the AFM module blocks all incoming broadcast packets. In addition it overrides all other filter settings. When this bit is reset the AFM module passes all received broadcast packets. 0x1: Disable.." "Enable Broadcast Packets,Disable Broadcast Packets"
newline
bitfld.long 0x8 4. "PM,Pass All Multicast When this bit is set it indicates that all received packets with a multicast destination address (first bit in the destination address field is '1') are passed. When this bit is reset filtering of multicast packet depends on HMC.." "Pass All Multicast is disabled,Pass All Multicast is enabled"
newline
bitfld.long 0x8 3. "DAIF,DA Inverse Filtering When this bit is set the Address Check block operates in inverse filtering mode for the DA address comparison for both unicast and multicast packets. When this bit is reset normal filtering of packets is performed. 0x0: DA.." "DA Inverse Filtering is disabled,DA Inverse Filtering is enabled"
newline
bitfld.long 0x8 2. "HMC,Hash Multicast When this bit is set the MAC performs the destination address filtering of received multicast packets according to the hash table. When this bit is reset the MAC performs the perfect destination address filtering for multicast.." "Hash Multicast is disabled,Hash Multicast is enabled"
newline
bitfld.long 0x8 1. "HUC,Hash Unicast When this bit is set the MAC performs the destination address filtering of unicast packets according to the hash table. When this bit is reset the MAC performs a perfect destination address filtering for unicast packets that is it.." "Hash Unicast is disabled,Hash Unicast is enabled"
newline
bitfld.long 0x8 0. "PR,Promiscuous Mode When this bit is set the Address Filtering module passes all incoming packets irrespective of the destination or source address. The SA or DA Filter Fails status bits of the Rx Status Word are always cleared when PR is set. 0x0:.." "Promiscuous Mode is disabled,Promiscuous Mode is enabled"
line.long 0xC "MAC_WATCHDOG_TIMEOUT,The Watchdog Timeout register controls the watchdog timeout for received packets."
hexmask.long.tbyte 0xC 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0xC 8. "PWE,Programmable Watchdog Enable When this bit is set and the WD bit of the MAC_Configuration register is reset the WTO field is used as watchdog timeout for a received packet. When this bit is cleared the watchdog timeout for a received packet is.." "Programmable Watchdog is disabled,Programmable Watchdog is enabled"
newline
hexmask.long.byte 0xC 4.--7. 1. "RESERVED_7_4,Reserved."
newline
hexmask.long.byte 0xC 0.--3. 1. "WTO,Watchdog Timeout When the PWE bit is set and the WD bit of the MAC_Configuration register is reset this field is used as watchdog timeout for a received packet. If the length of a received packet exceeds the value of this field such packet is.."
line.long 0x10 "MAC_HASH_TABLE_REG0,The Hash Table Register 0 contains the first 32 bits of the hash table. when the width of the hash table is 128 or 256 bits. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash.."
hexmask.long 0x10 0.--31. 1. "HT31T0,MAC Hash Table First 32 Bits This field contains the first 32 Bits [31:0] of the Hash table."
line.long 0x14 "MAC_HASH_TABLE_REG1,The Hash Table Register 1 contains the second 32 bits of the hash table. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash table is used for group address filtering. For hash.."
hexmask.long 0x14 0.--31. 1. "HT63T32,MAC Hash Table Second 32 Bits This field contains the second 32 Bits [63:32] of the Hash table."
line.long 0x18 "MAC_HASH_TABLE_REG2,The Hash Table Register 2 contains the third 32 bits of the hash table. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash table is used for group address filtering. For hash.."
hexmask.long 0x18 0.--31. 1. "HT95T64,MAC Hash Table Third 32 Bits This field contains the third 32 Bits [95:64] of the Hash table."
line.long 0x1C "MAC_HASH_TABLE_REG3,The Hash Table Register 3 contains the fourth 32 bits of the hash table. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash table is used for group address filtering. For hash.."
hexmask.long 0x1C 0.--31. 1. "HT127T96,MAC Hash Table Fourth 32 Bits This field contains the fourth 32 Bits [127:96] of the Hash table."
group.long 0x50++0xB
line.long 0x0 "MAC_VLAN_TAG_CTRL,This register is the redefined format of the MAC VLAN Tag Register. It is used for indirect addressing. It contains the address offset. command type and Busy Bit for CSR access of the Per VLAN Tag registers."
bitfld.long 0x0 31. "EIVLRXS,Enable Inner VLAN Tag in Rx Status When this bit is set the MAC provides the inner VLAN Tag in the Rx status. When this bit is reset the MAC does not provide the inner VLAN Tag in Rx status. 0x0: Inner VLAN Tag in Rx status is disabled 0x1:.." "Inner VLAN Tag in Rx status is disabled,Inner VLAN Tag in Rx status is enabled"
newline
rbitfld.long 0x0 30. "RESERVED_30,Reserved." "0,1"
newline
bitfld.long 0x0 28.--29. "EIVLS,Enable Inner VLAN Tag Stripping on Receive This field indicates the stripping operation on inner VLAN Tag in received packet. 0x3: Always strip 0x0: Do not strip 0x2: Strip if VLAN filter fails 0x1: Strip if VLAN filter passes" "Do not strip,Strip if VLAN filter passes,Strip if VLAN filter fails,Always strip"
newline
bitfld.long 0x0 27. "ERIVLT,null 0x0: Inner VLAN tag is disabled 0x1: Inner VLAN tag is enabled" "Inner VLAN tag is disabled,Inner VLAN tag is enabled"
newline
bitfld.long 0x0 26. "EDVLP,Enable Double VLAN Processing When this bit is set the MAC enables processing of up to two VLAN Tags on Tx and Rx (if present). When this bit is reset the MAC enables processing of up to one VLAN Tag on Tx and Rx (if present). 0x0: Double VLAN.." "Double VLAN Processing is disabled,Double VLAN Processing is enabled"
newline
bitfld.long 0x0 25. "VTHM,VLAN Tag Hash Table Match Enable When this bit is set the most significant four bits of CRC of VLAN Tag (ones-complement of most significant four bits of CRC of VLAN Tag when ETV bit is reset) are used to index the content of the.." "VLAN Tag Hash Table Match is disabled,VLAN Tag Hash Table Match is enabled"
newline
bitfld.long 0x0 24. "EVLRXS,Enable VLAN Tag in Rx status When this bit is set MAC provides the outer VLAN Tag in the Rx status. When this bit is reset the MAC does not provide the outer VLAN Tag in Rx status. 0x0: VLAN Tag in Rx status is disabled 0x1: VLAN Tag in Rx.." "VLAN Tag in Rx status is disabled,VLAN Tag in Rx status is enabled"
newline
rbitfld.long 0x0 23. "RESERVED_23,Reserved." "0,1"
newline
bitfld.long 0x0 21.--22. "EVLS,Enable VLAN Tag Stripping on Receive This field indicates the stripping operation on the outer VLAN Tag in received packet. 0x3: Always strip 0x0: Do not strip 0x2: Strip if VLAN filter fails 0x1: Strip if VLAN filter passes" "Do not strip,Strip if VLAN filter passes,Strip if VLAN filter fails,Always strip"
newline
rbitfld.long 0x0 19.--20. "RESERVED_20_19,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 18. "ESVL,Enable S-VLAN When this bit is set the MAC transmitter and receiver consider the S-VLAN packets (Type = 0x88A8) as valid VLAN tagged packets. 0x0: S-VLAN is disabled 0x1: S-VLAN is enabled" "S-VLAN is disabled,S-VLAN is enabled"
newline
bitfld.long 0x0 17. "VTIM,VLAN Tag Inverse Match Enable When this bit is set this bit enables the VLAN Tag inverse matching. The packets without matching VLAN Tag are marked as matched. When reset this bit enables the VLAN Tag perfect matching. The packets with matched.." "VLAN Tag Inverse Match is disabled,VLAN Tag Inverse Match is enabled"
newline
hexmask.long.word 0x0 7.--16. 1. "RESERVED_16_7,Reserved."
newline
hexmask.long.byte 0x0 2.--6. 1. "OFS,Offset This field holds the address offset of the MAC VLAN Tag Filter Register which the application is trying to access. The width of the field depends on the number of MAC VLAN Tag Registers enabled."
newline
bitfld.long 0x0 1. "CT,Command Type This bit indicates if the current register access is a read or a write. When set it indicate a read operation. When reset it indicates a write operation. 0x1: Read operation 0x0: Write operation" "Write operation,Read operation"
newline
bitfld.long 0x0 0. "OB,Operation Busy This bit is set along with a read or write command for initiating the indirect access to per VLAN Tag Filter register. This bit is reset when the read or write command to per VLAN Tag Filter indirect access register is complete. The.." "Operation Busy is disabled,Operation Busy is enabled"
line.long 0x4 "MAC_VLAN_TAG_DATA,This register holds the read/write data for Indirect Access of the Per VLAN Tag registers. During the read access. this field contains valid read data only after the OB bit is reset. During the write access. this field should be valid.."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_Y,Reserved."
newline
bitfld.long 0x4 25.--27. "DMACHN,DMA Channel Number The DMA Channel number to which the VLAN Tagged Frame is to be routed if it passes this VLAN Tag Filter is programmed in this field. If the Routing based on VLAN Tag Filter is not necessary this field need not be programmed." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 24. "DMACHEN,DMA Channel Number Enable This bit is the Enable for the DMA Channel Number value programmed in the field DMACH. When this bit is reset the Routing does not occur based on VLAN Filter result. The frame is routed based on DA Based DMA Channel.." "DMA Channel Number is disabled,DMA Channel Number is enabled"
newline
rbitfld.long 0x4 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 20. "ERIVLT,Enable Inner VLAN Tag Comparison This bit is valid only when VLAN Tag Enable of the Filter is set. When this bit and the EDVLP field are set the MAC receiver enables operation on the inner VLAN Tag (if present). When this bit is reset the MAC.." "Inner VLAN tag comparison is disabled,Inner VLAN tag comparison is enabled"
newline
bitfld.long 0x4 19. "ERSVLM,Enable S-VLAN Match for received Frames This bit is valid only when VLAN Tag Enable of the Filter is set. When this bit is set the MAC receiver enables filtering or matching for S-VLAN (Type = 0x88A8) packets. When this bit is reset the MAC.." "Receive S-VLAN Match is disabled,Receive S-VLAN Match is enabled"
newline
bitfld.long 0x4 18. "DOVLTC,Disable VLAN Type Comparison This bit is valid only when VLAN Tag Enable of the Filter is set. When this bit is set the MAC does not check whether the VLAN Tag specified by the Enable Inner VLAN Tag Comparison bit is of type S-VLAN or C-VLAN." "VLAN type comparison is enabled,VLAN type comparison is disabled"
newline
bitfld.long 0x4 17. "ETV,12bits or 16bits VLAN comparison This bit is valid only when VEN of the Filter is set. When this bit is set a 12-bit VLAN identifier is used for comparing and filtering instead of the complete 16-bit VLAN tag. Bits [11:0] of VLAN tag are compared.." "0,1"
newline
bitfld.long 0x4 16. "VEN,VLAN Tag Enable This bit is used to enable or disable the VLAN Tag. When this bit is set the MAC compares the VLAN Tag of received packet with the VLAN Tag ID. When this bit is reset no comparison is performed irrespective of the programming of the.." "VLAN Tag is disabled,VLAN Tag is enabled"
newline
hexmask.long.word 0x4 0.--15. 1. "VID,VLAN Tag ID This field holds the VLAN Tag value which is used by the MAC for perfect comparison. It is valid when VLAN Tag Enable is set."
line.long 0x8 "MAC_VLAN_HASH_TABLE,When VTHM bit of the MAC_VLAN_Tag register is set. the 16-bit VLAN Hash Table register is used for group address filtering based on the VLAN tag. For hash filtering. the content of the 16-bit VLAN tag or 12-bit VLAN ID (based on the.."
hexmask.long.word 0x8 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.word 0x8 0.--15. 1. "VLHT,VLAN Hash Table This field contains the 16-bit VLAN Hash Table."
group.long 0x60++0x7
line.long 0x0 "MAC_VLAN_INCL,The VLAN Tag Inclusion or Replacement register contains the VLAN tag for insertion or replacement in the Transmit packets. It also contains the VLAN tag insertion controls."
rbitfld.long 0x0 31. "BUSY,Busy This bit indicates the status of the read/write operation of indirect access to the queue/channel specific VLAN inclusion register. For write operation write to a register is complete when this bit is reset. For read operation the read data is.." "Busy status not detected,Busy status detected"
newline
bitfld.long 0x0 30. "RDWR,Read write control This bit controls the read or write operation for indirectly accessing the queue/channel specific VLAN Inclusion register. When set indicates write operation and when reset indicates read operation. This does not have any effect.." "Read operation of indirect access,Write operation of indirect access"
newline
rbitfld.long 0x0 27.--29. "RESERVED_29_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24.--26. "ADDR,Address This field selects one of the queue/channel specific VLAN Inclusion register for read/write access. This does not have any effect when CBTI is reset." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 21. "CBTI,Channel based tag insertion When this bit is set outer VLAN tag is inserted for every packets transmitted by the MAC. The tag value is taken from the queue/channel specific VLAN tag register. The VLTI VLP VLC and VLT fields of this register are.." "Channel based tag insertion is disabled,Channel based tag insertion is enabled"
newline
bitfld.long 0x0 20. "VLTI,VLAN Tag Input When this bit is set it indicates that the VLAN tag to be inserted or replaced in Tx packet should be taken from: - The Tx descriptor 0x0: VLAN Tag Input is disabled 0x1: VLAN Tag Input is enabled" "VLAN Tag Input is disabled,VLAN Tag Input is enabled"
newline
bitfld.long 0x0 19. "CSVL,C-VLAN or S-VLAN When this bit is set S-VLAN type (0x88A8) is inserted or replaced in the 13th and 14th bytes of transmitted packets. When this bit is reset C-VLAN type (0x8100) is inserted or replaced in the 13th and 14th bytes of transmitted.." "C-VLAN type,S-VLAN type"
newline
bitfld.long 0x0 18. "VLP,VLAN Priority Control When this bit is set the control bits[17:16] are used for VLAN deletion insertion or replacement. When this bit is reset the mti_vlan_ctrl_i control input is used and bits[17:16] are ignored. 0x0: VLAN Priority Control is.." "VLAN Priority Control is disabled,VLAN Priority Control is enabled"
newline
bitfld.long 0x0 16.--17. "VLC,VLAN Tag Control in Transmit Packets - 2'b00: No VLAN tag deletion insertion or replacement - 2'b01: VLAN tag deletion The MAC removes the VLAN type (bytes 13 and 14) and VLAN tag (bytes 15 and 16) of all transmitted packets with VLAN tags. -.." "No VLAN tag deletion,VLAN tag deletion,VLAN tag insertion,VLAN tag replacement"
newline
hexmask.long.word 0x0 0.--15. 1. "VLT,VLAN Tag for Transmit Packets This field contains the value of the VLAN tag to be inserted or replaced. The value must only be changed when the transmit lines are inactive or during the initialization phase. Bits[15:13] are the User Priority field.."
line.long 0x4 "MAC_INNER_VLAN_INCL,The Inner VLAN Tag Inclusion or Replacement register contains the inner VLAN tag to be inserted or replaced in the Transmit packet. It also contains the inner VLAN tag insertion controls."
hexmask.long.word 0x4 21.--31. 1. "RESERVED_31_21,Reserved."
newline
bitfld.long 0x4 20. "VLTI,VLAN Tag Input When this bit is set it indicates that the VLAN tag to be inserted or replaced in Tx packet should be taken from: - The Tx descriptor 0x0: VLAN Tag Input is disabled 0x1: VLAN Tag Input is enabled" "VLAN Tag Input is disabled,VLAN Tag Input is enabled"
newline
bitfld.long 0x4 19. "CSVL,C-VLAN or S-VLAN When this bit is set S-VLAN type (0x88A8) is inserted or replaced in the 13th and 14th bytes of transmitted packets. When this bit is reset C-VLAN type (0x8100) is inserted or replaced in the 13th and 14th bytes of transmitted.." "C-VLAN type,S-VLAN type"
newline
bitfld.long 0x4 18. "VLP,VLAN Priority Control When this bit is set the VLC field is used for VLAN deletion insertion or replacement. When this bit is reset the mti_vlan_ctrl_i control input is used and the VLC field is ignored. 0x0: VLAN Priority Control is disabled.." "VLAN Priority Control is disabled,VLAN Priority Control is enabled"
newline
bitfld.long 0x4 16.--17. "VLC,VLAN Tag Control in Transmit Packets - 2'b00: No VLAN tag deletion insertion or replacement - 2'b01: VLAN tag deletion The MAC removes the VLAN type (bytes 17 and 18) and VLAN tag (bytes 19 and 20) of all transmitted packets with VLAN tags. -.." "No VLAN tag deletion,VLAN tag deletion,VLAN tag insertion,VLAN tag replacement"
newline
hexmask.long.word 0x4 0.--15. 1. "VLT,VLAN Tag for Transmit Packets This field contains the value of the VLAN tag to be inserted or replaced. The value must only be changed when the transmit lines are inactive or during the initialization phase. Bits[15:13] are the User Priority field.."
group.long 0x70++0x13
line.long 0x0 "MAC_Q0_TX_FLOW_CTRL,The Flow Control register controls the generation and reception of the Control (Pause Command) packets by the Flow control module of the MAC. A Write to a register with the Busy bit set to 1 triggers the Flow Control block to generate.."
hexmask.long.word 0x0 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x0 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x0 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x0 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 1. "TFE,Transmit Flow Control Enable Full-Duplex Mode: In the full-duplex mode when this bit is set the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x0 0. "FCB_BPA,Flow Control Busy or Backpressure Activate This bit initiates a Pause packet in the full-duplex mode and activates the backpressure function in the half-duplex mode if the TFE bit is set. Full-Duplex Mode: In the full-duplex mode this bit should.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0x4 "MAC_Q1_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0x4 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x4 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x4 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x4 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x4 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x4 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0x8 "MAC_Q2_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0x8 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x8 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x8 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x8 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x8 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x8 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x8 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0xC "MAC_Q3_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0xC 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0xC 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0xC 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0xC 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0xC 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0xC 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0xC 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0x10 "MAC_Q4_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0x10 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x10 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x10 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x10 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x10 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x10 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
group.long 0x90++0x1F
line.long 0x0 "MAC_RX_FLOW_CTRL,The Receive Flow Control register controls the pausing of MAC Transmit based on the received Pause packet."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "PFCE,Priority Based Flow Control Enable When this bit is set it enables generation and reception of priority-based flow control (PFC) packets. When this bit is reset it enables generation and reception of 802.3x Pause control packets. 0x0: Priority.." "Priority Based Flow Control is disabled,Priority Based Flow Control is enabled"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "UP,Unicast Pause Packet Detect A pause packet is processed when it has the unique multicast address specified in the IEEE 802.3. When this bit is set the MAC can also detect Pause packets with unicast address of the station. This unicast address should.." "Unicast Pause Packet Detect disabled,Unicast Pause Packet Detect enabled"
newline
bitfld.long 0x0 0. "RFE,Receive Flow Control Enable When this bit is set and the MAC is operating in full-duplex mode the MAC decodes the received Pause packet and disables its transmitter for a specified (Pause) time. When this bit is reset or the MAC is operating in.." "Receive Flow Control is disabled,Receive Flow Control is enabled"
line.long 0x4 "MAC_RXQ_CTRL4,The Receive Queue Control 4 register controls the routing of unicast and multicast packets that fail the Destination or Source address filter to the Rx queues."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_Y,Reserved."
newline
bitfld.long 0x4 17.--19. "VFFQ,VLAN Tag Filter Fail Packets Queue This field holds the Rx queue number to which the tagged packets failing the Destination or Source Address filter (and UFFQE/MFFQE not enabled) or failing the VLAN tag filter must be routed to. This field is valid.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 16. "VFFQE,VLAN Tag Filter Fail Packets Queuing Enable When this bit is set the tagged packets which fail the Destination or Source address filter or fail the VLAN tag filter are routed to the Rx Queue Number programmed in the VFFQ. When this bit is reset.." "VLAN tag Filter Fail Packets Queuing is disabled,VLAN tag Filter Fail Packets Queuing is enabled"
newline
hexmask.long.byte 0x4 12.--15. 1. "RESERVED_15_Y,Reserved."
newline
bitfld.long 0x4 9.--11. "MFFQ,Multicast Address Filter Fail Packets Queue. This field holds the Rx queue number to which the Multicast packets failing the Destination or Source Address filter are routed to. This field is valid only when the MFFQE bit is set." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 8. "MFFQE,Multicast Address Filter Fail Packets Queuing Enable. When this bit is set the Multicast packets which fail the Destination or Source address filter is routed to the Rx Queue Number programmed in the MFFQ. When this bit is reset the Multicast.." "Multicast Address Filter Fail Packets Queuing is..,Multicast Address Filter Fail Packets Queuing is.."
newline
hexmask.long.byte 0x4 4.--7. 1. "RESERVED_7_Y,Reserved."
newline
bitfld.long 0x4 1.--3. "UFFQ,Unicast Address Filter Fail Packets Queue. This field holds the Rx queue number to which the Unicast packets failing the Destination or Source Address filter are routed to. This field is valid only when the UFFQE bit is set." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "UFFQE,Unicast Address Filter Fail Packets Queuing Enable. When this bit is set the Unicast packets which fail the Destination or Source address filter is routed to the Rx Queue Number programmed in the UFFQ. When this bit is reset the Unicast packets.." "Unicast Address Filter Fail Packets Queuing is..,Unicast Address Filter Fail Packets Queuing is.."
line.long 0x8 "MAC_TXQ_PRTY_MAP0,The Transmit Queue Priority Mapping 0 register contains the priority values assigned to Tx Queue 0 through Tx Queue 3."
hexmask.long.byte 0x8 24.--31. 1. "PSTQ3,Priorities Selected in Transmit Queue 3 This bit is similar to the PSTQ0 bit."
newline
hexmask.long.byte 0x8 16.--23. 1. "PSTQ2,Priorities Selected in Transmit Queue 2 This bit is similar to the PSTQ0 bit."
newline
hexmask.long.byte 0x8 8.--15. 1. "PSTQ1,Priorities Selected in Transmit Queue 1 This bit is similar to the PSTQ0 bit."
newline
hexmask.long.byte 0x8 0.--7. 1. "PSTQ0,Priorities Selected in Transmit Queue 0 This field holds the priorities assigned to Tx Queue 0 by the software. This field determines if Tx Queue 0 should be blocked from transmitting specified pause time when a PFC packet is received with.."
line.long 0xC "MAC_TXQ_PRTY_MAP1,The Transmit Queue Priority Mapping 1 register contains the priority values assigned to Tx Queue 4 through Tx Queue 7."
hexmask.long.byte 0xC 24.--31. 1. "RESERVED_PSTQ7,Reserved."
newline
hexmask.long.byte 0xC 16.--23. 1. "RESERVED_PSTQ6,Reserved."
newline
hexmask.long.byte 0xC 8.--15. 1. "RESERVED_PSTQ5,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "PSTQ4,Priorities Selected in Transmit Queue 4 This field holds the priorities assigned to Tx Queue 4 by the software. This field determines if Tx Queue 4 should be blocked from transmitting specified pause time when a PFC packet is received with.."
line.long 0x10 "MAC_RXQ_CTRL0,The Receive Queue Control 0 register controls the queue management in the MAC Receiver. Note: In multiple Rx queues configuration. all the queues are disabled by default. Enable the Rx queue by programming the corresponding field in this.."
hexmask.long.word 0x10 16.--31. 1. "RESERVED_31_16,Reserved."
newline
rbitfld.long 0x10 14.--15. "RESERVED_RXQ7EN,Reserved." "0,1,2,3"
newline
rbitfld.long 0x10 12.--13. "RESERVED_RXQ6EN,Reserved." "0,1,2,3"
newline
rbitfld.long 0x10 10.--11. "RESERVED_RXQ5EN,Reserved." "0,1,2,3"
newline
bitfld.long 0x10 8.--9. "RXQ4EN,Receive Queue 4 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 6.--7. "RXQ3EN,Receive Queue 3 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 4.--5. "RXQ2EN,Receive Queue 2 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 2.--3. "RXQ1EN,Receive Queue 1 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 0.--1. "RXQ0EN,Receive Queue 0 Enable This field indicates whether Rx Queue 0 is enabled for AV or DCB. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
line.long 0x14 "MAC_RXQ_CTRL1,The Receive Queue Control 1 register controls the routing of multicast. broadcast. AV. DCB. and untagged packets to the Rx queues."
hexmask.long.byte 0x14 27.--31. 1. "RESERVED_31_Y,Reserved."
newline
bitfld.long 0x14 24.--26. "FPRQ,Frame Preemption Residue Queue This field holds the Rx queue number to which the residual preemption frames must be forwarded. Preemption frames that are tagged and pass the SA/DA/VLAN filtering are routed based on PSRQ and all other frames are.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x14 22.--23. "TPQC,Tagged PTP over Ethernet Packets Queuing Control. This field controls the routing of the VLAN Tagged PTPoE packets. If DWC_EQOS_AV_ENABLE is selected in the configuration the following programmable options are allowed. - 2'b00: VLAN Tagged PTPoE.." "VLAN Tagged PTPoE packets are routed as generic..,VLAN Tagged PTPoE packets are routed to Rx..,VLAN Tagged PTPoE packets are routed to only AV..,Reserved"
newline
bitfld.long 0x14 21. "TACPQE,Tagged AV Control Packets Queuing Enable. When set the MAC routes the received Tagged AV Control packets to the Rx queue specified by AVCPQ field. When reset the MAC routes the received Tagged AV Control packets based on the tag priority.." "Tagged AV Control Packets Queuing is disabled,Tagged AV Control Packets Queuing is enabled"
newline
bitfld.long 0x14 20. "MCBCQEN,Multicast and Broadcast Queue Enable This bit specifies that Multicast or Broadcast packets routing to the Rx Queue is enabled and the Multicast or Broadcast packets must be routed to Rx Queue specified in MCBCQ field. 0x0: Multicast and.." "Multicast and Broadcast Queue is disabled,Multicast and Broadcast Queue is enabled"
newline
rbitfld.long 0x14 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x14 16.--18. "MCBCQ,Multicast and Broadcast Queue This field specifies the Rx Queue onto which Multicast or Broadcast Packets are routed. Any Rx Queue enabled for Generic/DCB/AV traffic can be used to route the Multicast or Broadcast Packets. 0x0: Receive Queue 0 0x1:.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x14 12.--14. "UPQ,Untagged Packet Queue This field indicates the Rx Queue to which Untagged Packets are to be routed. Any Rx Queue enabled for Generic/DCB/AV traffic can be used to route the Untagged Packets. 0x0: Receive Queue 0 0x1: Receive Queue 1 0x2: Receive.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 11. "RESERVED_11,Reserved." "0,1"
newline
bitfld.long 0x14 8.--10. "DCBCPQ,DCB Control Packets Queue This field specifies the Rx queue on which the received DCB control packets are routed. The DCB data packets are routed based on the PSRQ field of the Transmit Flow Control Register of corresponding queue. 0x0: Receive.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x14 4.--6. "PTPQ,PTP Packets Queue This field specifies the Rx queue on which the PTP packets sent over the Ethernet payload (not over IPv4 or IPv6) are routed. When the AV8021ASMEN bit of MAC_Timestamp_Control register is set only untagged PTP over Ethernet.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x14 0.--2. "AVCPQ,AV Untagged Control Packets Queue This field specifies the Receive queue on which the received AV tagged and untagged control packets are routed. The AV tagged (when TACPQE bit is set) and untagged control packets are routed to Receive queue.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
line.long 0x18 "MAC_RXQ_CTRL2,This register controls the routing of tagged packets based on the USP (user Priority) field of the received packets to the RxQueues 0 to 3."
hexmask.long.byte 0x18 24.--31. 1. "PSRQ3,Priorities Selected in the Receive Queue 3 This field decides the priorities assigned to Rx Queue 3. All packets with priorities that match the values set in this field are routed to Rx Queue 3. For example if PSRQ3[6 3] are set packets with USP.."
newline
hexmask.long.byte 0x18 16.--23. 1. "PSRQ2,Priorities Selected in the Receive Queue 2 This field decides the priorities assigned to Rx Queue 2. All packets with priorities that match the values set in this field are routed to Rx Queue 2. For example if PSRQ2[1 0] are set packets with USP.."
newline
hexmask.long.byte 0x18 8.--15. 1. "PSRQ1,Priorities Selected in the Receive Queue 1 This field decides the priorities assigned to Rx Queue 1. All packets with priorities that match the values set in this field are routed to Rx Queue 1. For example if PSRQ1[4] is set packets with USP.."
newline
hexmask.long.byte 0x18 0.--7. 1. "PSRQ0,Priorities Selected in the Receive Queue 0 This field decides the priorities assigned to Rx Queue 0. All packets with priorities that match the values set in this field are routed to Rx Queue 0. For example if PSRQ0[5] is set packets with USP.."
line.long 0x1C "MAC_RXQ_CTRL3,This register controls the routing of tagged packets based on the USP (user Priority) field of the received packets to the RxQueues 4 to 7."
hexmask.long.byte 0x1C 24.--31. 1. "RESERVED_PSRQ7,Reserved."
newline
hexmask.long.byte 0x1C 16.--23. 1. "RESERVED_PSRQ6,Reserved."
newline
hexmask.long.byte 0x1C 8.--15. 1. "RESERVED_PSRQ5,Reserved."
newline
hexmask.long.byte 0x1C 0.--7. 1. "PSRQ4,Priorities Selected in the Receive Queue 4 This field decides the priorities assigned to Rx Queue 4. All packets with priorities that match the values set in this field are routed to Rx Queue 4. For example if PSRQ4[7:4] is set packets with USP.."
rgroup.long 0xB0++0x3
line.long 0x0 "MAC_INTERRUPT_STATUS,The Interrupt Status register contains the status of interrupts."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
bitfld.long 0x0 20. "MFRIS,MMC FPE Receive Interrupt Status This bit is set high when an interrupt is generated in the MMC FPE Receive Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the.." "MMC FPE Receive Interrupt status not active,MMC FPE Receive Interrupt status active"
newline
bitfld.long 0x0 19. "MFTIS,MMC FPE Transmit Interrupt Status This bit is set high when an interrupt is generated in the MMC FPE Transmit Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the.." "MMC FPE Transmit Interrupt status not active,MMC FPE Transmit Interrupt status active"
newline
bitfld.long 0x0 18. "MDIOIS,MDIO Interrupt Status This bit indicates an interrupt event after the completion of MDIO operation. To reset this bit the application has to read this bit/Write 1 to this bit when RCWE bit of MAC_CSR_SW_Ctrl register is set. Access restriction.." "MDIO Interrupt status not active,MDIO Interrupt status active"
newline
bitfld.long 0x0 17. "FPEIS,Frame Preemption Interrupt Status This bit indicates an interrupt event during the operation of Frame Preemption (Bits[19:16] of MAC_FPE_CTRL_STS register is set). To reset this bit the application must clear the event in MAC_FPE_CTRL_STS that has.." "Frame Preemption Interrupt status not active,Frame Preemption Interrupt status active"
newline
bitfld.long 0x0 16. "RESERVED_16,Reserved." "0,1"
newline
bitfld.long 0x0 15. "RESERVED_GPIIS,Reserved." "0,1"
newline
bitfld.long 0x0 14. "RXSTSIS,Receive Status Interrupt This bit indicates the status of received packets. This bit is set when the RWT bit is set in the MAC_Rx_Tx_Status register. This bit is cleared when the corresponding interrupt source bit is read (or corresponding.." "Receive Interrupt status not active,Receive Interrupt status active"
newline
bitfld.long 0x0 13. "TXSTSIS,Transmit Status Interrupt This bit indicates the status of transmitted packets. This bit is set when any of the following bits is set in the MAC_Rx_Tx_Status register: - Excessive Collision (EXCOL) - Late Collision (LCOL) - Excessive Deferral.." "Transmit Interrupt status not active,Transmit Interrupt status active"
newline
bitfld.long 0x0 12. "TSIS,Timestamp Interrupt Status If the Timestamp feature is enabled this bit is set when any of the following conditions is true: - The system time value is equal to or exceeds the value specified in the Target Time High and Low registers. - There is an.." "Timestamp Interrupt status not active,Timestamp Interrupt status active"
newline
bitfld.long 0x0 11. "MMCRXIPIS,MMC Receive Checksum Offload Interrupt Status This bit is set high when an interrupt is generated in the MMC Receive Checksum Offload Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is.." "MMC Receive Checksum Offload Interrupt status..,MMC Receive Checksum Offload Interrupt status.."
newline
bitfld.long 0x0 10. "MMCTXIS,MMC Transmit Interrupt Status This bit is set high when an interrupt is generated in the MMC Transmit Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the Enable.." "MMC Transmit Interrupt status not active,MMC Transmit Interrupt status active"
newline
bitfld.long 0x0 9. "MMCRXIS,MMC Receive Interrupt Status This bit is set high when an interrupt is generated in the MMC Receive Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the Enable.." "MMC Receive Interrupt status not active,MMC Receive Interrupt status active"
newline
bitfld.long 0x0 8. "MMCIS,MMC Interrupt Status This bit is set high when Bit 11 Bit 10 or Bit 9 is set high. This bit is cleared only when all these bits are low. This bit is valid only when you select the Enable MAC Management Counters (MMC) option. 0x1: MMC Interrupt.." "MMC Interrupt status not active,MMC Interrupt status active"
newline
bitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 5. "LPIIS,LPI Interrupt Status When the Energy Efficient Ethernet feature is enabled this bit is set for any LPI state entry or exit in the MAC Transmitter or Receiver. This bit is cleared when the corresponding interrupt source bit of.." "LPI Interrupt status not active,LPI Interrupt status active"
newline
bitfld.long 0x0 4. "PMTIS,PMT Interrupt Status This bit is set when a Magic packet or Wake-on-LAN packet is received in the power-down mode (RWKPRCVD and MGKPRCVD bits in MAC_PMT_Control_Status register). This bit is cleared when corresponding interrupt source bit are.." "PMT Interrupt status not active,PMT Interrupt status active"
newline
bitfld.long 0x0 3. "PHYIS,PHY Interrupt This bit is set when rising edge is detected on the phy_intr_i input. This bit is cleared when this register is read (or this bit is written to 1 when RCWE bit of MAC_CSR_SW_Ctrl register is set). 0x1: PHY Interrupt detected 0x0: PHY.." "PHY Interrupt not detected,PHY Interrupt detected"
newline
bitfld.long 0x0 2. "RESERVED_PCSANCIS,Reserved." "0,1"
newline
bitfld.long 0x0 1. "RESERVED_PCSLCHGIS,Reserved." "0,1"
newline
bitfld.long 0x0 0. "RGSMIIIS,RGMII or SMII Interrupt Status This bit is set because of any change in value of the Link Status of RGMII or SMII interface (LNKSTS bit in MAC_PHYIF_Control_Status register). This bit is cleared when the MAC_PHYIF_Control_Status register is read.." "RGMII or SMII Interrupt Status is not active,RGMII or SMII Interrupt Status is active"
group.long 0xB4++0x3
line.long 0x0 "MAC_INTERRUPT_ENABLE,The Interrupt Enable register contains the masks for generating the interrupts."
hexmask.long.word 0x0 19.--31. 1. "RESERVED_31_19,Reserved."
newline
bitfld.long 0x0 18. "MDIOIE,MDIO Interrupt Enable When this bit is set it enables the assertion of the interrupt when MDIOIS field is set in the MAC_Interrupt_Status register. 0x0: MDIO Interrupt is disabled 0x1: MDIO Interrupt is enabled" "MDIO Interrupt is disabled,MDIO Interrupt is enabled"
newline
bitfld.long 0x0 17. "FPEIE,Frame Preemption Interrupt Enable When this bit is set it enables the assertion of the interrupt when FPEIS field is set in the MAC_Interrupt_Status register. 0x0: Frame Preemption Interrupt is disabled 0x1: Frame Preemption Interrupt is enabled" "Frame Preemption Interrupt is disabled,Frame Preemption Interrupt is enabled"
newline
rbitfld.long 0x0 16. "RESERVED_16,Reserved." "0,1"
newline
rbitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x0 14. "RXSTSIE,Receive Status Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of RXSTSIS bit in the MAC_Interrupt_Status register. 0x0: Receive Status Interrupt is disabled 0x1: Receive Status.." "Receive Status Interrupt is disabled,Receive Status Interrupt is enabled"
newline
bitfld.long 0x0 13. "TXSTSIE,Transmit Status Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of TXSTSIS bit in the MAC_Interrupt_Status register. 0x0: Timestamp Status Interrupt is disabled 0x1: Timestamp Status.." "Timestamp Status Interrupt is disabled,Timestamp Status Interrupt is enabled"
newline
bitfld.long 0x0 12. "TSIE,Timestamp Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of TSIS bit in MAC_Interrupt_Status register. 0x0: Timestamp Interrupt is disabled 0x1: Timestamp Interrupt is enabled" "Timestamp Interrupt is disabled,Timestamp Interrupt is enabled"
newline
hexmask.long.byte 0x0 6.--11. 1. "RESERVED_11_6,Reserved."
newline
bitfld.long 0x0 5. "LPIIE,LPI Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of LPIIS bit in MAC_Interrupt_Status register. 0x0: LPI Interrupt is disabled 0x1: LPI Interrupt is enabled" "LPI Interrupt is disabled,LPI Interrupt is enabled"
newline
bitfld.long 0x0 4. "PMTIE,PMT Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of PMTIS bit in MAC_Interrupt_Status register. 0x0: PMT Interrupt is disabled 0x1: PMT Interrupt is enabled" "PMT Interrupt is disabled,PMT Interrupt is enabled"
newline
bitfld.long 0x0 3. "PHYIE,PHY Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of PHYIS bit in MAC_Interrupt_Status register. 0x0: PHY Interrupt is disabled 0x1: PHY Interrupt is enabled" "PHY Interrupt is disabled,PHY Interrupt is enabled"
newline
rbitfld.long 0x0 2. "RESERVED_PCSANCIE,Reserved." "0,1"
newline
rbitfld.long 0x0 1. "RESERVED_PCSLCHGIE,Reserved." "0,1"
newline
bitfld.long 0x0 0. "RGSMIIIE,RGMII or SMII Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of RGSMIIIS bit in MAC_Interrupt_Status register. 0x0: RGMII or SMII Interrupt is disabled 0x1: RGMII or SMII Interrupt.." "RGMII or SMII Interrupt is disabled,RGMII or SMII Interrupt is enabled"
rgroup.long 0xB8++0x3
line.long 0x0 "MAC_RX_TX_STATUS,The Receive Transmit Status register contains the Receive and Transmit Error status."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "RWT,Receive Watchdog Timeout This bit is set when a packet with length greater than 2 048 bytes is received (10 240 bytes when Jumbo Packet mode is enabled) and the WD bit is reset in the MAC_Configuration register. This bit is set when a packet with.." "No receive watchdog timeout,Receive watchdog timed out"
newline
bitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 5. "EXCOL,Excessive Collisions When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the transmission aborted after 16 successive collisions while attempting to transmit the current packet. If the DR bit is set in the.." "No collision,Excessive collision is sensed"
newline
bitfld.long 0x0 4. "LCOL,Late Collision When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the packet transmission aborted because a collision occurred after the collision window (64 bytes including Preamble in MII mode; 512 bytes.." "No collision,Late collision is sensed"
newline
bitfld.long 0x0 3. "EXDEF,Excessive Deferral When the DTXSTS bit is set in the MTL_Operation_Mode register and the DC bit is set in the MAC_Configuration register this bit indicates that the transmission ended because of excessive deferral of over 24 288 bit times (155 680.." "No Excessive deferral,Excessive deferral"
newline
bitfld.long 0x0 2. "LCARR,Loss of Carrier When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the loss of carrier occurred during packet transmission that is the phy_crs_i signal was inactive for one or more transmission clock periods.." "Carrier is present,Loss of carrier"
newline
bitfld.long 0x0 1. "NCARR,No Carrier When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the carrier signal from the PHY is not present at the end of preamble transmission. Access restriction applies. Clears on read (or write of 1 when.." "Carrier is present,No carrier"
newline
bitfld.long 0x0 0. "TJT,Transmit Jabber Timeout This bit indicates that the Transmit Jabber Timer expired which happens when the packet size exceeds 2 048 bytes (10 240 bytes when the Jumbo packet is enabled) and JD bit is reset in the MAC_Configuration register. This bit.." "No Transmit Jabber Timeout,Transmit Jabber Timeout occurred"
group.long 0xC0++0x7
line.long 0x0 "MAC_PMT_CONTROL_STATUS,The PMT Control and Status Register."
bitfld.long 0x0 31. "RWKFILTRST,Remote Wake-Up Packet Filter Register Pointer Reset When this bit is set the remote wake-up packet filter register pointer is reset to 3'b000. It is automatically cleared after 1 clock cycle. Access restriction applies. Setting 1 sets." "Remote Wake-Up Packet Filter Register Pointer is..,Remote Wake-Up Packet Filter Register Pointer is.."
newline
rbitfld.long 0x0 29.--30. "RESERVED_30_29,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x0 24.--28. 1. "RWKPTR,Remote Wake-up FIFO Pointer This field gives the current value (0 to 7 15 or 31 when 4 8 or 16 Remote Wake-up Packet Filters are selected) of the Remote Wake-up Packet Filter register pointer. When the value of this pointer is equal to maximum.."
newline
hexmask.long.word 0x0 11.--23. 1. "RESERVED_23_11,Reserved."
newline
bitfld.long 0x0 10. "RWKPFE,Remote Wake-up Packet Forwarding Enable When this bit is set along with RWKPKTEN the MAC receiver drops all received frames until it receives the expected Wake-up frame. All frames after that event including the received wake-up frame are.." "Remote Wake-up Packet Forwarding is disabled,Remote Wake-up Packet Forwarding is enabled"
newline
bitfld.long 0x0 9. "GLBLUCAST,Global Unicast When this bit set any unicast packet filtered by the MAC (DAF) address recognition is detected as a remote wake-up packet. 0x0: Global unicast is disabled 0x1: Global unicast is enabled" "Global unicast is disabled,Global unicast is enabled"
newline
rbitfld.long 0x0 7.--8. "RESERVED_8_7,Reserved." "0,1,2,3"
newline
rbitfld.long 0x0 6. "RWKPRCVD,Remote Wake-Up Packet Received When this bit is set it indicates that the power management event is generated because of the reception of a remote wake-up packet. This bit is cleared when this register is read. Access restriction applies." "Remote wake-up packet is received,Remote wake-up packet is received"
newline
rbitfld.long 0x0 5. "MGKPRCVD,Magic Packet Received When this bit is set it indicates that the power management event is generated because of the reception of a magic packet. This bit is cleared when this register is read. Access restriction applies. Clears on read (or.." "No Magic packet is received,Magic packet is received"
newline
rbitfld.long 0x0 3.--4. "RESERVED_4_3,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 2. "RWKPKTEN,Remote Wake-Up Packet Enable When this bit is set a power management event is generated when the MAC receives a remote wake-up packet. 0x0: Remote wake-up packet is disabled 0x1: Remote wake-up packet is enabled" "Remote wake-up packet is disabled,Remote wake-up packet is enabled"
newline
bitfld.long 0x0 1. "MGKPKTEN,Magic Packet Enable When this bit is set a power management event is generated when the MAC receives a magic packet. 0x0: Magic Packet is disabled 0x1: Magic Packet is enabled" "Magic Packet is disabled,Magic Packet is enabled"
newline
bitfld.long 0x0 0. "PWRDWN,Power Down When this bit is set the MAC receiver drops all received packets until it receives the expected magic packet or remote wake-up packet. This bit is then self-cleared and the power-down mode is disabled. The software can clear this bit.." "Power down is disabled,Power down is enabled"
line.long 0x4 "MAC_RWK_PACKET_FILTER,The Remote Wakeup Filter registers are implemented as 8. 16. or 32 indirect access registers (wkuppktfilter_reg#i) based on whether 4. 8. or 16 Remote Wakeup Filters are selected in the configuration and accessed by application.."
hexmask.long 0x4 0.--31. 1. "WKUPFRMFTR,RWK Packet Filter This field contains the various controls of RWK Packet filter."
group.long 0xD0++0xF
line.long 0x0 "MAC_LPI_CONTROL_STATUS,The LPI Control and Status Register controls the LPI functions and provides the LPI interrupt status. The status bits are cleared when this register is read."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
bitfld.long 0x0 21. "LPITCSE,LPI Tx Clock Stop Enable When this bit is set the MAC asserts sbd_tx_clk_gating_ctrl_o signal high after it enters Tx LPI mode to indicate that the Tx clock to MAC can be stopped. When this bit is reset the MAC does not assert.." "LPI Tx Clock Stop is disabled,LPI Tx Clock Stop is enabled"
newline
bitfld.long 0x0 20. "LPIATE,LPI Timer Enable This bit controls the automatic entry of the MAC Transmitter into and exit out of the LPI state. When LPIATE LPITXA and LPIEN bits are set the MAC Transmitter enters LPI state only when the complete MAC TX data path is IDLE for.." "LPI Timer is disabled,LPI Timer is enabled"
newline
bitfld.long 0x0 19. "LPITXA,LPI Tx Automate This bit controls the behavior of the MAC when it is entering or coming out of the LPI mode on the Transmit side. This bit is not functional in the EQOS-CORE configurations in which the Tx clock gating is done during the LPI mode." "LPI Tx Automate is disabled,LPI Tx Automate is enabled"
newline
bitfld.long 0x0 18. "PLSEN,PHY Link Status Enable This bit enables the link status received on the RGMII Receive paths to be used for activating the LPI LS TIMER. When this bit is set the MAC uses the link-status bits of the MAC_PHYIF_Control_Status register and the PLS bit.." "PHY Link Status is disabled,PHY Link Status is enabled"
newline
bitfld.long 0x0 17. "PLS,PHY Link Status This bit indicates the link status of the PHY. The MAC Transmitter asserts the LPI pattern only when the link status is up (OKAY) at least for the time indicated by the LPI LS TIMER. When this bit is set the link is considered to be.." "link is down,link is okay"
newline
bitfld.long 0x0 16. "LPIEN,LPI Enable When this bit is set it instructs the MAC Transmitter to enter the LPI state. When this bit is reset it instructs the MAC to exit the LPI state and resume normal transmission. This bit is cleared when the LPITXA bit is set and the MAC.." "LPI state is disabled,LPI state is enabled"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
rbitfld.long 0x0 9. "RLPIST,Receive LPI State When this bit is set it indicates that the MAC is receiving the LPI pattern on the GMII or MII interface. 0x1: Receive LPI state detected 0x0: Receive LPI state not detected" "Receive LPI state not detected,Receive LPI state detected"
newline
rbitfld.long 0x0 8. "TLPIST,Transmit LPI State When this bit is set it indicates that the MAC is transmitting the LPI pattern on the GMII or MII interface. 0x1: Transmit LPI state detected 0x0: Transmit LPI state not detected" "Transmit LPI state not detected,Transmit LPI state detected"
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED_7_4,Reserved."
newline
rbitfld.long 0x0 3. "RLPIEX,Receive LPI Exit When this bit is set it indicates that the MAC Receiver has stopped receiving the LPI pattern on the GMII or MII interface exited the LPI state and resumed the normal reception. This bit is cleared by a read into this register.." "Receive LPI exit not detected,Receive LPI exit detected"
newline
rbitfld.long 0x0 2. "RLPIEN,Receive LPI Entry When this bit is set it indicates that the MAC Receiver has received an LPI pattern and entered the LPI state. This bit is cleared by a read into this register (or this bit is written to 1 when RCWE bit in MAC_CSR_SW_Ctrl.." "Receive LPI entry not detected,Receive LPI entry detected"
newline
rbitfld.long 0x0 1. "TLPIEX,Transmit LPI Exit When this bit is set it indicates that the MAC transmitter exited the LPI state after the application cleared the LPIEN bit and the LPI TW Timer has expired. This bit is cleared by a read into this register (or this bit is.." "Transmit LPI exit not detected,Transmit LPI exit detected"
newline
rbitfld.long 0x0 0. "TLPIEN,Transmit LPI Entry When this bit is set it indicates that the MAC Transmitter has entered the LPI state because of the setting of the LPIEN bit. This bit is cleared by a read into this register (or this bit is written to 1 when RCWE bit in.." "Transmit LPI entry not detected,Transmit LPI entry detected"
line.long 0x4 "MAC_LPI_TIMERS_CONTROL,The LPI Timers Control register controls the timeout values in the LPI states. It specifies the time for which the MAC transmits the LPI pattern and also the time for which the MAC waits before resuming the normal transmission."
hexmask.long.byte 0x4 26.--31. 1. "RESERVED_31_26,Reserved."
newline
hexmask.long.word 0x4 16.--25. 1. "LST,LPI LS Timer This field specifies the minimum time (in milliseconds) for which the link status from the PHY should be up (OKAY) before the LPI pattern can be transmitted to the PHY. The MAC does not transmit the LPI pattern even when the LPIEN bit is.."
newline
hexmask.long.word 0x4 0.--15. 1. "TWT,LPI TW Timer This field specifies the minimum time (in microseconds) for which the MAC waits after it stops transmitting the LPI pattern to the PHY and before it resumes the normal transmission. The TLPIEX status bit is set after the expiry of this.."
line.long 0x8 "MAC_LPI_ENTRY_TIMER,This register controls the Tx LPI entry timer. This counter is enabled only when bit[20](LPITE) bit of MAC_LPI_Control_Status is set to 1."
hexmask.long.word 0x8 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.tbyte 0x8 3.--19. 1. "LPIET,LPI Entry Timer This field specifies the time in microseconds the MAC waits to enter LPI mode after it has transmitted all the frames. This field is valid and used only when LPITE and LPITXA are set to 1. Bits [2:0] are read-only so that the.."
newline
rbitfld.long 0x8 0.--2. "RESERVED_2_0,Reserved." "0,1,2,3,4,5,6,7"
line.long 0xC "MAC_1US_TIC_COUNTER,This register controls the generation of the Reference time (1 microsecond tic) for all the LPI timers. This timer has to be programmed by the software initially."
hexmask.long.tbyte 0xC 12.--31. 1. "RESERVED_31_12,Reserved."
newline
hexmask.long.word 0xC 0.--11. 1. "TIC_1US_CNTR,1US TIC Counter The application must program this counter so that the number of clock cycles of CSR clock is 1us. (Subtract 1 from the value before programming). For example if the CSR clock is 100MHz then this field needs to be programmed.."
group.long 0xF8++0x3
line.long 0x0 "MAC_PHYIF_CONTROL_STATUS,The PHY Interface Control and Status register indicates the status signals received by the SGMII. RGMII. or SMII interface (selected at reset) from the PHY. This register is optional."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 21. "RESERVED_FALSCARDET,Reserved." "0,1"
newline
rbitfld.long 0x0 20. "RESERVED_JABTO,Reserved." "0,1"
newline
rbitfld.long 0x0 19. "LNKSTS,Link Status This bit indicates whether the link is up (1'b1) or down (1'b0). 0x1: Link up 0x0: Link down" "Link down,Link up"
newline
rbitfld.long 0x0 17.--18. "LNKSPEED,Link Speed This bit indicates the current speed of the link. 0x2: 125 MHz 0x0: 2.5 MHz 0x1: 25 MHz 0x3: Reserved" "?,?,?,Reserved"
newline
rbitfld.long 0x0 16. "LNKMOD,Link Mode This bit indicates the current mode of operation of the link. 0x1: Full-duplex mode 0x0: Half-duplex mode" "Half-duplex mode,Full-duplex mode"
newline
hexmask.long.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved."
newline
rbitfld.long 0x0 4. "RESERVED_SMIDRXS,Reserved." "0,1"
newline
rbitfld.long 0x0 3. "RESERVED_3,Reserved." "0,1"
newline
rbitfld.long 0x0 2. "RESERVED_SFTERR,Reserved." "0,1"
newline
bitfld.long 0x0 1. "LUD,Link Up or Down This bit indicates whether the link is up or down during transmission of configuration in the RGMII interface. 0x0: Link down 0x1: Link up" "Link down,Link up"
newline
bitfld.long 0x0 0. "TC,Transmit Configuration in RGMII. When set this bit enables the transmission of duplex mode link speed and link up or down information to the PHY in the RGMII port. When this bit is reset no such information is driven to the PHY. The details of.." "Disable Transmit Configuration in RGMII,Enable Transmit Configuration in RGMII"
rgroup.long 0x110++0x7
line.long 0x0 "MAC_VERSION,The version register identifies the version of the DWC_ether_qos. This register contains two bytes: one that Synopsys uses to identify the core release number. and the other that you set while configuring the core."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x0 8.--15. 1. "USERVER,User-defined Version (configured with coreConsultant)"
newline
hexmask.long.byte 0x0 0.--7. 1. "SNPSVER,Synopsys-defined Version"
line.long 0x4 "MAC_DEBUG,The Debug register provides the debug status of various MAC blocks."
hexmask.long.word 0x4 19.--31. 1. "RESERVED_31_19,Reserved."
newline
bitfld.long 0x4 17.--18. "TFCSTS,MAC Transmit Packet Controller Status This field indicates the state of the MAC Transmit Packet Controller module. 0x2: Generating and transmitting a Pause control packet (in full-duplex mode) 0x0: Idle state 0x3: Transferring input packet for.." "Idle state,Waiting for one of the following: Status of the..,Generating and transmitting a Pause control..,Transferring input packet for transmission"
newline
bitfld.long 0x4 16. "TPESTS,MAC GMII or MII Transmit Protocol Engine Status When this bit is set it indicates that the MAC GMII or MII transmit protocol engine is actively transmitting data and it is not in the Idle state. 0x1: MAC GMII or MII Transmit Protocol Engine.." "MAC GMII or MII Transmit Protocol Engine Status..,MAC GMII or MII Transmit Protocol Engine Status.."
newline
hexmask.long.word 0x4 3.--15. 1. "RESERVED_15_3,Reserved."
newline
bitfld.long 0x4 1.--2. "RFCFCSTS,MAC Receive Packet Controller FIFO Status When this bit is set this field indicates the active state of the small FIFO Read and Write controllers of the MAC Receive Packet Controller module." "0,1,2,3"
newline
bitfld.long 0x4 0. "RPESTS,MAC GMII or MII Receive Protocol Engine Status When this bit is set it indicates that the MAC GMII or MII receive protocol engine is actively receiving data and it is not in the Idle state. 0x1: MAC GMII or MII Receive Protocol Engine Status.." "MAC GMII or MII Receive Protocol Engine Status..,MAC GMII or MII Receive Protocol Engine Status.."
rgroup.long 0x11C++0xF
line.long 0x0 "MAC_HW_FEATURE0,This register indicates the presence of first set of the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks. Note:.."
bitfld.long 0x0 31. "RESERVED_31,Reserved." "0,1"
newline
bitfld.long 0x0 28.--30. "ACTPHYSEL,Active PHY Selected When you have multiple PHY interfaces in your configuration this field indicates the sampled value of phy_intf_sel_i during reset de-assertion. 0x0: Reserved 0x7: Reserved 0x1: RGMII 0x4: RMII 0x5: Reserved 0x2: Reserved.." "Reserved,RGMII,Reserved,Reserved,RMII,Reserved,Reserved,Reserved"
newline
bitfld.long 0x0 27. "SAVLANINS,Source Address or VLAN Insertion Enable This bit is set to 1 when the Enable SA and VLAN Insertion on Tx option is selected 0x1: Source Address or VLAN Insertion Enable option is selected 0x0: Source Address or VLAN Insertion Enable option is.." "Source Address or VLAN Insertion Enable option..,Source Address or VLAN Insertion Enable option.."
newline
bitfld.long 0x0 25.--26. "TSSTSSEL,Timestamp System Time Source This bit indicates the source of the Timestamp system time: This bit is set to 1 when the Enable IEEE 1588 Timestamp Support option is selected 0x2: Both 0x1: External 0x0: Internal 0x3: Reserved" "Internal,External,Both,Reserved"
newline
bitfld.long 0x0 24. "MACADR64SEL,MAC Addresses 64-127 Selected This bit is set to 1 when the Enable Additional 64 MAC Address Registers (64-127) option is selected 0x1: MAC Addresses 64-127 Select option is selected 0x0: MAC Addresses 64-127 Select option is not selected" "MAC Addresses 64-127 Select option is not selected,MAC Addresses 64-127 Select option is selected"
newline
bitfld.long 0x0 23. "MACADR32SEL,MAC Addresses 32-63 Selected This bit is set to 1 when the Enable Additional 32 MAC Address Registers (32-63) option is selected 0x1: MAC Addresses 32-63 Select option is selected 0x0: MAC Addresses 32-63 Select option is not selected" "MAC Addresses 32-63 Select option is not selected,MAC Addresses 32-63 Select option is selected"
newline
hexmask.long.byte 0x0 18.--22. 1. "ADDMACADRSEL,MAC Addresses 1-31 Selected This bit is set to 1 when the non-zero value is selected for Enable Additional 1-31 MAC Address Registers option"
newline
bitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "RXCOESEL,Receive Checksum Offload Enabled This bit is set to 1 when the Enable Receive TCP/IP Checksum Check option is selected 0x1: Receive Checksum Offload Enable option is selected 0x0: Receive Checksum Offload Enable option is not selected" "Receive Checksum Offload Enable option is not..,Receive Checksum Offload Enable option is selected"
newline
bitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x0 14. "TXCOESEL,Transmit Checksum Offload Enabled This bit is set to 1 when the Enable Transmit TCP/IP Checksum Insertion option is selected 0x1: Transmit Checksum Offload Enable option is selected 0x0: Transmit Checksum Offload Enable option is not selected" "Transmit Checksum Offload Enable option is not..,Transmit Checksum Offload Enable option is.."
newline
bitfld.long 0x0 13. "EEESEL,Energy Efficient Ethernet Enabled This bit is set to 1 when the Enable Energy Efficient Ethernet (EEE) option is selected 0x1: Energy Efficient Ethernet Enable option is selected 0x0: Energy Efficient Ethernet Enable option is not selected" "Energy Efficient Ethernet Enable option is not..,Energy Efficient Ethernet Enable option is.."
newline
bitfld.long 0x0 12. "TSSEL,IEEE 1588-2008 Timestamp Enabled This bit is set to 1 when the Enable IEEE 1588 Timestamp Support option is selected 0x1: IEEE 1588-2008 Timestamp Enable option is selected 0x0: IEEE 1588-2008 Timestamp Enable option is not selected" "IEEE 1588-2008 Timestamp Enable option is not..,IEEE 1588-2008 Timestamp Enable option is selected"
newline
bitfld.long 0x0 10.--11. "RESERVED_11_10,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 9. "ARPOFFSEL,ARP Offload Enabled This bit is set to 1 when the Enable IPv4 ARP Offload option is selected 0x1: ARP Offload Enable option is selected 0x0: ARP Offload Enable option is not selected" "ARP Offload Enable option is not selected,ARP Offload Enable option is selected"
newline
bitfld.long 0x0 8. "MMCSEL,RMON Module Enable This bit is set to 1 when the Enable MAC Management Counters (MMC) option is selected 0x1: RMON Module Enable option is selected 0x0: RMON Module Enable option is not selected" "RMON Module Enable option is not selected,RMON Module Enable option is selected"
newline
bitfld.long 0x0 7. "MGKSEL,PMT Magic Packet Enable This bit is set to 1 when the Enable Magic Packet Detection option is selected 0x1: PMT Magic Packet Enable option is selected 0x0: PMT Magic Packet Enable option is not selected" "PMT Magic Packet Enable option is not selected,PMT Magic Packet Enable option is selected"
newline
bitfld.long 0x0 6. "RWKSEL,PMT Remote Wake-up Packet Enable This bit is set to 1 when the Enable Remote Wake-Up Packet Detection option is selected 0x1: PMT Remote Wake-up Packet Enable option is selected 0x0: PMT Remote Wake-up Packet Enable option is not selected" "PMT Remote Wake-up Packet Enable option is not..,PMT Remote Wake-up Packet Enable option is.."
newline
bitfld.long 0x0 5. "SMASEL,SMA (MDIO) Interface This bit is set to 1 when the Enable Station Management (MDIO Interface) option is selected 0x1: SMA (MDIO) Interface selected 0x0: SMA (MDIO) Interface not selected" "SMA,SMA"
newline
bitfld.long 0x0 4. "VLHASH,VLAN Hash Filter Selected This bit is set to 1 when the Enable VLAN Hash Table Based Filtering option is selected 0x1: VLAN Hash Filter selected 0x0: VLAN Hash Filter not selected" "VLAN Hash Filter not selected,VLAN Hash Filter selected"
newline
bitfld.long 0x0 3. "PCSSEL,Reserved" "0,1"
newline
bitfld.long 0x0 2. "HDSEL,Half-duplex Support This bit is set to 1 when the half-duplex mode is selected 0x1: Half-duplex support 0x0: No Half-duplex support" "No Half-duplex support,Half-duplex support"
newline
bitfld.long 0x0 1. "GMIISEL,1000 Mbps Support This bit is set to 1 when 1000 Mbps is selected as the Mode of Operation 0x1: 1000 Mbps support 0x0: No 1000 Mbps support" "No 1000 Mbps support,?"
newline
bitfld.long 0x0 0. "MIISEL,10 or 100 Mbps Support This bit is set to 1 when 10/100 Mbps is selected as the Mode of Operation 0x1: 10 or 100 Mbps support 0x0: No 10 or 100 Mbps support" "No 10 or 100 Mbps support,?"
line.long 0x4 "MAC_HW_FEATURE1,This register indicates the presence of second set of the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks. Note:.."
bitfld.long 0x4 31. "RESERVED_31,Reserved." "0,1"
newline
hexmask.long.byte 0x4 27.--30. 1. "L3L4FNUM,Total number of L3 or L4 Filters This field indicates the total number of L3 or L4 filters: 0x1: 1 L3 or L4 Filter 0x2: 2 L3 or L4 Filters 0x3: 3 L3 or L4 Filters 0x4: 4 L3 or L4 Filters 0x5: 5 L3 or L4 Filters 0x6: 6 L3 or L4 Filters 0x7: 7 L3.."
newline
bitfld.long 0x4 26. "RESERVED_26,Reserved." "0,1"
newline
bitfld.long 0x4 24.--25. "HASHTBLSZ,Hash Table Size This field indicates the size of the hash table: 0x2: 128 0x3: 256 0x1: 64 0x0: No hash table" "No hash table,?,?,?"
newline
bitfld.long 0x4 23. "POUOST,One Step for PTP over UDP/IP Feature Enable This bit is set to 1 when the Enable One step timestamp for PTP over UDP/IP feature is selected. 0x1: One Step for PTP over UDP/IP Feature is selected 0x0: One Step for PTP over UDP/IP Feature is not.." "One Step for PTP over UDP/IP Feature is not..,One Step for PTP over UDP/IP Feature is selected"
newline
bitfld.long 0x4 22. "RESERVED_22,Reserved." "0,1"
newline
bitfld.long 0x4 21. "RAVSEL,Rx Side Only AV Feature Enable This bit is set to 1 when the Enable Audio Video Bridging option on Rx Side Only is selected. 0x1: Rx Side Only AV Feature is selected 0x0: Rx Side Only AV Feature is not selected" "Rx Side Only AV Feature is not selected,Rx Side Only AV Feature is selected"
newline
bitfld.long 0x4 20. "AVSEL,AV Feature Enable This bit is set to 1 when the Enable Audio Video Bridging option is selected. 0x1: AV Feature is selected 0x0: AV Feature is not selected" "AV Feature is not selected,AV Feature is selected"
newline
bitfld.long 0x4 19. "DBGMEMA,DMA Debug Registers Enable This bit is set to 1 when the Debug Mode Enable option is selected 0x1: DMA Debug Registers option is selected 0x0: DMA Debug Registers option is not selected" "DMA Debug Registers option is not selected,DMA Debug Registers option is selected"
newline
bitfld.long 0x4 18. "TSOEN,TCP Segmentation Offload Enable This bit is set to 1 when the Enable TCP Segmentation Offloading for TCP/IP Packets option is selected 0x1: TCP Segmentation Offload Feature is selected 0x0: TCP Segmentation Offload Feature is not selected" "TCP Segmentation Offload Feature is not selected,TCP Segmentation Offload Feature is selected"
newline
bitfld.long 0x4 17. "SPHEN,Split Header Feature Enable This bit is set to 1 when the Enable Split Header Structure option is selected 0x1: Split Header Feature is selected 0x0: Split Header Feature is not selected" "Split Header Feature is not selected,Split Header Feature is selected"
newline
bitfld.long 0x4 16. "DCBEN,DCB Feature Enable This bit is set to 1 when the Enable Data Center Bridging option is selected 0x1: DCB Feature is selected 0x0: DCB Feature is not selected" "DCB Feature is not selected,DCB Feature is selected"
newline
bitfld.long 0x4 14.--15. "ADDR64,Address Width. This field indicates the configured address width: 0x0: 32 0x1: 40 0x2: 48 0x3: Reserved" "?,?,?,Reserved"
newline
bitfld.long 0x4 13. "ADVTHWORD,IEEE 1588 High Word Register Enable This bit is set to 1 when the Add IEEE 1588 Higher Word Register option is selected 0x1: IEEE 1588 High Word Register option is selected 0x0: IEEE 1588 High Word Register option is not selected" "IEEE 1588 High Word Register option is not..,IEEE 1588 High Word Register option is selected"
newline
bitfld.long 0x4 12. "PTOEN,PTP Offload Enable This bit is set to 1 when the Enable PTP Timestamp Offload Feature is selected. 0x1: PTP Offload feature is selected 0x0: PTP Offload feature is not selected" "PTP Offload feature is not selected,PTP Offload feature is selected"
newline
bitfld.long 0x4 11. "OSTEN,One-Step Timestamping Enable This bit is set to 1 when the Enable One-Step Timestamp Feature is selected. 0x1: One-Step Timestamping feature is selected 0x0: One-Step Timestamping feature is not selected" "One-Step Timestamping feature is not selected,One-Step Timestamping feature is selected"
newline
hexmask.long.byte 0x4 6.--10. 1. "TXFIFOSIZE,MTL Transmit FIFO Size This field contains the configured value of MTL Tx FIFO in bytes expressed as Log to base 2 minus 7 that is Log2(TXFIFO_SIZE) -7: 0x3: 1024 bytes 0x0: 128 bytes 0xa: 128 KB 0x7: 16384 bytes 0x4: 2048 bytes 0x1: 256.."
newline
bitfld.long 0x4 5. "SPRAM,Single Port RAM Enable This bit is set to 1 when the Use single port RAM Feature is selected. 0x1: Single Port RAM feature is selected 0x0: Single Port RAM feature is not selected" "Single Port RAM feature is not selected,Single Port RAM feature is selected"
newline
hexmask.long.byte 0x4 0.--4. 1. "RXFIFOSIZE,MTL Receive FIFO Size This field contains the configured value of MTL Rx FIFO in bytes expressed as Log to base 2 minus 7 that is Log2(RXFIFO_SIZE) -7: 0x3: 1024 bytes 0x0: 128 bytes 0xa: 128 KB 0x7: 16384 bytes 0x4: 2048 bytes 0x1: 256.."
line.long 0x8 "MAC_HW_FEATURE2,This register indicates the presence of third set of the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks."
bitfld.long 0x8 31. "RESERVED_31,Reserved." "0,1"
newline
bitfld.long 0x8 28.--30. "AUXSNAPNUM,Number of Auxiliary Snapshot Inputs This field indicates the number of auxiliary snapshot inputs: 0x1: 1 auxiliary input 0x2: 2 auxiliary input 0x3: 3 auxiliary input 0x4: 4 auxiliary input 0x0: No auxiliary input 0x5: Reserved" "No auxiliary input,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x8 27. "RESERVED_27,Reserved." "0,1"
newline
bitfld.long 0x8 24.--26. "PPSOUTNUM,Number of PPS Outputs This field indicates the number of PPS outputs: 0x1: 1 PPS output 0x2: 2 PPS output 0x3: 3 PPS output 0x4: 4 PPS output 0x0: No PPS output 0x5: Reserved" "No PPS output,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x8 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 18.--21. 1. "TXCHCNT,Number of DMA Transmit Channels This field indicates the number of DMA Transmit channels: 0x0: 1 MTL Tx Channel 0x1: 2 MTL Tx Channels 0x2: 3 MTL Tx Channels 0x3: 4 MTL Tx Channels 0x4: 5 MTL Tx Channels 0x5: 6 MTL Tx Channels 0x6: 7 MTL Tx.."
newline
bitfld.long 0x8 16.--17. "RESERVED_17_16,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 12.--15. 1. "RXCHCNT,Number of DMA Receive Channels This field indicates the number of DMA Receive channels: 0x0: 1 MTL Rx Channel 0x1: 2 MTL Rx Channels 0x2: 3 MTL Rx Channels 0x3: 4 MTL Rx Channels 0x4: 5 MTL Rx Channels 0x5: 6 MTL Rx Channels 0x6: 7 MTL Rx.."
newline
bitfld.long 0x8 10.--11. "RESERVED_11_10,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 6.--9. 1. "TXQCNT,Number of MTL Transmit Queues This field indicates the number of MTL Transmit queues: 0x0: 1 MTL Tx Queue 0x1: 2 MTL Tx Queues 0x2: 3 MTL Tx Queues 0x3: 4 MTL Tx Queues 0x4: 5 MTL Tx Queues 0x5: 6 MTL Tx Queues 0x6: 7 MTL Tx Queues 0x7: 8 MTL Tx.."
newline
bitfld.long 0x8 4.--5. "RESERVED_5_4,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--3. 1. "RXQCNT,Number of MTL Receive Queues This field indicates the number of MTL Receive queues: 0x0: 1 MTL Rx Queue 0x1: 2 MTL Rx Queues 0x2: 3 MTL Rx Queues 0x3: 4 MTL Rx Queues 0x4: 5 MTL Rx Queues 0x5: 6 MTL Rx Queues 0x6: 7 MTL Rx Queues 0x7: 8 MTL Rx.."
line.long 0xC "MAC_HW_FEATURE3,This register indicates the presence of fourth set the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks."
bitfld.long 0xC 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0xC 28.--29. "ASP,Automotive Safety Package Following are the encoding for the different Safety features 0x2: All the Automotive Safety features are selected without the 'Parity Port Enable for external interface' feature 0x3: All the Automotive Safety features are.." "No Safety features selected,Only 'ECC protection for external memory'..,All the Automotive Safety features are selected..,All the Automotive Safety features are selected.."
newline
bitfld.long 0xC 27. "TBSSEL,Time Based Scheduling Enable This bit is set to 1 when the Time Based Scheduling feature is selected. 0x1: Time Based Scheduling Enable feature is selected 0x0: Time Based Scheduling Enable feature is not selected" "Time Based Scheduling Enable feature is not..,Time Based Scheduling Enable feature is selected"
newline
bitfld.long 0xC 26. "FPESEL,Frame Preemption Enable This bit is set to 1 when the Enable Frame preemption feature is selected. 0x1: Frame Preemption Enable feature is selected 0x0: Frame Preemption Enable feature is not selected" "Frame Preemption Enable feature is not selected,Frame Preemption Enable feature is selected"
newline
hexmask.long.byte 0xC 22.--25. 1. "RESERVED_25_22,Reserved."
newline
bitfld.long 0xC 20.--21. "ESTWID,Width of the Time Interval field in the Gate Control List This field indicates the width of the Configured Time Interval Field 0x0: Width not configured 0x1: 16 0x2: 20 0x3: 24" "Width not configured,?,?,?"
newline
bitfld.long 0xC 17.--19. "ESTDEP,Depth of the Gate Control List This field indicates the depth of Gate Control list expressed as Log2(DWC_EQOS_EST_DEP)-5 0x5: 1024 0x2: 128 0x3: 256 0x4: 512 0x1: 64 0x0: No Depth configured 0x6: Reserved" "No Depth configured,?,?,?,?,?,Reserved,?"
newline
bitfld.long 0xC 16. "ESTSEL,Enhancements to Scheduling Traffic Enable This bit is set to 1 when the Enable Enhancements to Scheduling Traffic feature is selected. 0x1: Enable Enhancements to Scheduling Traffic feature is selected 0x0: Enable Enhancements to Scheduling.." "Enable Enhancements to Scheduling Traffic..,Enable Enhancements to Scheduling Traffic.."
newline
bitfld.long 0xC 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0xC 13.--14. "FRPES,Flexible Receive Parser Table Entries size This field indicates the Max Number of Parser Entries supported by Flexible Receive Parser. 0x1: 128 Entries 0x2: 256 Entries 0x0: 64 Entries 0x3: Reserved" "?,?,?,Reserved"
newline
bitfld.long 0xC 11.--12. "FRPBS,Flexible Receive Parser Buffer size This field indicates the supported Max Number of bytes of the packet data to be Parsed by Flexible Receive Parser. 0x1: 128 Bytes 0x2: 256 Bytes 0x0: 64 Bytes 0x3: Reserved" "?,?,?,Reserved"
newline
bitfld.long 0xC 10. "FRPSEL,Flexible Receive Parser Selected This bit is set to 1 when the Enable Flexible Programmable Receive Parser option is selected. 0x1: Flexible Receive Parser feature is selected 0x0: Flexible Receive Parser feature is not selected" "Flexible Receive Parser feature is not selected,Flexible Receive Parser feature is selected"
newline
bitfld.long 0xC 9. "PDUPSEL,Broadcast/Multicast Packet Duplication This bit is set to 1 when the Broadcast/Multicast Packet Duplication feature is selected. 0x1: Broadcast/Multicast Packet Duplication feature is selected 0x0: Broadcast/Multicast Packet Duplication feature.." "Broadcast/Multicast Packet Duplication feature..,Broadcast/Multicast Packet Duplication feature.."
newline
bitfld.long 0xC 6.--8. "RESERVED_7_6,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 5. "DVLAN,Double VLAN Tag Processing Selected This bit is set to 1 when the Enable Double VLAN Processing Feature is selected. 0x1: Double VLAN option is selected 0x0: Double VLAN option is not selected" "Double VLAN option is not selected,Double VLAN option is selected"
newline
bitfld.long 0xC 4. "CBTISEL,Queue/Channel based VLAN tag insertion on Tx Enable This bit is set to 1 when the Enable Queue/Channel based VLAN tag insertion on Tx Feature is selected. 0x1: Enable Queue/Channel based VLAN tag insertion on Tx feature is selected 0x0: Enable.." "Enable Queue/Channel based VLAN tag insertion on..,Enable Queue/Channel based VLAN tag insertion on.."
newline
bitfld.long 0xC 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0xC 0.--2. "NRVF,Number of Extended VLAN Tag Filters Enabled This field indicates the Number of Extended VLAN Tag Filters selected: 0x3: 16 Extended Rx VLAN Filters 0x4: 24 Extended Rx VLAN Filters 0x5: 32 Extended Rx VLAN Filters 0x1: 4 Extended Rx VLAN Filters.." "No Extended Rx VLAN Filters,?,?,?,?,?,Reserved,?"
group.long 0x140++0x3
line.long 0x0 "MAC_DPP_FSM_INTERRUPT_STATUS,This register contains the status of Automotive Safety related Data Path Parity Errors. Interface Timeout Errors. FSM State Parity Errors and FSM State Timeout Errors."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "FSMPES,FSM State Parity Error Status This field when set indicates one of the FSMs State registers has a parity error detected. 0x1: FSM State Parity Error Status detected 0x0: FSM State Parity Error Status not detected" "FSM State Parity Error Status not detected,FSM State Parity Error Status detected"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED_23_18,Reserved."
newline
rbitfld.long 0x0 17. "RESERVED_SLVTES,Reserved." "0,1"
newline
bitfld.long 0x0 16. "MSTTES,Master Read/Write Timeout Error Status This field when set indicates that an Application/CSR Timeout has occurred on the master (AXI/AHB/ARI/ATI) interface. 0x1: Master Read/Write Timeout Error Status detected 0x0: Master Read/Write Timeout Error.." "Master Read/Write Timeout Error Status not..,Master Read/Write Timeout Error Status detected"
newline
rbitfld.long 0x0 15. "RESERVED_RVCTES,Reserved." "0,1"
newline
rbitfld.long 0x0 14. "RESERVED_R125ES,Reserved." "0,1"
newline
rbitfld.long 0x0 13. "RESERVED_T125ES,Reserved." "0,1"
newline
bitfld.long 0x0 12. "PTES,PTP FSM Timeout Error Status This field when set indicates that one of the PTP FSM Timeout has occurred. 0x1: PTP FSM Timeout Error Status detected 0x0: PTP FSM Timeout Error Status not detected" "PTP FSM Timeout Error Status not detected,PTP FSM Timeout Error Status detected"
newline
bitfld.long 0x0 11. "ATES,APP FSM Timeout Error Status This field when set indicates that one of the APP FSM Timeout has occurred. 0x1: APP FSM Timeout Error Status detected 0x0: APP FSM Timeout Error Status not detected" "APP FSM Timeout Error Status not detected,APP FSM Timeout Error Status detected"
newline
bitfld.long 0x0 10. "CTES,CSR FSM Timeout Error Status This field when set indicates that one of the CSR FSM Timeout has occurred. 0x1: CSR FSM Timeout Error Status detected 0x0: CSR FSM Timeout Error Status not detected" "CSR FSM Timeout Error Status not detected,CSR FSM Timeout Error Status detected"
newline
bitfld.long 0x0 9. "RTES,Rx FSM Timeout Error Status This field when set indicates that one of the Rx FSM Timeout has occurred. 0x1: Rx FSM Timeout Error Status detected 0x0: Rx FSM Timeout Error Status not detected" "Rx FSM Timeout Error Status not detected,Rx FSM Timeout Error Status detected"
newline
bitfld.long 0x0 8. "TTES,Tx FSM Timeout Error Status This field when set indicates that one of the Tx FSM Timeout has occurred. 0x1: Tx FSM Timeout Error Status detected 0x0: Tx FSM Timeout Error Status not detected" "Tx FSM Timeout Error Status not detected,Tx FSM Timeout Error Status detected"
newline
rbitfld.long 0x0 7. "RESERVED_ASRPES,Reserved." "0,1"
newline
rbitfld.long 0x0 6. "RESERVED_CWPES,Reserved." "0,1"
newline
bitfld.long 0x0 5. "ARPES,Application Receive interface data path Parity Error Status This bit when set indicates that a parity error is detected at following checkers based on the system configuration as described below - In MTL configuration (DWC_EQOS_SYS=1) parity.." "Application Receive interface data path Parity..,Application Receive interface data path Parity.."
newline
bitfld.long 0x0 4. "MTSPES,MTL TX Status data path Parity checker Error Status This filed when set indicates that parity error is detected on the MTL TX Status data on ati interface (or at PC5 as shown in Fig.Transmit data path parity protection). 0x1: MTL TX Status data.." "MTL TX Status data path Parity checker Error..,MTL TX Status data path Parity checker Error.."
newline
bitfld.long 0x0 3. "MPES,MTL data path Parity checker Error Status This bit when set indicates that a parity error is detected at the MTL transmit write controller parity checker (or at PC4 as shown in Fig.Transmit data path parity protection). 0x1: MTL data path Parity.." "MTL data path Parity checker Error Status not..,MTL data path Parity checker Error Status detected"
newline
bitfld.long 0x0 2. "RDPES,Read Descriptor Parity checker Error Status This bit when set indicates that a parity error is detected at the DMA Read descriptor parity checker (or at PC3 as shown in Fig.Transmit data path parity protection). 0x1: Read Descriptor Parity checker.." "Read Descriptor Parity checker Error Status not..,Read Descriptor Parity checker Error Status.."
newline
rbitfld.long 0x0 1. "RESERVED_TPES,Reserved." "0,1"
newline
bitfld.long 0x0 0. "ATPES,Application Transmit Interface Parity checker Error Status This bit when set indicates that a parity error is detected on the AXI/AHB Master read data parity checker. This bit when set indicates that a parity error is detected on the interface port.." "Application Transmit Interface Parity checker..,Application Transmit Interface Parity checker.."
group.long 0x148++0xB
line.long 0x0 "MAC_FSM_CONTROL,This register is used to control the FSM State parity and timeout error injection in Debug mode."
rbitfld.long 0x0 31. "RESERVED_RVCLGRNML,Reserved." "0,1"
newline
rbitfld.long 0x0 30. "RESERVED_R125LGRNML,Reserved." "0,1"
newline
rbitfld.long 0x0 29. "RESERVED_T125LGRNML,Reserved." "0,1"
newline
bitfld.long 0x0 28. "PLGRNML,PTP Large/Normal Mode Select This field when set indicates that large mode tic generation is used for PTP domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for PTP domain 0x1: large mode tic generation is.." "normal mode tic generation is used for PTP domain,large mode tic generation is used for PTP domain"
newline
bitfld.long 0x0 27. "ALGRNML,APP Large/Normal Mode Select This field when set indicates that large mode tic generation is used for APP domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for APP domain 0x1: large mode tic generation is.." "normal mode tic generation is used for APP domain,large mode tic generation is used for APP domain"
newline
bitfld.long 0x0 26. "CLGRNML,CSR Large/Normal Mode Select This field when set indicates that large mode tic generation is used for CSR domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for CSR domain 0x1: large mode tic generation is.." "normal mode tic generation is used for CSR domain,large mode tic generation is used for CSR domain"
newline
bitfld.long 0x0 25. "RLGRNML,Rx Large/Normal Mode Select This field when set indicates that large mode tic generation is used for Rx domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for Rx domain 0x1: large mode tic generation is used.." "normal mode tic generation is used for Rx domain,large mode tic generation is used for Rx domain"
newline
bitfld.long 0x0 24. "TLGRNML,Tx Large/Normal Mode Select This field when set indicates that large mode tic generation is used for Tx domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for Tx domain 0x1: large mode tic generation is used.." "normal mode tic generation is used for Tx domain,large mode tic generation is used for Tx domain"
newline
rbitfld.long 0x0 23. "RESERVED_RVCPEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 22. "RESERVED_R125PEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 21. "RESERVED_T125PEIN,Reserved." "0,1"
newline
bitfld.long 0x0 20. "PPEIN,PTP FSM Parity Error Injection This field when set indicates that Error Injection for PTP FSM Parity is enabled. 0x0: PTP FSM Parity Error Injection is disabled 0x1: PTP FSM Parity Error Injection is enabled" "PTP FSM Parity Error Injection is disabled,PTP FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 19. "APEIN,APP FSM Parity Error Injection This field when set indicates that Error Injection for APP FSM Parity is enabled. 0x0: APP FSM Parity Error Injection is disabled 0x1: APP FSM Parity Error Injection is enabled" "APP FSM Parity Error Injection is disabled,APP FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 18. "CPEIN,CSR FSM Parity Error Injection This field when set indicates that Error Injection for CSR Parity is enabled. 0x0: CSR FSM Parity Error Injection is disabled 0x1: CSR FSM Parity Error Injection is enabled" "CSR FSM Parity Error Injection is disabled,CSR FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 17. "RPEIN,Rx FSM Parity Error Injection This field when set indicates that Error Injection for RX FSM Parity is enabled. 0x0: Rx FSM Parity Error Injection is disabled 0x1: Rx FSM Parity Error Injection is enabled" "Rx FSM Parity Error Injection is disabled,Rx FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 16. "TPEIN,Tx FSM Parity Error Injection This field when set indicates that Error Injection for TX FSM Parity is enabled. 0x0: Tx FSM Parity Error Injection is disabled 0x1: Tx FSM Parity Error Injection is enabled" "Tx FSM Parity Error Injection is disabled,Tx FSM Parity Error Injection is enabled"
newline
rbitfld.long 0x0 15. "RESERVED_RVCTEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 14. "RESERVED_R125TEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 13. "RESERVED_T125TEIN,Reserved." "0,1"
newline
bitfld.long 0x0 12. "PTEIN,PTP FSM Timeout Error Injection This field when set indicates that Error Injection for PTP FSM timeout is enabled. 0x0: PTP FSM Timeout Error Injection is disabled 0x1: PTP FSM Timeout Error Injection is enabled" "PTP FSM Timeout Error Injection is disabled,PTP FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 11. "ATEIN,APP FSM Timeout Error Injection This field when set indicates that Error Injection for APP FSM timeout is enabled. 0x0: APP FSM Timeout Error Injection is disabled 0x1: APP FSM Timeout Error Injection is enabled" "APP FSM Timeout Error Injection is disabled,APP FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 10. "CTEIN,CSR FSM Timeout Error Injection This field when set indicates that Error Injection for CSR timeout is enabled. 0x0: CSR FSM Timeout Error Injection is disabled 0x1: CSR FSM Timeout Error Injection is enabled" "CSR FSM Timeout Error Injection is disabled,CSR FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 9. "RTEIN,Rx FSM Timeout Error Injection This field when set indicates that Error Injection for RX FSM timeout is enabled. 0x0: Rx FSM Timeout Error Injection is disabled 0x1: Rx FSM Timeout Error Injection is enabled" "Rx FSM Timeout Error Injection is disabled,Rx FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 8. "TTEIN,Tx FSM Timeout Error Injection This field when set indicates that Error Injection for TX FSM timeout is enabled. 0x0: Tx FSM Timeout Error Injection is disabled 0x1: Tx FSM Timeout Error Injection is enabled" "Tx FSM Timeout Error Injection is disabled,Tx FSM Timeout Error Injection is enabled"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,"
newline
bitfld.long 0x0 1. "PRTYEN,This bit when set indicates that the FSM parity feature is enabled. 0x0: FSM Parity feature is disabled 0x1: FSM Parity feature is enabled" "FSM Parity feature is disabled,FSM Parity feature is enabled"
newline
bitfld.long 0x0 0. "TMOUTEN,This bit when set indicates that the FSM timeout feature is enabled. 0x0: FSM timeout feature is disabled 0x1: FSM timeout feature is enabled" "FSM timeout feature is disabled,FSM timeout feature is enabled"
line.long 0x4 "MAC_FSM_ACT_TIMER,This register is used to select the FSM and Interface Timeout values."
hexmask.long.byte 0x4 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.byte 0x4 20.--23. 1. "LTMRMD,This field provides the mode value to be used for large mode FSM and other interface time outs. The timeout duration based on the mode value is given below 0x3: 16.384ms (~16ms) 0x8: 16.777sec (~16sec) 0x1: 1us 0x6: 1.048sec (~1sec) 0x5: 262.144ms.."
newline
hexmask.long.byte 0x4 16.--19. 1. "NTMRMD,This field provides the value to be used for normal mode FSM and other interface time outs. The timeout duration based on the mode value is given below 0x3: 16.384ms (~16ms) 0x8: 16.777sec (~16sec) 0x1: 1us 0x6: 1.048sec (~1sec) 0x5: 262.144ms.."
newline
hexmask.long.byte 0x4 10.--15. 1. "RESERVED_15_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TMR,This field indicates the number of CSR clocks required to generate 1us tic."
line.long 0x8 "SNPS_SCS_REG1,Synopsys Reserved Register"
hexmask.long 0x8 0.--31. 1. "MAC_SCS1,Synopsys Reserved All the bits must be set to '0'. This field is reserved for Synopsys Internal use and must always be set to '0' unless instructed by Synopsys. Setting any bit to '1' might cause unexpected behavior in the IP."
group.long 0x200++0x7
line.long 0x0 "MAC_MDIO_ADDRESS,The MDIO Address register controls the management cycles to external PHY through a management interface."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "PSE,Preamble Suppression Enable When this bit is set the SMA suppresses the 32-bit preamble and transmits MDIO frames with only 1 preamble bit. When this bit is 0 the MDIO frame always has 32 bits of preamble as defined in the IEEE specifications. 0x0:.." "Preamble Suppression disabled,Preamble Suppression enabled"
newline
bitfld.long 0x0 26. "BTB,Back to Back transactions When this bit is set and the NTC has value greater than 0 then the MAC informs the completion of a read or write command at the end of frame transfer (before the trailing clocks are transmitted). The software can thus.." "Back to Back transactions disabled,Back to Back transactions enabled"
newline
hexmask.long.byte 0x0 21.--25. 1. "PA,Physical Layer Address This field indicates which Clause 22 PHY devices (out of 32 devices) the MAC is accessing. This field indicates which Clause 45 capable PHYs (out of 32 PHYs) the MAC is accessing."
newline
hexmask.long.byte 0x0 16.--20. 1. "RDA,Register/Device Address These bits select the PHY register in selected Clause 22 PHY device. These bits select the Device (MMD) in selected Clause 45 capable PHY."
newline
rbitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x0 12.--14. "NTC,Number of Trailing Clocks This field controls the number of trailing clock cycles generated on gmii_mdc_o (MDC) after the end of transmission of MDIO frame. The valid values can be from 0 to 7. Programming the value to 3'h3 indicates that there are.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 8.--11. 1. "CR,CSR Clock Range The CSR Clock Range selection determines the frequency of the MDC clock according to the CSR clock frequency used in your design: - 0000: CSR clock = 60-100 MHz; MDC clock = CSR clock/42 - 0001: CSR clock = 100-150 MHz; MDC clock = CSR.."
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "SKAP,Skip Address Packet When this bit is set the SMA does not send the address packets before read write or post-read increment address packets. This bit is valid only when C45E is set. 0x0: Skip Address Packet is disabled 0x1: Skip Address Packet is.." "Skip Address Packet is disabled,Skip Address Packet is enabled"
newline
bitfld.long 0x0 3. "GOC_1,GMII Operation Command 1 This bit is higher bit of the operation command to the PHY GOC_1 and GOC_O is encoded as follows: - 00: Reserved - 01: Write - 10: Post Read Increment Address for Clause 45 PHY - 11: Read When Clause 22 PHY is enabled.." "GMII Operation Command 1 is disabled,GMII Operation Command 1 is enabled"
newline
bitfld.long 0x0 2. "GOC_0,GMII Operation Command 0 This is the lower bit of the operation command to the PHY. When in SMA mode (MDIO master) this bit along with GOC_1 determines the operation to be performed to the PHY. 0x0: GMII Operation Command 0 is disabled 0x1: GMII.." "GMII Operation Command 0 is disabled,GMII Operation Command 0 is enabled"
newline
bitfld.long 0x0 1. "C45E,Clause 45 PHY Enable When this bit is set Clause 45 capable PHY is connected to MDIO. When this bit is reset Clause 22 capable PHY is connected to MDIO. 0x0: Clause 45 PHY is disabled 0x1: Clause 45 PHY is enabled" "Clause 45 PHY is disabled,Clause 45 PHY is enabled"
newline
bitfld.long 0x0 0. "GB,GMII Busy The application sets this bit to instruct the SMA to initiate a Read or Write access to the MDIO slave. The MAC clears this bit after the MDIO frame transfer is completed. Hence the software must not write or change any of the fields in.." "GMII Busy is disabled,GMII Busy is enabled"
line.long 0x4 "MAC_MDIO_DATA,The MDIO Data register stores the Write data to be written to the PHY register located at the address specified in MAC_MDIO_Address. This register also stores the Read data from the PHY register located at the address specified by MDIO.."
hexmask.long.word 0x4 16.--31. 1. "RA,Register Address This field is valid only when C45E is set. It contains the Register Address in the PHY to which the MDIO frame is intended for."
newline
hexmask.long.word 0x4 0.--15. 1. "GD,GMII Data This field contains the 16-bit data value read from the PHY after a Management Read operation or the 16-bit data value to be written to the PHY before a Management Write operation."
group.long 0x230++0x7
line.long 0x0 "MAC_CSR_SW_CTRL,This register contains SW programmable controls for changing the CSR access response and status bits clearing."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "SEEN,Slave Error Response Enable When this bit is set the MAC responds with Slave Error for accesses to reserved registers in CSR space. When this bit is reset the MAC responds with Okay response to any register accessed from CSR space. 0x0: Slave.." "Slave Error Response is disabled,Slave Error Response is enabled"
newline
hexmask.long.byte 0x0 1.--7. 1. "RESERVED_7_1,Reserved."
newline
bitfld.long 0x0 0. "RCWE,Register Clear on Write 1 Enable When this bit is set the access mode of some register fields changes to Clear on Write 1 the application needs to set that respective bit to 1 to clear it. When this bit is reset the access mode of these register.." "Register Clear on Write 1 is disabled,Register Clear on Write 1 is enabled"
line.long 0x4 "MAC_FPE_CTRL_STS,This register controls the operation of Frame Preemption."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_20,Reserved."
newline
bitfld.long 0x4 19. "TRSP,Transmitted Respond Frame Set when a Respond mPacket is transmitted (triggered by setting SRSP field). An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of.." "Not transmitted Respond Frame,transmitted Respond Frame"
newline
bitfld.long 0x4 18. "TVER,Transmitted Verify Frame Set when a Verify mPacket is transmitted (triggered by setting SVER field). An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of 1.." "Not transmitted Verify Frame,transmitted Verify Frame"
newline
bitfld.long 0x4 17. "RRSP,Received Respond Frame Set when a Respond mPacket is received. An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of 1 when RCWE bit in MAC_CSR_SW_Ctrl.." "Not received Respond Frame,Received Respond Frame"
newline
bitfld.long 0x4 16. "RVER,Received Verify Frame Set when a Verify mPacket is received. An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of 1 when RCWE bit in MAC_CSR_SW_Ctrl.." "Not received Verify Frame,Received Verify Frame"
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED_15_4,Reserved."
newline
bitfld.long 0x4 3. "S1_SET_0,Synopsys Reserved Must be set to '0'. This field is reserved for Synopsys Internal use and must always be set to '0' unless instructed by Synopsys. Setting to '1' might cause unexpected behavior in the IP." "0,1"
newline
bitfld.long 0x4 2. "SRSP,Send Respond mPacket When set indicates hardware to send a Respond mPacket. Reset by hardware after sending the Respond mPacket. Access restriction applies. Setting 1 sets. Self-cleared. Setting 0 has no effect. 0x0: Send Respond mPacket is disabled.." "Send Respond mPacket is disabled,Send Respond mPacket is enabled"
newline
bitfld.long 0x4 1. "SVER,Send Verify mPacket When set indicates hardware to send a verify mPacket. Reset by hardware after sending the Verify mPacket. Access restriction applies. Setting 1 sets. Self-cleared. Setting 0 has no effect. 0x0: Send Verify mPacket is disabled.." "Send Verify mPacket is disabled,Send Verify mPacket is enabled"
newline
bitfld.long 0x4 0. "EFPE,Enable Tx Frame Preemption When set Frame Preemption Tx functionality is enabled. 0x0: Tx Frame Preemption is disabled 0x1: Tx Frame Preemption is enabled" "Tx Frame Preemption is disabled,Tx Frame Preemption is enabled"
rgroup.long 0x240++0x3
line.long 0x0 "MAC_PRESN_TIME_NS,This register contains the 32-bit binary rollover equivalent time of the PTP System Time in ns Exists when DWC_EQOS_FLEXI_PPS_OUT_EN is configured"
hexmask.long 0x0 0.--31. 1. "MPTN,MAC 1722 Presentation Time in ns These bits indicate the value of the 32-bit binary rollover equivalent time of the PTP System Time in ns"
group.long 0x244++0x3
line.long 0x0 "MAC_PRESN_TIME_UPDT,This field holds the 32-bit value of MAC 1722 Presentation Time in ns. that should be added to the Current Presentation Time Counter value. Init happens when TSINIT is set. and update happens when the TSUPDT bit is set (TSINIT and.."
hexmask.long 0x0 0.--31. 1. "MPTU,MAC 1722 Presentation Time Update This field holds the init value or the update value for the presentation time. When used for update this field holds the 32-bit value in ns that should be added to the Current Presentation Time Counter value. Init.."
group.long 0x300++0x403
line.long 0x0 "MAC_ADDRESS0_HIGH,The MAC Address0 High register holds the upper 16 bits of the first 6-byte MAC address of the station. The first DA byte that is received on the (G)MII interface corresponds to the LS byte (Bits [7:0]) of the MAC Address Low register."
rbitfld.long 0x0 31. "AE,Address Enable This bit is always set to 1. 0x0: INVALID : This bit must be always set to 1 0x1: This bit is always set to 1" "INVALID : This bit must be always set to 1,This bit is always set to 1"
newline
hexmask.long.word 0x0 21.--30. 1. "RESERVED_30_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address0 content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x0 0.--15. 1. "ADDRHI,MAC Address0[47:32] This field contains the upper 16 bits [47:32] of the first 6-byte MAC address. The MAC uses this field for filtering the received packets and inserting the MAC address in the Transmit Flow Control (Pause) Packets."
line.long 0x4 "MAC_ADDRESS0_LOW,The MAC Address0 Low register holds the lower 32 bits of the 6-byte first MAC address of the station."
hexmask.long 0x4 0.--31. 1. "ADDRLO,MAC Address0[31:0] This field contains the lower 32 bits of the first 6-byte MAC address. The MAC uses this field for filtering the received packets and inserting the MAC address in the Transmit Flow Control (Pause) Packets."
line.long 0x8 "MAC_ADDRESS1_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xC "MAC_ADDRESS1_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x10 "MAC_ADDRESS2_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x10 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x10 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x10 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x10 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x10 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x14 "MAC_ADDRESS2_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x14 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x18 "MAC_ADDRESS3_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x18 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x18 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x18 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x18 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x18 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x18 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x1C "MAC_ADDRESS3_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x1C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x20 "MAC_ADDRESS4_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x20 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x20 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x20 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x20 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x20 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x20 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x24 "MAC_ADDRESS4_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x24 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x28 "MAC_ADDRESS5_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x28 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x28 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x28 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x28 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x28 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x28 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x2C "MAC_ADDRESS5_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x2C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x30 "MAC_ADDRESS6_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x30 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x30 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x30 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x30 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x30 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x30 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x34 "MAC_ADDRESS6_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x34 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x38 "MAC_ADDRESS7_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x38 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x38 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x38 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x38 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x38 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x38 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x3C "MAC_ADDRESS7_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x3C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x40 "MAC_ADDRESS8_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x40 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x40 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x40 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x40 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x40 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x40 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x44 "MAC_ADDRESS8_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x44 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x48 "MAC_ADDRESS9_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x48 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x48 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x48 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x48 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x48 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x48 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x4C "MAC_ADDRESS9_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x4C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x50 "MAC_ADDRESS10_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x50 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x50 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x50 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x50 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x50 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x50 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x54 "MAC_ADDRESS10_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x54 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x58 "MAC_ADDRESS11_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x58 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x58 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x58 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x58 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x58 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x58 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x5C "MAC_ADDRESS11_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x5C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x60 "MAC_ADDRESS12_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x60 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x60 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x60 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x60 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x60 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x60 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x64 "MAC_ADDRESS12_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x64 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x68 "MAC_ADDRESS13_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x68 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x68 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x68 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x68 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x68 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x68 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x6C "MAC_ADDRESS13_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x6C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x70 "MAC_ADDRESS14_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x70 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x70 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x70 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x70 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x70 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x70 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x74 "MAC_ADDRESS14_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x74 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x78 "MAC_ADDRESS15_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x78 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x78 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x78 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x78 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x78 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x78 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x7C "MAC_ADDRESS15_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x7C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x80 "MAC_ADDRESS16_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x80 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x80 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x80 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x80 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x80 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x80 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x84 "MAC_ADDRESS16_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x84 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x88 "MAC_ADDRESS17_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x88 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x88 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x88 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x88 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x88 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x88 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x8C "MAC_ADDRESS17_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x8C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x90 "MAC_ADDRESS18_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x90 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x90 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x90 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x90 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x90 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x90 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x94 "MAC_ADDRESS18_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x94 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x98 "MAC_ADDRESS19_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x98 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x98 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x98 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x98 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x98 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x98 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x9C "MAC_ADDRESS19_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x9C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xA0 "MAC_ADDRESS20_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xA0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xA0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xA0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xA0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xA0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xA0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xA4 "MAC_ADDRESS20_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xA4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xA8 "MAC_ADDRESS21_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xA8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xA8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xA8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xA8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xA8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xA8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xAC "MAC_ADDRESS21_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xAC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xB0 "MAC_ADDRESS22_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xB0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xB0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xB0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xB0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xB0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xB0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xB4 "MAC_ADDRESS22_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xB4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xB8 "MAC_ADDRESS23_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xB8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xB8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xB8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xB8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xB8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xB8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xBC "MAC_ADDRESS23_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xBC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xC0 "MAC_ADDRESS24_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xC0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xC0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xC0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xC0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xC0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xC4 "MAC_ADDRESS24_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xC4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xC8 "MAC_ADDRESS25_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xC8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xC8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xC8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xC8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xC8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xCC "MAC_ADDRESS25_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xCC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xD0 "MAC_ADDRESS26_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xD0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xD0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xD0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xD0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xD0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xD0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xD4 "MAC_ADDRESS26_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xD4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xD8 "MAC_ADDRESS27_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xD8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xD8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xD8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xD8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xD8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xD8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xDC "MAC_ADDRESS27_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xDC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xE0 "MAC_ADDRESS28_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xE0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xE0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xE0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xE0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xE0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xE0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xE4 "MAC_ADDRESS28_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xE4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xE8 "MAC_ADDRESS29_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xE8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xE8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xE8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xE8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xE8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xE8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xEC "MAC_ADDRESS29_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xEC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xF0 "MAC_ADDRESS30_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xF0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xF0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xF0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xF0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xF0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xF0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xF4 "MAC_ADDRESS30_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xF4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xF8 "MAC_ADDRESS31_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xF8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xF8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xF8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xF8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xF8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xF8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xFC "MAC_ADDRESS31_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xFC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x100 "MAC_ADDRESS32_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x100 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x100 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x100 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x100 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x104 "MAC_ADDRESS32_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x104 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x108 "MAC_ADDRESS33_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x108 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x108 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x108 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x108 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x10C "MAC_ADDRESS33_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x10C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x110 "MAC_ADDRESS34_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x110 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x110 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x110 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x110 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x114 "MAC_ADDRESS34_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x114 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x118 "MAC_ADDRESS35_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x118 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x118 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x118 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x118 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x11C "MAC_ADDRESS35_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x11C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x120 "MAC_ADDRESS36_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x120 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x120 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x120 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x120 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x124 "MAC_ADDRESS36_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x124 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x128 "MAC_ADDRESS37_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x128 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x128 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x128 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x128 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x12C "MAC_ADDRESS37_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x12C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x130 "MAC_ADDRESS38_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x130 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x130 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x130 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x130 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x134 "MAC_ADDRESS38_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x134 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x138 "MAC_ADDRESS39_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x138 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x138 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x138 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x138 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x13C "MAC_ADDRESS39_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x13C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x140 "MAC_ADDRESS40_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x140 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x140 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x140 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x140 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x144 "MAC_ADDRESS40_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x144 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x148 "MAC_ADDRESS41_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x148 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x148 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x148 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x148 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x14C "MAC_ADDRESS41_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x14C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x150 "MAC_ADDRESS42_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x150 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x150 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x150 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x150 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x154 "MAC_ADDRESS42_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x154 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x158 "MAC_ADDRESS43_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x158 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x158 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x158 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x158 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x15C "MAC_ADDRESS43_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x15C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x160 "MAC_ADDRESS44_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x160 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x160 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x160 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x160 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x164 "MAC_ADDRESS44_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x164 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x168 "MAC_ADDRESS45_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x168 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x168 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x168 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x168 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x16C "MAC_ADDRESS45_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x16C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x170 "MAC_ADDRESS46_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x170 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x170 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x170 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x170 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x174 "MAC_ADDRESS46_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x174 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x178 "MAC_ADDRESS47_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x178 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x178 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x178 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x178 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x17C "MAC_ADDRESS47_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x17C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x180 "MAC_ADDRESS48_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x180 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x180 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x180 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x180 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x184 "MAC_ADDRESS48_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x184 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x188 "MAC_ADDRESS49_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x188 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x188 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x188 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x188 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x18C "MAC_ADDRESS49_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x18C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x190 "MAC_ADDRESS50_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x190 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x190 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x190 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x190 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x194 "MAC_ADDRESS50_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x194 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x198 "MAC_ADDRESS51_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x198 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x198 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x198 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x198 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x19C "MAC_ADDRESS51_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x19C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1A0 "MAC_ADDRESS52_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1A0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1A0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1A0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1A0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1A4 "MAC_ADDRESS52_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1A4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1A8 "MAC_ADDRESS53_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1A8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1A8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1A8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1A8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1AC "MAC_ADDRESS53_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1AC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1B0 "MAC_ADDRESS54_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1B0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1B0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1B0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1B0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1B4 "MAC_ADDRESS54_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1B4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1B8 "MAC_ADDRESS55_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1B8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1B8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1B8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1B8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1BC "MAC_ADDRESS55_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1BC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1C0 "MAC_ADDRESS56_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1C0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1C0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1C0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1C0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1C4 "MAC_ADDRESS56_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1C4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1C8 "MAC_ADDRESS57_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1C8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1C8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1C8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1C8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1CC "MAC_ADDRESS57_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1CC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1D0 "MAC_ADDRESS58_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1D0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1D0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1D0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1D0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1D4 "MAC_ADDRESS58_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1D4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1D8 "MAC_ADDRESS59_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1D8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1D8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1D8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1D8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1DC "MAC_ADDRESS59_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1DC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1E0 "MAC_ADDRESS60_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1E0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1E0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1E0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1E0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1E4 "MAC_ADDRESS60_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1E4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1E8 "MAC_ADDRESS61_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1E8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1E8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1E8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1E8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1EC "MAC_ADDRESS61_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1EC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1F0 "MAC_ADDRESS62_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1F0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1F0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1F0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1F0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1F4 "MAC_ADDRESS62_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1F4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1F8 "MAC_ADDRESS63_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1F8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1F8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1F8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1F8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1FC "MAC_ADDRESS63_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1FC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x200 "MAC_ADDRESS64_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x200 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x200 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x200 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x200 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x204 "MAC_ADDRESS64_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x204 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x208 "MAC_ADDRESS65_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x208 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x208 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x208 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x208 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x20C "MAC_ADDRESS65_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x20C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x210 "MAC_ADDRESS66_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x210 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x210 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x210 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x210 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x214 "MAC_ADDRESS66_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x214 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x218 "MAC_ADDRESS67_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x218 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x218 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x218 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x218 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x21C "MAC_ADDRESS67_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x21C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x220 "MAC_ADDRESS68_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x220 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x220 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x220 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x220 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x224 "MAC_ADDRESS68_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x224 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x228 "MAC_ADDRESS69_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x228 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x228 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x228 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x228 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x22C "MAC_ADDRESS69_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x22C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x230 "MAC_ADDRESS70_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x230 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x230 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x230 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x230 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x234 "MAC_ADDRESS70_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x234 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x238 "MAC_ADDRESS71_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x238 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x238 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x238 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x238 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x23C "MAC_ADDRESS71_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x23C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x240 "MAC_ADDRESS72_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x240 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x240 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x240 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x240 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x244 "MAC_ADDRESS72_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x244 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x248 "MAC_ADDRESS73_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x248 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x248 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x248 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x248 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x24C "MAC_ADDRESS73_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x24C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x250 "MAC_ADDRESS74_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x250 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x250 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x250 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x250 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x254 "MAC_ADDRESS74_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x254 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x258 "MAC_ADDRESS75_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x258 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x258 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x258 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x258 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x25C "MAC_ADDRESS75_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x25C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x260 "MAC_ADDRESS76_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x260 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x260 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x260 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x260 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x264 "MAC_ADDRESS76_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x264 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x268 "MAC_ADDRESS77_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x268 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x268 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x268 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x268 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x26C "MAC_ADDRESS77_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x26C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x270 "MAC_ADDRESS78_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x270 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x270 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x270 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x270 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x274 "MAC_ADDRESS78_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x274 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x278 "MAC_ADDRESS79_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x278 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x278 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x278 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x278 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x27C "MAC_ADDRESS79_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x27C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x280 "MAC_ADDRESS80_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x280 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x280 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x280 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x280 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x284 "MAC_ADDRESS80_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x284 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x288 "MAC_ADDRESS81_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x288 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x288 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x288 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x288 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x28C "MAC_ADDRESS81_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x28C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x290 "MAC_ADDRESS82_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x290 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x290 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x290 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x290 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x294 "MAC_ADDRESS82_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x294 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x298 "MAC_ADDRESS83_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x298 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x298 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x298 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x298 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x29C "MAC_ADDRESS83_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x29C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2A0 "MAC_ADDRESS84_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2A0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2A0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2A0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2A0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2A4 "MAC_ADDRESS84_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2A4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2A8 "MAC_ADDRESS85_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2A8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2A8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2A8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2A8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2AC "MAC_ADDRESS85_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2AC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2B0 "MAC_ADDRESS86_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2B0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2B0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2B0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2B0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2B4 "MAC_ADDRESS86_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2B4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2B8 "MAC_ADDRESS87_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2B8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2B8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2B8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2B8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2BC "MAC_ADDRESS87_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2BC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2C0 "MAC_ADDRESS88_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2C0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2C0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2C0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2C0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2C4 "MAC_ADDRESS88_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2C4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2C8 "MAC_ADDRESS89_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2C8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2C8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2C8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2C8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2CC "MAC_ADDRESS89_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2CC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2D0 "MAC_ADDRESS90_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2D0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2D0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2D0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2D0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2D4 "MAC_ADDRESS90_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2D4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2D8 "MAC_ADDRESS91_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2D8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2D8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2D8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2D8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2DC "MAC_ADDRESS91_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2DC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2E0 "MAC_ADDRESS92_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2E0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2E0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2E0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2E0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2E4 "MAC_ADDRESS92_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2E4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2E8 "MAC_ADDRESS93_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2E8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2E8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2E8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2E8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2EC "MAC_ADDRESS93_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2EC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2F0 "MAC_ADDRESS94_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2F0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2F0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2F0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2F0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2F4 "MAC_ADDRESS94_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2F4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2F8 "MAC_ADDRESS95_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2F8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2F8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2F8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2F8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2FC "MAC_ADDRESS95_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2FC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x300 "MAC_ADDRESS96_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x300 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x300 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x300 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x300 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x304 "MAC_ADDRESS96_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x304 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x308 "MAC_ADDRESS97_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x308 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x308 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x308 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x308 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x30C "MAC_ADDRESS97_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x30C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x310 "MAC_ADDRESS98_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x310 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x310 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x310 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x310 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x314 "MAC_ADDRESS98_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x314 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x318 "MAC_ADDRESS99_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x318 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x318 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x318 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x318 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x31C "MAC_ADDRESS99_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x31C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x320 "MAC_ADDRESS100_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x320 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x320 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x320 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x320 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x324 "MAC_ADDRESS100_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x324 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x328 "MAC_ADDRESS101_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x328 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x328 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x328 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x328 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x32C "MAC_ADDRESS101_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x32C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x330 "MAC_ADDRESS102_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x330 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x330 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x330 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x330 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x334 "MAC_ADDRESS102_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x334 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x338 "MAC_ADDRESS103_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x338 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x338 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x338 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x338 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x33C "MAC_ADDRESS103_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x33C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x340 "MAC_ADDRESS104_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x340 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x340 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x340 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x340 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x344 "MAC_ADDRESS104_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x344 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x348 "MAC_ADDRESS105_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x348 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x348 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x348 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x348 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x34C "MAC_ADDRESS105_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x34C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x350 "MAC_ADDRESS106_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x350 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x350 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x350 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x350 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x354 "MAC_ADDRESS106_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x354 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x358 "MAC_ADDRESS107_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x358 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x358 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x358 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x358 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x35C "MAC_ADDRESS107_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x35C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x360 "MAC_ADDRESS108_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x360 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x360 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x360 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x360 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x364 "MAC_ADDRESS108_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x364 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x368 "MAC_ADDRESS109_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x368 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x368 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x368 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x368 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x36C "MAC_ADDRESS109_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x36C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x370 "MAC_ADDRESS110_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x370 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x370 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x370 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x370 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x374 "MAC_ADDRESS110_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x374 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x378 "MAC_ADDRESS111_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x378 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x378 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x378 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x378 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x37C "MAC_ADDRESS111_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x37C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x380 "MAC_ADDRESS112_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x380 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x380 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x380 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x380 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x384 "MAC_ADDRESS112_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x384 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x388 "MAC_ADDRESS113_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x388 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x388 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x388 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x388 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x38C "MAC_ADDRESS113_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x38C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x390 "MAC_ADDRESS114_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x390 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x390 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x390 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x390 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x394 "MAC_ADDRESS114_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x394 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x398 "MAC_ADDRESS115_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x398 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x398 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x398 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x398 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x39C "MAC_ADDRESS115_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x39C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3A0 "MAC_ADDRESS116_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3A0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3A0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3A0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3A0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3A4 "MAC_ADDRESS116_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3A4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3A8 "MAC_ADDRESS117_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3A8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3A8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3A8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3A8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3AC "MAC_ADDRESS117_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3AC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3B0 "MAC_ADDRESS118_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3B0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3B0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3B0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3B0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3B4 "MAC_ADDRESS118_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3B4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3B8 "MAC_ADDRESS119_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3B8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3B8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3B8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3B8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3BC "MAC_ADDRESS119_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3BC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3C0 "MAC_ADDRESS120_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3C0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3C0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3C0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3C0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3C4 "MAC_ADDRESS120_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3C4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3C8 "MAC_ADDRESS121_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3C8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3C8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3C8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3C8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3CC "MAC_ADDRESS121_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3CC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3D0 "MAC_ADDRESS122_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3D0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3D0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3D0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3D0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3D4 "MAC_ADDRESS122_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3D4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3D8 "MAC_ADDRESS123_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3D8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3D8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3D8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3D8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3DC "MAC_ADDRESS123_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3DC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3E0 "MAC_ADDRESS124_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3E0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3E0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3E0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3E0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3E4 "MAC_ADDRESS124_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3E4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3E8 "MAC_ADDRESS125_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3E8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3E8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3E8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3E8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3EC "MAC_ADDRESS125_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3EC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3F0 "MAC_ADDRESS126_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3F0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3F0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3F0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3F0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3F4 "MAC_ADDRESS126_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3F4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3F8 "MAC_ADDRESS127_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3F8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3F8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3F8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3F8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3FC "MAC_ADDRESS127_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3FC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x400 "MMC_CONTROL,This register establishes the operating mode of MMC."
hexmask.long.tbyte 0x400 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x400 8. "UCDBC,Update MMC Counters for Dropped Broadcast Packets Note: The CNTRST bit has a higher priority than the CNTPRST bit. Therefore when the software tries to set both bits in the same write cycle all counters are cleared and the CNTPRST bit is not set." "Update MMC Counters for Dropped Broadcast..,Update MMC Counters for Dropped Broadcast.."
newline
rbitfld.long 0x400 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x400 5. "CNTPRSTLVL,Full-Half Preset When this bit is low and the CNTPRST bit is set all MMC counters get preset to almost-half value. All octet counters get preset to 0x7FFF_F800 (Half 2KBytes) and all packet-counters gets preset to 0x7FFF_FFF0 (Half 16). When.." "Full-Half Preset is disabled,Full-Half Preset is enabled"
newline
bitfld.long 0x400 4. "CNTPRST,Counters Preset When this bit is set all counters are initialized or preset to almost full or almost half according to the CNTPRSTLVL bit. This bit is cleared automatically after 1 clock cycle. This bit along with the CNTPRSTLVL bit is useful.." "Counters Preset is disabled,Counters Preset is enabled"
newline
bitfld.long 0x400 3. "CNTFREEZ,MMC Counter Freeze When this bit is set it freezes all MMC counters to their current value. Until this bit is reset to 0 no MMC counter is updated because of any transmitted or received packet. If any MMC counter is read with the Reset on Read.." "MMC Counter Freeze is disabled,MMC Counter Freeze is enabled"
newline
bitfld.long 0x400 2. "RSTONRD,Reset on Read When this bit is set the MMC counters are reset to zero after Read (self-clearing after reset). The counters are cleared when the least significant byte lane (Bits[7:0]) is read. 0x0: Reset on Read is disabled 0x1: Reset on Read is.." "Reset on Read is disabled,Reset on Read is enabled"
newline
bitfld.long 0x400 1. "CNTSTOPRO,Counter Stop Rollover When this bit is set the counter does not roll over to zero after reaching the maximum value. 0x0: Counter Stop Rollover is disabled 0x1: Counter Stop Rollover is enabled" "Counter Stop Rollover is disabled,Counter Stop Rollover is enabled"
newline
bitfld.long 0x400 0. "CNTRST,Counters Reset When this bit is set all counters are reset. This bit is cleared automatically after 1 clock cycle. Access restriction applies. Self-cleared. Setting 0 clears. Setting 1 sets. 0x0: Counters are not reset 0x1: All counters are reset" "Counters are not reset,All counters are reset"
rgroup.long 0x704++0x7
line.long 0x0 "MMC_RX_INTERRUPT,This register maintains the interrupts generated from all Receive statistics counters. The MMC Receive Interrupt register maintains the interrupts that are generated when the following occur: - Receive statistic counters reach half of.."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "RXLPITRCIS,MMC Receive LPI transition counter interrupt status This bit is set when the Rx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive LPI transition Counter Interrupt..,MMC Receive LPI transition Counter Interrupt.."
newline
bitfld.long 0x0 26. "RXLPIUSCIS,MMC Receive LPI microsecond counter interrupt status This bit is set when the Rx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive LPI microsecond Counter Interrupt..,MMC Receive LPI microsecond Counter Interrupt.."
newline
bitfld.long 0x0 25. "RXCTRLPIS,MMC Receive Control Packet Counter Interrupt Status This bit is set when the rxctrlpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Control Packet Counter Interrupt..,MMC Receive Control Packet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXRCVERRPIS,MMC Receive Error Packet Counter Interrupt Status This bit is set when the rxrcverror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Error Packet Counter Interrupt..,MMC Receive Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 23. "RXWDOGPIS,MMC Receive Watchdog Error Packet Counter Interrupt Status This bit is set when the rxwatchdog error counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Watchdog Error Packet Counter..,MMC Receive Watchdog Error Packet Counter.."
newline
bitfld.long 0x0 22. "RXVLANGBPIS,MMC Receive VLAN Good Bad Packet Counter Interrupt Status This bit is set when the rxvlanpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive VLAN Good Bad Packet Counter..,MMC Receive VLAN Good Bad Packet Counter.."
newline
bitfld.long 0x0 21. "RXFOVPIS,MMC Receive FIFO Overflow Packet Counter Interrupt Status This bit is set when the rxfifooverflow counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive FIFO Overflow Packet Counter..,MMC Receive FIFO Overflow Packet Counter.."
newline
bitfld.long 0x0 20. "RXPAUSPIS,MMC Receive Pause Packet Counter Interrupt Status This bit is set when the rxpausepackets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Pause Packet Counter Interrupt..,MMC Receive Pause Packet Counter Interrupt.."
newline
bitfld.long 0x0 19. "RXORANGEPIS,MMC Receive Out Of Range Error Packet Counter Interrupt Status. This bit is set when the rxoutofrangetype counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive Out Of Range Error Packet Counter..,MMC Receive Out Of Range Error Packet Counter.."
newline
bitfld.long 0x0 18. "RXLENERPIS,MMC Receive Length Error Packet Counter Interrupt Status This bit is set when the rxlengtherror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive Length Error Packet Counter..,MMC Receive Length Error Packet Counter.."
newline
bitfld.long 0x0 17. "RXUCGPIS,MMC Receive Unicast Good Packet Counter Interrupt Status This bit is set when the rxunicastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Unicast Good Packet Counter..,MMC Receive Unicast Good Packet Counter.."
newline
bitfld.long 0x0 16. "RX1024TMAXOCTGBPIS,MMC Receive 1024 to Maximum Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read." "MMC Receive 1024 to Maximum Octet Good Bad..,MMC Receive 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x0 15. "RX512T1023OCTGBPIS,MMC Receive 512 to 1023 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx512to1023octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set.." "MMC Receive 512 to 1023 Octet Good Bad Packet..,MMC Receive 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x0 14. "RX256T511OCTGBPIS,MMC Receive 256 to 511 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx256to511octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1.." "MMC Receive 256 to 511 Octet Good Bad Packet..,MMC Receive 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x0 13. "RX128T255OCTGBPIS,MMC Receive 128 to 255 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx128to255octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1.." "MMC Receive 128 to 255 Octet Good Bad Packet..,MMC Receive 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x0 12. "RX65T127OCTGBPIS,MMC Receive 65 to 127 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx65to127octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on.." "MMC Receive 65 to 127 Octet Good Bad Packet..,MMC Receive 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x0 11. "RX64OCTGBPIS,MMC Receive 64 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx64octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive 64 Octet Good Bad Packet Counter..,MMC Receive 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x0 10. "RXOSIZEGPIS,MMC Receive Oversize Good Packet Counter Interrupt Status This bit is set when the rxoversize_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive Oversize Good Packet Counter..,MMC Receive Oversize Good Packet Counter.."
newline
bitfld.long 0x0 9. "RXUSIZEGPIS,MMC Receive Undersize Good Packet Counter Interrupt Status This bit is set when the rxundersize_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Undersize Good Packet Counter..,MMC Receive Undersize Good Packet Counter.."
newline
bitfld.long 0x0 8. "RXJABERPIS,MMC Receive Jabber Error Packet Counter Interrupt Status This bit is set when the rxjabbererror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive Jabber Error Packet Counter..,MMC Receive Jabber Error Packet Counter.."
newline
bitfld.long 0x0 7. "RXRUNTPIS,MMC Receive Runt Packet Counter Interrupt Status This bit is set when the rxrunterror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC Receive.." "MMC Receive Runt Packet Counter Interrupt Status..,MMC Receive Runt Packet Counter Interrupt Status.."
newline
bitfld.long 0x0 6. "RXALGNERPIS,MMC Receive Alignment Error Packet Counter Interrupt Status This bit is set when the rxalignmenterror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Alignment Error Packet Counter..,MMC Receive Alignment Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXCRCERPIS,MMC Receive CRC Error Packet Counter Interrupt Status This bit is set when the rxcrcerror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive CRC Error Packet Counter Interrupt..,MMC Receive CRC Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXMCGPIS,MMC Receive Multicast Good Packet Counter Interrupt Status This bit is set when the rxmulticastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Multicast Good Packet Counter..,MMC Receive Multicast Good Packet Counter.."
newline
bitfld.long 0x0 3. "RXBCGPIS,MMC Receive Broadcast Good Packet Counter Interrupt Status This bit is set when the rxbroadcastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Broadcast Good Packet Counter..,MMC Receive Broadcast Good Packet Counter.."
newline
bitfld.long 0x0 2. "RXGOCTIS,MMC Receive Good Octet Counter Interrupt Status This bit is set when the rxoctetcount_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC Receive.." "MMC Receive Good Octet Counter Interrupt Status..,MMC Receive Good Octet Counter Interrupt Status.."
newline
bitfld.long 0x0 1. "RXGBOCTIS,MMC Receive Good Bad Octet Counter Interrupt Status This bit is set when the rxoctetcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Good Bad Octet Counter Interrupt..,MMC Receive Good Bad Octet Counter Interrupt.."
newline
bitfld.long 0x0 0. "RXGBPKTIS,MMC Receive Good Bad Packet Counter Interrupt Status This bit is set when the rxpacketcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Good Bad Packet Counter Interrupt..,MMC Receive Good Bad Packet Counter Interrupt.."
line.long 0x4 "MMC_TX_INTERRUPT,This register maintains the interrupts generated from all Transmit statistics counters. The MMC Transmit Interrupt register maintains the interrupts generated when transmit statistic counters reach half their maximum values (0x8000_0000.."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x4 27. "TXLPITRCIS,MMC Transmit LPI transition counter interrupt status This bit is set when the Tx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit LPI transition Counter Interrupt..,MMC Transmit LPI transition Counter Interrupt.."
newline
bitfld.long 0x4 26. "TXLPIUSCIS,MMC Transmit LPI microsecond counter interrupt status This bit is set when the Tx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit LPI microsecond Counter Interrupt..,MMC Transmit LPI microsecond Counter Interrupt.."
newline
bitfld.long 0x4 25. "TXOSIZEGPIS,MMC Transmit Oversize Good Packet Counter Interrupt Status This bit is set when the txoversize_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Oversize Good Packet Counter..,MMC Transmit Oversize Good Packet Counter.."
newline
bitfld.long 0x4 24. "TXVLANGPIS,MMC Transmit VLAN Good Packet Counter Interrupt Status This bit is set when the txvlanpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit VLAN Good Packet Counter Interrupt..,MMC Transmit VLAN Good Packet Counter Interrupt.."
newline
bitfld.long 0x4 23. "TXPAUSPIS,MMC Transmit Pause Packet Counter Interrupt Status This bit is set when the txpausepacketserror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Pause Packet Counter Interrupt..,MMC Transmit Pause Packet Counter Interrupt.."
newline
bitfld.long 0x4 22. "TXEXDEFPIS,MMC Transmit Excessive Deferral Packet Counter Interrupt Status This bit is set when the txexcessdef counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Transmit Excessive Deferral Packet Counter..,MMC Transmit Excessive Deferral Packet Counter.."
newline
bitfld.long 0x4 21. "TXGPKTIS,MMC Transmit Good Packet Counter Interrupt Status This bit is set when the txpacketcount_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Good Packet Counter Interrupt..,MMC Transmit Good Packet Counter Interrupt.."
newline
bitfld.long 0x4 20. "TXGOCTIS,MMC Transmit Good Octet Counter Interrupt Status This bit is set when the txoctetcount_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Good Octet Counter Interrupt Status..,MMC Transmit Good Octet Counter Interrupt Status.."
newline
bitfld.long 0x4 19. "TXCARERPIS,MMC Transmit Carrier Error Packet Counter Interrupt Status This bit is set when the txcarriererror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Transmit Carrier Error Packet Counter..,MMC Transmit Carrier Error Packet Counter.."
newline
bitfld.long 0x4 18. "TXEXCOLPIS,MMC Transmit Excessive Collision Packet Counter Interrupt Status This bit is set when the txexesscol counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Transmit Excessive Collision Packet Counter..,MMC Transmit Excessive Collision Packet Counter.."
newline
bitfld.long 0x4 17. "TXLATCOLPIS,MMC Transmit Late Collision Packet Counter Interrupt Status This bit is set when the txlatecol counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Late Collision Packet Counter..,MMC Transmit Late Collision Packet Counter.."
newline
bitfld.long 0x4 16. "TXDEFPIS,MMC Transmit Deferred Packet Counter Interrupt Status This bit is set when the txdeferred counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Deferred Packet Counter Interrupt..,MMC Transmit Deferred Packet Counter Interrupt.."
newline
bitfld.long 0x4 15. "TXMCOLGPIS,MMC Transmit Multiple Collision Good Packet Counter Interrupt Status This bit is set when the txmulticol_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Multiple Collision Good Packet..,MMC Transmit Multiple Collision Good Packet.."
newline
bitfld.long 0x4 14. "TXSCOLGPIS,MMC Transmit Single Collision Good Packet Counter Interrupt Status This bit is set when the txsinglecol_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Single Collision Good Packet..,MMC Transmit Single Collision Good Packet.."
newline
bitfld.long 0x4 13. "TXUFLOWERPIS,MMC Transmit Underflow Error Packet Counter Interrupt Status This bit is set when the txunderflowerror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Underflow Error Packet Counter..,MMC Transmit Underflow Error Packet Counter.."
newline
bitfld.long 0x4 12. "TXBCGBPIS,MMC Transmit Broadcast Good Bad Packet Counter Interrupt Status This bit is set when the txbroadcastpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Broadcast Good Bad Packet Counter..,MMC Transmit Broadcast Good Bad Packet Counter.."
newline
bitfld.long 0x4 11. "TXMCGBPIS,MMC Transmit Multicast Good Bad Packet Counter Interrupt Status The bit is set when the txmulticastpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Multicast Good Bad Packet Counter..,MMC Transmit Multicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 10. "TXUCGBPIS,MMC Transmit Unicast Good Bad Packet Counter Interrupt Status This bit is set when the txunicastpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Unicast Good Bad Packet Counter..,MMC Transmit Unicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 9. "TX1024TMAXOCTGBPIS,MMC Transmit 1024 to Maximum Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read." "MMC Transmit 1024 to Maximum Octet Good Bad..,MMC Transmit 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x4 8. "TX512T1023OCTGBPIS,MMC Transmit 512 to 1023 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx512to1023octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set.." "MMC Transmit 512 to 1023 Octet Good Bad Packet..,MMC Transmit 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x4 7. "TX256T511OCTGBPIS,MMC Transmit 256 to 511 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx256to511octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to.." "MMC Transmit 256 to 511 Octet Good Bad Packet..,MMC Transmit 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x4 6. "TX128T255OCTGBPIS,MMC Transmit 128 to 255 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx128to255octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to.." "MMC Transmit 128 to 255 Octet Good Bad Packet..,MMC Transmit 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x4 5. "TX65T127OCTGBPIS,MMC Transmit 65 to 127 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx65to127octets_gb counter reaches half the maximum value and also when it reaches the maximum value. Access restriction applies. Clears on.." "MMC Transmit 65 to 127 Octet Good Bad Packet..,MMC Transmit 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x4 4. "TX64OCTGBPIS,MMC Transmit 64 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx64octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit 64 Octet Good Bad Packet Counter..,MMC Transmit 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x4 3. "TXMCGPIS,MMC Transmit Multicast Good Packet Counter Interrupt Status This bit is set when the txmulticastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Multicast Good Packet Counter..,MMC Transmit Multicast Good Packet Counter.."
newline
bitfld.long 0x4 2. "TXBCGPIS,MMC Transmit Broadcast Good Packet Counter Interrupt Status This bit is set when the txbroadcastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Broadcast Good Packet Counter..,MMC Transmit Broadcast Good Packet Counter.."
newline
bitfld.long 0x4 1. "TXGBPKTIS,MMC Transmit Good Bad Packet Counter Interrupt Status This bit is set when the txpacketcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Good Bad Packet Counter Interrupt..,MMC Transmit Good Bad Packet Counter Interrupt.."
newline
bitfld.long 0x4 0. "TXGBOCTIS,MMC Transmit Good Bad Octet Counter Interrupt Status This bit is set when the txoctetcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Good Bad Octet Counter Interrupt..,MMC Transmit Good Bad Octet Counter Interrupt.."
group.long 0x70C++0x7
line.long 0x0 "MMC_RX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all Receive statistics counters. The MMC Receive Interrupt Mask register maintains the masks for the interrupts generated when receive statistic counters reach half of.."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "RXLPITRCIM,MMC Receive LPI transition counter interrupt Mask Setting this bit masks the interrupt when the Rx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive LPI transition counter interrupt Mask is disabled.." "MMC Receive LPI transition counter interrupt..,MMC Receive LPI transition counter interrupt.."
newline
bitfld.long 0x0 26. "RXLPIUSCIM,MMC Receive LPI microsecond counter interrupt Mask Setting this bit masks the interrupt when the Rx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive LPI microsecond counter interrupt Mask is.." "MMC Receive LPI microsecond counter interrupt..,MMC Receive LPI microsecond counter interrupt.."
newline
bitfld.long 0x0 25. "RXCTRLPIM,MMC Receive Control Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxctrlpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Control Packet Counter Interrupt Mask is disabled.." "MMC Receive Control Packet Counter Interrupt..,MMC Receive Control Packet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXRCVERRPIM,MMC Receive Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxrcverror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Error Packet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Receive Error Packet Counter Interrupt Mask..,MMC Receive Error Packet Counter Interrupt Mask.."
newline
bitfld.long 0x0 23. "RXWDOGPIM,MMC Receive Watchdog Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxwatchdog counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Watchdog Error Packet Counter Interrupt Mask is.." "MMC Receive Watchdog Error Packet Counter..,MMC Receive Watchdog Error Packet Counter.."
newline
bitfld.long 0x0 22. "RXVLANGBPIM,MMC Receive VLAN Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxvlanpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive VLAN Good Bad Packet Counter Interrupt.." "MMC Receive VLAN Good Bad Packet Counter..,MMC Receive VLAN Good Bad Packet Counter.."
newline
bitfld.long 0x0 21. "RXFOVPIM,MMC Receive FIFO Overflow Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxfifooverflow counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive FIFO Overflow Packet Counter Interrupt Mask is.." "MMC Receive FIFO Overflow Packet Counter..,MMC Receive FIFO Overflow Packet Counter.."
newline
bitfld.long 0x0 20. "RXPAUSPIM,MMC Receive Pause Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxpausepackets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Pause Packet Counter Interrupt Mask is disabled 0x1:.." "MMC Receive Pause Packet Counter Interrupt Mask..,MMC Receive Pause Packet Counter Interrupt Mask.."
newline
bitfld.long 0x0 19. "RXORANGEPIM,MMC Receive Out Of Range Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoutofrangetype counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Out Of Range Error Packet Counter.." "MMC Receive Out Of Range Error Packet Counter..,MMC Receive Out Of Range Error Packet Counter.."
newline
bitfld.long 0x0 18. "RXLENERPIM,MMC Receive Length Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxlengtherror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Length Error Packet Counter Interrupt Mask is.." "MMC Receive Length Error Packet Counter..,MMC Receive Length Error Packet Counter.."
newline
bitfld.long 0x0 17. "RXUCGPIM,MMC Receive Unicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxunicastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Unicast Good Packet Counter Interrupt Mask.." "MMC Receive Unicast Good Packet Counter..,MMC Receive Unicast Good Packet Counter.."
newline
bitfld.long 0x0 16. "RX1024TMAXOCTGBPIM,MMC Receive 1024 to Maximum Octet Good Bad Packet Counter Interrupt Mask. Setting this bit masks the interrupt when the rx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 1024 to.." "MMC Receive 1024 to Maximum Octet Good Bad..,MMC Receive 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x0 15. "RX512T1023OCTGBPIM,MMC Receive 512 to 1023 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx512to1023octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 512 to 1023 Octet.." "MMC Receive 512 to 1023 Octet Good Bad Packet..,MMC Receive 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x0 14. "RX256T511OCTGBPIM,MMC Receive 256 to 511 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx256to511octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 256 to 511 Octet Good.." "MMC Receive 256 to 511 Octet Good Bad Packet..,MMC Receive 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x0 13. "RX128T255OCTGBPIM,MMC Receive 128 to 255 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx128to255octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 128 to 255 Octet Good.." "MMC Receive 128 to 255 Octet Good Bad Packet..,MMC Receive 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x0 12. "RX65T127OCTGBPIM,MMC Receive 65 to 127 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx65to127octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 65 to 127 Octet Good Bad.." "MMC Receive 65 to 127 Octet Good Bad Packet..,MMC Receive 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x0 11. "RX64OCTGBPIM,MMC Receive 64 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx64octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 64 Octet Good Bad Packet Counter.." "MMC Receive 64 Octet Good Bad Packet Counter..,MMC Receive 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x0 10. "RXOSIZEGPIM,MMC Receive Oversize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoversize_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Oversize Good Packet Counter Interrupt Mask is.." "MMC Receive Oversize Good Packet Counter..,MMC Receive Oversize Good Packet Counter.."
newline
bitfld.long 0x0 9. "RXUSIZEGPIM,MMC Receive Undersize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxundersize_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Undersize Good Packet Counter Interrupt Mask.." "MMC Receive Undersize Good Packet Counter..,MMC Receive Undersize Good Packet Counter.."
newline
bitfld.long 0x0 8. "RXJABERPIM,MMC Receive Jabber Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxjabbererror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Jabber Error Packet Counter Interrupt Mask is.." "MMC Receive Jabber Error Packet Counter..,MMC Receive Jabber Error Packet Counter.."
newline
bitfld.long 0x0 7. "RXRUNTPIM,MMC Receive Runt Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxrunterror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Runt Packet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Receive Runt Packet Counter Interrupt Mask..,MMC Receive Runt Packet Counter Interrupt Mask.."
newline
bitfld.long 0x0 6. "RXALGNERPIM,MMC Receive Alignment Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxalignmenterror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Alignment Error Packet Counter Interrupt.." "MMC Receive Alignment Error Packet Counter..,MMC Receive Alignment Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXCRCERPIM,MMC Receive CRC Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxcrcerror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive CRC Error Packet Counter Interrupt Mask is disabled.." "MMC Receive CRC Error Packet Counter Interrupt..,MMC Receive CRC Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXMCGPIM,MMC Receive Multicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxmulticastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Multicast Good Packet Counter Interrupt.." "MMC Receive Multicast Good Packet Counter..,MMC Receive Multicast Good Packet Counter.."
newline
bitfld.long 0x0 3. "RXBCGPIM,MMC Receive Broadcast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxbroadcastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Broadcast Good Packet Counter Interrupt.." "MMC Receive Broadcast Good Packet Counter..,MMC Receive Broadcast Good Packet Counter.."
newline
bitfld.long 0x0 2. "RXGOCTIM,MMC Receive Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoctetcount_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Good Octet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Receive Good Octet Counter Interrupt Mask is..,MMC Receive Good Octet Counter Interrupt Mask is.."
newline
bitfld.long 0x0 1. "RXGBOCTIM,MMC Receive Good Bad Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoctetcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Good Bad Octet Counter Interrupt Mask is disabled.." "MMC Receive Good Bad Octet Counter Interrupt..,MMC Receive Good Bad Octet Counter Interrupt.."
newline
bitfld.long 0x0 0. "RXGBPKTIM,MMC Receive Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxpacketcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Good Bad Packet Counter Interrupt Mask is.." "MMC Receive Good Bad Packet Counter Interrupt..,MMC Receive Good Bad Packet Counter Interrupt.."
line.long 0x4 "MMC_TX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all Transmit statistics counters. The MMC Transmit Interrupt Mask register maintains the masks for the interrupts generated when the transmit statistic counters reach.."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x4 27. "TXLPITRCIM,MMC Transmit LPI transition counter interrupt Mask Setting this bit masks the interrupt when the Tx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit LPI transition counter interrupt Mask is.." "MMC Transmit LPI transition counter interrupt..,MMC Transmit LPI transition counter interrupt.."
newline
bitfld.long 0x4 26. "TXLPIUSCIM,MMC Transmit LPI microsecond counter interrupt Mask Setting this bit masks the interrupt when the Tx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit LPI microsecond counter interrupt Mask is.." "MMC Transmit LPI microsecond counter interrupt..,MMC Transmit LPI microsecond counter interrupt.."
newline
bitfld.long 0x4 25. "TXOSIZEGPIM,MMC Transmit Oversize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txoversize_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Oversize Good Packet Counter Interrupt Mask.." "MMC Transmit Oversize Good Packet Counter..,MMC Transmit Oversize Good Packet Counter.."
newline
bitfld.long 0x4 24. "TXVLANGPIM,MMC Transmit VLAN Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txvlanpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit VLAN Good Packet Counter Interrupt Mask is.." "MMC Transmit VLAN Good Packet Counter Interrupt..,MMC Transmit VLAN Good Packet Counter Interrupt.."
newline
bitfld.long 0x4 23. "TXPAUSPIM,MMC Transmit Pause Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpausepackets counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Pause Packet Counter Interrupt Mask is disabled 0x1:.." "MMC Transmit Pause Packet Counter Interrupt Mask..,MMC Transmit Pause Packet Counter Interrupt Mask.."
newline
bitfld.long 0x4 22. "TXEXDEFPIM,MMC Transmit Excessive Deferral Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txexcessdef counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Excessive Deferral Packet Counter.." "MMC Transmit Excessive Deferral Packet Counter..,MMC Transmit Excessive Deferral Packet Counter.."
newline
bitfld.long 0x4 21. "TXGPKTIM,MMC Transmit Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpacketcount_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Packet Counter Interrupt Mask is disabled 0x1:.." "MMC Transmit Good Packet Counter Interrupt Mask..,MMC Transmit Good Packet Counter Interrupt Mask.."
newline
bitfld.long 0x4 20. "TXGOCTIM,MMC Transmit Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the txoctetcount_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Octet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Transmit Good Octet Counter Interrupt Mask..,MMC Transmit Good Octet Counter Interrupt Mask.."
newline
bitfld.long 0x4 19. "TXCARERPIM,MMC Transmit Carrier Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txcarriererror counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Carrier Error Packet Counter Interrupt Mask.." "MMC Transmit Carrier Error Packet Counter..,MMC Transmit Carrier Error Packet Counter.."
newline
bitfld.long 0x4 18. "TXEXCOLPIM,MMC Transmit Excessive Collision Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txexcesscol counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Excessive Collision Packet Counter.." "MMC Transmit Excessive Collision Packet Counter..,MMC Transmit Excessive Collision Packet Counter.."
newline
bitfld.long 0x4 17. "TXLATCOLPIM,MMC Transmit Late Collision Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txlatecol counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Late Collision Packet Counter Interrupt Mask.." "MMC Transmit Late Collision Packet Counter..,MMC Transmit Late Collision Packet Counter.."
newline
bitfld.long 0x4 16. "TXDEFPIM,MMC Transmit Deferred Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txdeferred counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Deferred Packet Counter Interrupt Mask is disabled.." "MMC Transmit Deferred Packet Counter Interrupt..,MMC Transmit Deferred Packet Counter Interrupt.."
newline
bitfld.long 0x4 15. "TXMCOLGPIM,MMC Transmit Multiple Collision Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticol_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Multiple Collision Good Packet.." "MMC Transmit Multiple Collision Good Packet..,MMC Transmit Multiple Collision Good Packet.."
newline
bitfld.long 0x4 14. "TXSCOLGPIM,MMC Transmit Single Collision Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txsinglecol_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Single Collision Good Packet Counter.." "MMC Transmit Single Collision Good Packet..,MMC Transmit Single Collision Good Packet.."
newline
bitfld.long 0x4 13. "TXUFLOWERPIM,MMC Transmit Underflow Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txunderflowerror counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Underflow Error Packet Counter.." "MMC Transmit Underflow Error Packet Counter..,MMC Transmit Underflow Error Packet Counter.."
newline
bitfld.long 0x4 12. "TXBCGBPIM,MMC Transmit Broadcast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txbroadcastpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Broadcast Good Bad Packet.." "MMC Transmit Broadcast Good Bad Packet Counter..,MMC Transmit Broadcast Good Bad Packet Counter.."
newline
bitfld.long 0x4 11. "TXMCGBPIM,MMC Transmit Multicast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticastpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Multicast Good Bad Packet.." "MMC Transmit Multicast Good Bad Packet Counter..,MMC Transmit Multicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 10. "TXUCGBPIM,MMC Transmit Unicast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txunicastpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Unicast Good Bad Packet Counter.." "MMC Transmit Unicast Good Bad Packet Counter..,MMC Transmit Unicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 9. "TX1024TMAXOCTGBPIM,MMC Transmit 1024 to Maximum Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 1024 to.." "MMC Transmit 1024 to Maximum Octet Good Bad..,MMC Transmit 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x4 8. "TX512T1023OCTGBPIM,MMC Transmit 512 to 1023 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx512to1023octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 512 to 1023.." "MMC Transmit 512 to 1023 Octet Good Bad Packet..,MMC Transmit 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x4 7. "TX256T511OCTGBPIM,MMC Transmit 256 to 511 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx256to511octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 256 to 511 Octet.." "MMC Transmit 256 to 511 Octet Good Bad Packet..,MMC Transmit 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x4 6. "TX128T255OCTGBPIM,MMC Transmit 128 to 255 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx128to255octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 128 to 255 Octet.." "MMC Transmit 128 to 255 Octet Good Bad Packet..,MMC Transmit 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x4 5. "TX65T127OCTGBPIM,MMC Transmit 65 to 127 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx65to127octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 65 to 127 Octet Good.." "MMC Transmit 65 to 127 Octet Good Bad Packet..,MMC Transmit 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x4 4. "TX64OCTGBPIM,MMC Transmit 64 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx64octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 64 Octet Good Bad Packet Counter.." "MMC Transmit 64 Octet Good Bad Packet Counter..,MMC Transmit 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x4 3. "TXMCGPIM,MMC Transmit Multicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Multicast Good Packet Counter.." "MMC Transmit Multicast Good Packet Counter..,MMC Transmit Multicast Good Packet Counter.."
newline
bitfld.long 0x4 2. "TXBCGPIM,MMC Transmit Broadcast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txbroadcastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Broadcast Good Packet Counter.." "MMC Transmit Broadcast Good Packet Counter..,MMC Transmit Broadcast Good Packet Counter.."
newline
bitfld.long 0x4 1. "TXGBPKTIM,MMC Transmit Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpacketcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Bad Packet Counter Interrupt Mask is.." "MMC Transmit Good Bad Packet Counter Interrupt..,MMC Transmit Good Bad Packet Counter Interrupt.."
newline
bitfld.long 0x4 0. "TXGBOCTIM,MMC Transmit Good Bad Octet Counter Interrupt Mask Setting this bit masks the interrupt when the txoctetcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Bad Octet Counter Interrupt Mask is disabled.." "MMC Transmit Good Bad Octet Counter Interrupt..,MMC Transmit Good Bad Octet Counter Interrupt.."
rgroup.long 0x714++0x67
line.long 0x0 "TX_OCTET_COUNT_GOOD_BAD,This register provides the number of bytes transmitted by the DWC_ether_qos. exclusive of preamble and retried bytes. in good and bad packets."
hexmask.long 0x0 0.--31. 1. "TXOCTGB,Tx Octet Count Good Bad This field indicates the number of bytes transmitted exclusive of preamble and retried bytes in good and bad packets."
line.long 0x4 "TX_PACKET_COUNT_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos. exclusive of retried packets."
hexmask.long 0x4 0.--31. 1. "TXPKTGB,Tx Packet Count Good Bad This field indicates the number of good and bad packets transmitted exclusive of retried packets."
line.long 0x8 "TX_BROADCAST_PACKETS_GOOD,This register provides the number of good broadcast packets transmitted by DWC_ether_qos."
hexmask.long 0x8 0.--31. 1. "TXBCASTG,Tx Broadcast Packets Good This field indicates the number of good broadcast packets transmitted."
line.long 0xC "TX_MULTICAST_PACKETS_GOOD,This register provides the number of good multicast packets transmitted by DWC_ether_qos."
hexmask.long 0xC 0.--31. 1. "TXMCASTG,Tx Multicast Packets Good This field indicates the number of good multicast packets transmitted."
line.long 0x10 "TX_64OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length 64 bytes. exclusive of preamble and retried packets."
hexmask.long 0x10 0.--31. 1. "TX64OCTGB,Tx 64Octets Packets Good_Bad This field indicates the number of good and bad packets transmitted with length 64 bytes exclusive of preamble and retried packets."
line.long 0x14 "TX_65TO127OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length between 65 and 127 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x14 0.--31. 1. "TX65_127OCTGB,Tx 65To127Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 65 and 127 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x18 "TX_128TO255OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length between 128 to 255 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x18 0.--31. 1. "TX128_255OCTGB,Tx 128To255Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 128 and 255 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x1C "TX_256TO511OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length between 256 to 511 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x1C 0.--31. 1. "TX256_511OCTGB,Tx 256To511Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 256 and 511 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x20 "TX_512TO1023OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length 512 to 1023 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x20 0.--31. 1. "TX512_1023OCTGB,Tx 512To1023Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 512 and 1023 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x24 "TX_1024TOMAXOCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length 1024 to maxsize (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x24 0.--31. 1. "TX1024_MAXOCTGB,Tx 1024ToMaxOctets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 1024 and maxsize (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x28 "TX_UNICAST_PACKETS_GOOD_BAD,This register provides the number of good and bad unicast packets transmitted by DWC_ether_qos."
hexmask.long 0x28 0.--31. 1. "TXUCASTGB,Tx Unicast Packets Good Bad This field indicates the number of good and bad unicast packets transmitted."
line.long 0x2C "TX_MULTICAST_PACKETS_GOOD_BAD,This register provides the number of good and bad multicast packets transmitted by DWC_ether_qos."
hexmask.long 0x2C 0.--31. 1. "TXMCASTGB,Tx Multicast Packets Good Bad This field indicates the number of good and bad multicast packets transmitted."
line.long 0x30 "TX_BROADCAST_PACKETS_GOOD_BAD,This register provides the number of good and bad broadcast packets transmitted by DWC_ether_qos."
hexmask.long 0x30 0.--31. 1. "TXBCASTGB,Tx Broadcast Packets Good Bad This field indicates the number of good and bad broadcast packets transmitted."
line.long 0x34 "TX_UNDERFLOW_ERROR_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of packets underflow error."
hexmask.long 0x34 0.--31. 1. "TXUNDRFLW,Tx Underflow Error Packets This field indicates the number of packets aborted because of packets underflow error."
line.long 0x38 "TX_SINGLE_COLLISION_GOOD_PACKETS,This register provides the number of successfully transmitted packets by DWC_ether_qos after a single collision in the half-duplex mode."
hexmask.long 0x38 0.--31. 1. "TXSNGLCOLG,Tx Single Collision Good Packets This field indicates the number of successfully transmitted packets after a single collision in the half-duplex mode."
line.long 0x3C "TX_MULTIPLE_COLLISION_GOOD_PACKETS,This register provides the number of successfully transmitted packets by DWC_ether_qos after multiple collisions in the half-duplex mode."
hexmask.long 0x3C 0.--31. 1. "TXMULTCOLG,Tx Multiple Collision Good Packets This field indicates the number of successfully transmitted packets after multiple collisions in the half-duplex mode."
line.long 0x40 "TX_DEFERRED_PACKETS,This register provides the number of successfully transmitted by DWC_ether_qos after a deferral in the half-duplex mode."
hexmask.long 0x40 0.--31. 1. "TXDEFRD,Tx Deferred Packets This field indicates the number of successfully transmitted after a deferral in the half-duplex mode."
line.long 0x44 "TX_LATE_COLLISION_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of late collision error."
hexmask.long 0x44 0.--31. 1. "TXLATECOL,Tx Late Collision Packets This field indicates the number of packets aborted because of late collision error."
line.long 0x48 "TX_EXCESSIVE_COLLISION_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of excessive (16) collision errors."
hexmask.long 0x48 0.--31. 1. "TXEXSCOL,Tx Excessive Collision Packets This field indicates the number of packets aborted because of excessive (16) collision errors."
line.long 0x4C "TX_CARRIER_ERROR_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of carrier sense error (no carrier or loss of carrier)."
hexmask.long 0x4C 0.--31. 1. "TXCARR,Tx Carrier Error Packets This field indicates the number of packets aborted because of carrier sense error (no carrier or loss of carrier)."
line.long 0x50 "TX_OCTET_COUNT_GOOD,This register provides the number of bytes transmitted by DWC_ether_qos. exclusive of preamble. only in good packets."
hexmask.long 0x50 0.--31. 1. "TXOCTG,Tx Octet Count Good This field indicates the number of bytes transmitted exclusive of preamble only in good packets."
line.long 0x54 "TX_PACKET_COUNT_GOOD,This register provides the number of good packets transmitted by DWC_ether_qos."
hexmask.long 0x54 0.--31. 1. "TXPKTG,Tx Packet Count Good This field indicates the number of good packets transmitted."
line.long 0x58 "TX_EXCESSIVE_DEFERRAL_ERROR,This register provides the number of packets aborted by DWC_ether_qos because of excessive deferral error (deferred for more than two max-sized packet times)."
hexmask.long 0x58 0.--31. 1. "TXEXSDEF,Tx Excessive Deferral Error This field indicates the number of packets aborted because of excessive deferral error (deferred for more than two max-sized packet times)."
line.long 0x5C "TX_PAUSE_PACKETS,This register provides the number of good Pause packets transmitted by DWC_ether_qos."
hexmask.long 0x5C 0.--31. 1. "TXPAUSE,Tx Pause Packets This field indicates the number of good Pause packets transmitted."
line.long 0x60 "TX_VLAN_PACKETS_GOOD,This register provides the number of good VLAN packets transmitted by DWC_ether_qos."
hexmask.long 0x60 0.--31. 1. "TXVLANG,Tx VLAN Packets Good This field provides the number of good VLAN packets transmitted."
line.long 0x64 "TX_OSIZE_PACKETS_GOOD,This register provides the number of packets transmitted by DWC_ether_qos without errors and with length greater than the maxsize (1.518 or 1.522 bytes for VLAN tagged packets; 2000 bytes if enabled in S2KP bit of the.."
hexmask.long 0x64 0.--31. 1. "TXOSIZG,Tx OSize Packets Good This field indicates the number of packets transmitted without errors and with length greater than the maxsize (1 518 or 1 522 bytes for VLAN tagged packets; 2000 bytes if enabled in S2KP bit of the MAC_Configuration.."
rgroup.long 0x780++0x67
line.long 0x0 "RX_PACKETS_COUNT_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos."
hexmask.long 0x0 0.--31. 1. "RXPKTGB,Rx Packets Count Good Bad This field indicates the number of good and bad packets received."
line.long 0x4 "RX_OCTET_COUNT_GOOD_BAD,This register provides the number of bytes received by DWC_ther_qos. exclusive of preamble. in good and bad packets."
hexmask.long 0x4 0.--31. 1. "RXOCTGB,Rx Octet Count Good Bad This field indicates the number of bytes received exclusive of preamble in good and bad packets."
line.long 0x8 "RX_OCTET_COUNT_GOOD,This register provides the number of bytes received by DWC_ether_qos. exclusive of preamble. only in good packets."
hexmask.long 0x8 0.--31. 1. "RXOCTG,Rx Octet Count Good This field indicates the number of bytes received exclusive of preamble only in good packets."
line.long 0xC "RX_BROADCAST_PACKETS_GOOD,This register provides the number of good broadcast packets received by DWC_ether_qos."
hexmask.long 0xC 0.--31. 1. "RXBCASTG,Rx Broadcast Packets Good This field indicates the number of good broadcast packets received."
line.long 0x10 "RX_MULTICAST_PACKETS_GOOD,This register provides the number of good multicast packets received by DWC_ether_qos."
hexmask.long 0x10 0.--31. 1. "RXMCASTG,Rx Multicast Packets Good This field indicates the number of good multicast packets received."
line.long 0x14 "RX_CRC_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with CRC error."
hexmask.long 0x14 0.--31. 1. "RXCRCERR,Rx CRC Error Packets This field indicates the number of packets received with CRC error."
line.long 0x18 "RX_ALIGNMENT_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with alignment (dribble) error. It is valid only in 10/100 mode."
hexmask.long 0x18 0.--31. 1. "RXALGNERR,Rx Alignment Error Packets This field indicates the number of packets received with alignment (dribble) error. It is valid only in 10/100 mode."
line.long 0x1C "RX_RUNT_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with runt (length less than 64 bytes and CRC error) error."
hexmask.long 0x1C 0.--31. 1. "RXRUNTERR,Rx Runt Error Packets This field indicates the number of packets received with runt (length less than 64 bytes and CRC error) error."
line.long 0x20 "RX_JABBER_ERROR_PACKETS,This register provides the number of giant packets received by DWC_ether_qos with length (including CRC) greater than 1.518 bytes (1.522 bytes for VLAN tagged) and with CRC error. If Jumbo Packet mode is enabled. packets of length.."
hexmask.long 0x20 0.--31. 1. "RXJABERR,Rx Jabber Error Packets This field indicates the number of giant packets received with length (including CRC) greater than 1 518 bytes (1 522 bytes for VLAN tagged) and with CRC error. If Jumbo Packet mode is enabled packets of length greater.."
line.long 0x24 "RX_UNDERSIZE_PACKETS_GOOD,This register provides the number of packets received by DWC_ether_qos with length less than 64 bytes. without any errors."
hexmask.long 0x24 0.--31. 1. "RXUNDERSZG,Rx Undersize Packets Good This field indicates the number of packets received with length less than 64 bytes without any errors."
line.long 0x28 "RX_OVERSIZE_PACKETS_GOOD,This register provides the number of packets received by DWC_ether_qos without errors. with length greater than the maxsize (1.518 bytes or 1.522 bytes for VLAN tagged packets; 2000 bytes if enabled in the S2KP bit of the.."
hexmask.long 0x28 0.--31. 1. "RXOVERSZG,Rx Oversize Packets Good This field indicates the number of packets received without errors with length greater than the maxsize (1 518 bytes or 1 522 bytes for VLAN tagged packets; 2000 bytes if enabled in the S2KP bit of the.."
line.long 0x2C "RX_64OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length 64 bytes. exclusive of the preamble."
hexmask.long 0x2C 0.--31. 1. "RX64OCTGB,Rx 64 Octets Packets Good Bad This field indicates the number of good and bad packets received with length 64 bytes exclusive of the preamble."
line.long 0x30 "RX_65TO127OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 65 and 127 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x30 0.--31. 1. "RX65_127OCTGB,Rx 65-127 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 65 and 127 (inclusive) bytes exclusive of the preamble."
line.long 0x34 "RX_128TO255OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 128 and 255 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x34 0.--31. 1. "RX128_255OCTGB,Rx 128-255 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 128 and 255 (inclusive) bytes exclusive of the preamble."
line.long 0x38 "RX_256TO511OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 256 and 511 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x38 0.--31. 1. "RX256_511OCTGB,Rx 256-511 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 256 and 511 (inclusive) bytes exclusive of the preamble."
line.long 0x3C "RX_512TO1023OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 512 and 1023 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x3C 0.--31. 1. "RX512_1023OCTGB,RX 512-1023 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 512 and 1023 (inclusive) bytes exclusive of the preamble."
line.long 0x40 "RX_1024TOMAXOCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 1024 and maxsize (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x40 0.--31. 1. "RX1024_MAXOCTGB,Rx 1024-Max Octets Good Bad This field indicates the number of good and bad packets received with length between 1024 and maxsize (inclusive) bytes exclusive of the preamble."
line.long 0x44 "RX_UNICAST_PACKETS_GOOD,This register provides the number of good unicast packets received by DWC_ether_qos."
hexmask.long 0x44 0.--31. 1. "RXUCASTG,Rx Unicast Packets Good This field indicates the number of good unicast packets received."
line.long 0x48 "RX_LENGTH_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with length error (Length Type field not equal to packet size). for all packets with valid length field."
hexmask.long 0x48 0.--31. 1. "RXLENERR,Rx Length Error Packets This field indicates the number of packets received with length error (Length Type field not equal to packet size) for all packets with valid length field."
line.long 0x4C "RX_OUT_OF_RANGE_TYPE_PACKETS,This register provides the number of packets received by DWC_ether_qos with length field not equal to the valid packet size (greater than 1.500 but less than 1.536)."
hexmask.long 0x4C 0.--31. 1. "RXOUTOFRNG,Rx Out of Range Type Packet This field indicates the number of packets received with length field not equal to the valid packet size (greater than 1 500 but less than 1 536)."
line.long 0x50 "RX_PAUSE_PACKETS,This register provides the number of good and valid Pause packets received by DWC_ether_qos."
hexmask.long 0x50 0.--31. 1. "RXPAUSEPKT,Rx Pause Packets This field indicates the number of good and valid Pause packets received."
line.long 0x54 "RX_FIFO_OVERFLOW_PACKETS,This register provides the number of missed received packets because of FIFO overflow in DWC_ether_qos."
hexmask.long 0x54 0.--31. 1. "RXFIFOOVFL,Rx FIFO Overflow Packets This field indicates the number of missed received packets because of FIFO overflow."
line.long 0x58 "RX_VLAN_PACKETS_GOOD_BAD,This register provides the number of good and bad VLAN packets received by DWC_ether_qos."
hexmask.long 0x58 0.--31. 1. "RXVLANPKTGB,Rx VLAN Packets Good Bad This field indicates the number of good and bad VLAN packets received."
line.long 0x5C "RX_WATCHDOG_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with error because of watchdog timeout error (packets with a data load larger than 2.048 bytes (when JE and WD bits are reset in MAC_Configuration register)."
hexmask.long 0x5C 0.--31. 1. "RXWDGERR,Rx Watchdog Error Packets This field indicates the number of packets received with error because of watchdog timeout error (packets with a data load larger than 2 048 bytes (when JE and WD bits are reset in MAC_Configuration register) 10 240.."
line.long 0x60 "RX_RECEIVE_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with Receive error or Packet Extension error on the GMII or MII interface."
hexmask.long 0x60 0.--31. 1. "RXRCVERR,Rx Receive Error Packets This field indicates the number of packets received with Receive error or Packet Extension error on the GMII or MII interface."
line.long 0x64 "RX_CONTROL_PACKETS_GOOD,This register provides the number of good control packets received by DWC_ether_qos."
hexmask.long 0x64 0.--31. 1. "RXCTRLG,Rx Control Packets Good This field indicates the number of good control packets received."
rgroup.long 0x7EC++0xF
line.long 0x0 "TX_LPI_USEC_CNTR,This register provides the number of microseconds Tx LPI is asserted by DWC_ether_qos."
hexmask.long 0x0 0.--31. 1. "TXLPIUSC,Tx LPI Microseconds Counter This field indicates the number of microseconds Tx LPI is asserted. For every Tx LPI Entry and Exit the Timer value can have an error of +/- 1 microsecond."
line.long 0x4 "TX_LPI_TRAN_CNTR,This register provides the number of times DWC_ether_qos has entered Tx LPI."
hexmask.long 0x4 0.--31. 1. "TXLPITRC,Tx LPI Transition counter This field indicates the number of times Tx LPI Entry has occurred. Even if Tx LPI Entry occurs in Automate Mode (because of LPITXA bit set in the LPI Control and Status register) the counter will increment."
line.long 0x8 "RX_LPI_USEC_CNTR,This register provides the number of microseconds Rx LPI is sampled by DWC_ether_qos."
hexmask.long 0x8 0.--31. 1. "RXLPIUSC,Rx LPI Microseconds Counter This field indicates the number of microseconds Rx LPI is asserted. For every Rx LPI Entry and Exit the Timer value can have an error of +/- 1 microsecond."
line.long 0xC "RX_LPI_TRAN_CNTR,This register provides the number of times DWC_ether_qos has entered Rx LPI."
hexmask.long 0xC 0.--31. 1. "RXLPITRC,Rx LPI Transition counter This field indicates the number of times Rx LPI Entry has occurred."
group.long 0x800++0x3
line.long 0x0 "MMC_IPC_RX_INTERRUPT_MASK,This register maintains the mask for the interrupt generated from the receive IPC statistic counters. The MMC Receive Checksum Off load Interrupt Mask register maintains the masks for the interrupts generated when the receive.."
rbitfld.long 0x0 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 29. "RXICMPEROIM,MMC Receive ICMP Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_err_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Error Octet Counter Interrupt Mask is.." "MMC Receive ICMP Error Octet Counter Interrupt..,MMC Receive ICMP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 28. "RXICMPGOIM,MMC Receive ICMP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Good Octet Counter Interrupt Mask is.." "MMC Receive ICMP Good Octet Counter Interrupt..,MMC Receive ICMP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 27. "RXTCPEROIM,MMC Receive TCP Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_err_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Error Octet Counter Interrupt Mask is.." "MMC Receive TCP Error Octet Counter Interrupt..,MMC Receive TCP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 26. "RXTCPGOIM,MMC Receive TCP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Good Octet Counter Interrupt Mask is disabled.." "MMC Receive TCP Good Octet Counter Interrupt..,MMC Receive TCP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 25. "RXUDPEROIM,MMC Receive UDP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_err_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive UDP Good Octet Counter Interrupt Mask is disabled.." "MMC Receive UDP Good Octet Counter Interrupt..,MMC Receive UDP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXUDPGOIM,MMC Receive IPV6 No Payload Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 No Payload Octet Counter Interrupt Mask.." "MMC Receive IPV6 No Payload Octet Counter..,MMC Receive IPV6 No Payload Octet Counter.."
newline
bitfld.long 0x0 23. "RXIPV6NOPAYOIM,MMC Receive IPV6 Header Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_nopay_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Header Error Octet Counter.." "MMC Receive IPV6 Header Error Octet Counter..,MMC Receive IPV6 Header Error Octet Counter.."
newline
bitfld.long 0x0 22. "RXIPV6HEROIM,MMC Receive IPV6 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_hdrerr_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Good Octet Counter Interrupt Mask is.." "MMC Receive IPV6 Good Octet Counter Interrupt..,MMC Receive IPV6 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 21. "RXIPV6GOIM,MMC Receive IPV6 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Good Octet Counter Interrupt Mask is.." "MMC Receive IPV6 Good Octet Counter Interrupt..,MMC Receive IPV6 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 20. "RXIPV4UDSBLOIM,MMC Receive IPV4 UDP Checksum Disabled Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_udsbl_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 UDP Checksum.." "MMC Receive IPV4 UDP Checksum Disabled Octet..,MMC Receive IPV4 UDP Checksum Disabled Octet.."
newline
bitfld.long 0x0 19. "RXIPV4FRAGOIM,MMC Receive IPV4 Fragmented Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_frag_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Fragmented Octet Counter.." "MMC Receive IPV4 Fragmented Octet Counter..,MMC Receive IPV4 Fragmented Octet Counter.."
newline
bitfld.long 0x0 18. "RXIPV4NOPAYOIM,MMC Receive IPV4 No Payload Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_nopay_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 No Payload Octet Counter.." "MMC Receive IPV4 No Payload Octet Counter..,MMC Receive IPV4 No Payload Octet Counter.."
newline
bitfld.long 0x0 17. "RXIPV4HEROIM,MMC Receive IPV4 Header Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_hdrerr_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Header Error Octet Counter.." "MMC Receive IPV4 Header Error Octet Counter..,MMC Receive IPV4 Header Error Octet Counter.."
newline
bitfld.long 0x0 16. "RXIPV4GOIM,MMC Receive IPV4 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Good Octet Counter Interrupt Mask is.." "MMC Receive IPV4 Good Octet Counter Interrupt..,MMC Receive IPV4 Good Octet Counter Interrupt.."
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 13. "RXICMPERPIM,MMC Receive ICMP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_err_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Error Packet Counter Interrupt Mask is.." "MMC Receive ICMP Error Packet Counter Interrupt..,MMC Receive ICMP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 12. "RXICMPGPIM,MMC Receive ICMP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Good Packet Counter Interrupt Mask is.." "MMC Receive ICMP Good Packet Counter Interrupt..,MMC Receive ICMP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 11. "RXTCPERPIM,MMC Receive TCP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_err_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Error Packet Counter Interrupt Mask is.." "MMC Receive TCP Error Packet Counter Interrupt..,MMC Receive TCP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 10. "RXTCPGPIM,MMC Receive TCP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Good Packet Counter Interrupt Mask is disabled.." "MMC Receive TCP Good Packet Counter Interrupt..,MMC Receive TCP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 9. "RXUDPERPIM,MMC Receive UDP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_err_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive UDP Error Packet Counter Interrupt Mask is.." "MMC Receive UDP Error Packet Counter Interrupt..,MMC Receive UDP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 8. "RXUDPGPIM,MMC Receive UDP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive UDP Good Packet Counter Interrupt Mask is disabled.." "MMC Receive UDP Good Packet Counter Interrupt..,MMC Receive UDP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 7. "RXIPV6NOPAYPIM,MMC Receive IPV6 No Payload Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_nopay_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 No Payload Packet Counter.." "MMC Receive IPV6 No Payload Packet Counter..,MMC Receive IPV6 No Payload Packet Counter.."
newline
bitfld.long 0x0 6. "RXIPV6HERPIM,MMC Receive IPV6 Header Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_hdrerr_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Header Error Packet Counter.." "MMC Receive IPV6 Header Error Packet Counter..,MMC Receive IPV6 Header Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXIPV6GPIM,MMC Receive IPV6 Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Good Packet Counter Interrupt Mask is.." "MMC Receive IPV6 Good Packet Counter Interrupt..,MMC Receive IPV6 Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXIPV4UDSBLPIM,MMC Receive IPV4 UDP Checksum Disabled Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_udsbl_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 UDP Checksum.." "MMC Receive IPV4 UDP Checksum Disabled Packet..,MMC Receive IPV4 UDP Checksum Disabled Packet.."
newline
bitfld.long 0x0 3. "RXIPV4FRAGPIM,MMC Receive IPV4 Fragmented Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_frag_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Fragmented Packet Counter.." "MMC Receive IPV4 Fragmented Packet Counter..,MMC Receive IPV4 Fragmented Packet Counter.."
newline
bitfld.long 0x0 2. "RXIPV4NOPAYPIM,MMC Receive IPV4 No Payload Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_nopay_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 No Payload Packet Counter.." "MMC Receive IPV4 No Payload Packet Counter..,MMC Receive IPV4 No Payload Packet Counter.."
newline
bitfld.long 0x0 1. "RXIPV4HERPIM,MMC Receive IPV4 Header Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_hdrerr_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Header Error Packet Counter.." "MMC Receive IPV4 Header Error Packet Counter..,MMC Receive IPV4 Header Error Packet Counter.."
newline
bitfld.long 0x0 0. "RXIPV4GPIM,MMC Receive IPV4 Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Good Packet Counter Interrupt Mask is.." "MMC Receive IPV4 Good Packet Counter Interrupt..,MMC Receive IPV4 Good Packet Counter Interrupt.."
rgroup.long 0x808++0x3
line.long 0x0 "MMC_IPC_RX_INTERRUPT,This register maintains the interrupt that the receive IPC statistic counters generate. The MMC Receive Checksum Offload Interrupt register maintains the interrupts generated when receive IPC statistic counters reach half their.."
bitfld.long 0x0 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 29. "RXICMPEROIS,MMC Receive ICMP Error Octet Counter Interrupt Status This bit is set when the rxicmp_err_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive ICMP Error Octet Counter Interrupt..,MMC Receive ICMP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 28. "RXICMPGOIS,MMC Receive ICMP Good Octet Counter Interrupt Status This bit is set when the rxicmp_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive ICMP Good Octet Counter Interrupt..,MMC Receive ICMP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 27. "RXTCPEROIS,MMC Receive TCP Error Octet Counter Interrupt Status This bit is set when the rxtcp_err_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive TCP Error Octet Counter Interrupt..,MMC Receive TCP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 26. "RXTCPGOIS,MMC Receive TCP Good Octet Counter Interrupt Status This bit is set when the rxtcp_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive TCP Good Octet Counter Interrupt..,MMC Receive TCP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 25. "RXUDPEROIS,MMC Receive UDP Error Octet Counter Interrupt Status This bit is set when the rxudp_err_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive UDP Error Octet Counter Interrupt..,MMC Receive UDP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXUDPGOIS,MMC Receive UDP Good Octet Counter Interrupt Status This bit is set when the rxudp_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive UDP Good Octet Counter Interrupt..,MMC Receive UDP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 23. "RXIPV6NOPAYOIS,MMC Receive IPV6 No Payload Octet Counter Interrupt Status This bit is set when the rxipv6_nopay_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 No Payload Octet Counter..,MMC Receive IPV6 No Payload Octet Counter.."
newline
bitfld.long 0x0 22. "RXIPV6HEROIS,MMC Receive IPV6 Header Error Octet Counter Interrupt Status This bit is set when the rxipv6_hdrerr_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 Header Error Octet Counter..,MMC Receive IPV6 Header Error Octet Counter.."
newline
bitfld.long 0x0 21. "RXIPV6GOIS,MMC Receive IPV6 Good Octet Counter Interrupt Status This bit is set when the rxipv6_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive IPV6 Good Octet Counter Interrupt..,MMC Receive IPV6 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 20. "RXIPV4UDSBLOIS,MMC Receive IPV4 UDP Checksum Disabled Octet Counter Interrupt Status This bit is set when the rxipv4_udsbl_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on.." "MMC Receive IPV4 UDP Checksum Disabled Octet..,MMC Receive IPV4 UDP Checksum Disabled Octet.."
newline
bitfld.long 0x0 19. "RXIPV4FRAGOIS,MMC Receive IPV4 Fragmented Octet Counter Interrupt Status This bit is set when the rxipv4_frag_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Fragmented Octet Counter..,MMC Receive IPV4 Fragmented Octet Counter.."
newline
bitfld.long 0x0 18. "RXIPV4NOPAYOIS,MMC Receive IPV4 No Payload Octet Counter Interrupt Status This bit is set when the rxipv4_nopay_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 No Payload Octet Counter..,MMC Receive IPV4 No Payload Octet Counter.."
newline
bitfld.long 0x0 17. "RXIPV4HEROIS,MMC Receive IPV4 Header Error Octet Counter Interrupt Status This bit is set when the rxipv4_hdrerr_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Header Error Octet Counter..,MMC Receive IPV4 Header Error Octet Counter.."
newline
bitfld.long 0x0 16. "RXIPV4GOIS,MMC Receive IPV4 Good Octet Counter Interrupt Status This bit is set when the rxipv4_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive IPV4 Good Octet Counter Interrupt..,MMC Receive IPV4 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 13. "RXICMPERPIS,MMC Receive ICMP Error Packet Counter Interrupt Status This bit is set when the rxicmp_err_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive ICMP Error Packet Counter Interrupt..,MMC Receive ICMP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 12. "RXICMPGPIS,MMC Receive ICMP Good Packet Counter Interrupt Status This bit is set when the rxicmp_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive ICMP Good Packet Counter Interrupt..,MMC Receive ICMP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 11. "RXTCPERPIS,MMC Receive TCP Error Packet Counter Interrupt Status This bit is set when the rxtcp_err_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive TCP Error Packet Counter Interrupt..,MMC Receive TCP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 10. "RXTCPGPIS,MMC Receive TCP Good Packet Counter Interrupt Status This bit is set when the rxtcp_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive TCP Good Packet Counter Interrupt..,MMC Receive TCP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 9. "RXUDPERPIS,MMC Receive UDP Error Packet Counter Interrupt Status This bit is set when the rxudp_err_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive UDP Error Packet Counter Interrupt..,MMC Receive UDP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 8. "RXUDPGPIS,MC Receive UDP Good Packet Counter Interrupt Status This bit is set when the rxudp_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive UDP Good Packet Counter Interrupt..,MMC Receive UDP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 7. "RXIPV6NOPAYPIS,MMC Receive IPV6 No Payload Packet Counter Interrupt Status This bit is set when the rxipv6_nopay_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 No Payload Packet Counter..,MMC Receive IPV6 No Payload Packet Counter.."
newline
bitfld.long 0x0 6. "RXIPV6HERPIS,MMC Receive IPV6 Header Error Packet Counter Interrupt Status This bit is set when the rxipv6_hdrerr_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 Header Error Packet Counter..,MMC Receive IPV6 Header Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXIPV6GPIS,MMC Receive IPV6 Good Packet Counter Interrupt Status This bit is set when the rxipv6_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive IPV6 Good Packet Counter Interrupt..,MMC Receive IPV6 Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXIPV4UDSBLPIS,MMC Receive IPV4 UDP Checksum Disabled Packet Counter Interrupt Status This bit is set when the rxipv4_udsbl_pkts counter reaches half of the maximum value or the maximum value. 0x1: MMC Receive IPV4 UDP Checksum Disabled Packet Counter.." "MMC Receive IPV4 UDP Checksum Disabled Packet..,MMC Receive IPV4 UDP Checksum Disabled Packet.."
newline
bitfld.long 0x0 3. "RXIPV4FRAGPIS,MMC Receive IPV4 Fragmented Packet Counter Interrupt Status This bit is set when the rxipv4_frag_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Fragmented Packet Counter..,MMC Receive IPV4 Fragmented Packet Counter.."
newline
bitfld.long 0x0 2. "RXIPV4NOPAYPIS,MMC Receive IPV4 No Payload Packet Counter Interrupt Status This bit is set when the rxipv4_nopay_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 No Payload Packet Counter..,MMC Receive IPV4 No Payload Packet Counter.."
newline
bitfld.long 0x0 1. "RXIPV4HERPIS,MMC Receive IPV4 Header Error Packet Counter Interrupt Status This bit is set when the rxipv4_hdrerr_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Header Error Packet Counter..,MMC Receive IPV4 Header Error Packet Counter.."
newline
bitfld.long 0x0 0. "RXIPV4GPIS,MMC Receive IPV4 Good Packet Counter Interrupt Status This bit is set when the rxipv4_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive IPV4 Good Packet Counter Interrupt..,MMC Receive IPV4 Good Packet Counter Interrupt.."
rgroup.long 0x810++0x37
line.long 0x0 "RXIPV4_GOOD_PACKETS,This register provides the number of good IPv4 datagrams received by DWC_ether_qos with the TCP. UDP. or ICMP payload."
hexmask.long 0x0 0.--31. 1. "RXIPV4GDPKT,RxIPv4 Good Packets This field indicates the number of good IPv4 datagrams received with the TCP UDP or ICMP payload."
line.long 0x4 "RXIPV4_HEADER_ERROR_PACKETS,RxIPv4 Header Error Packets This register provides the number of IPv4 datagrams received by DWC_ether_qos with header (checksum. length. or version mismatch) errors."
hexmask.long 0x4 0.--31. 1. "RXIPV4HDRERRPKT,RxIPv4 Header Error Packets This field indicates the number of IPv4 datagrams received with header (checksum length or version mismatch) errors."
line.long 0x8 "RXIPV4_NO_PAYLOAD_PACKETS,This register provides the number of IPv4 datagram packets received by DWC_ether_qos that did not have a TCP. UDP. or ICMP payload."
hexmask.long 0x8 0.--31. 1. "RXIPV4NOPAYPKT,RxIPv4 Payload Packets This field indicates the number of IPv4 datagram packets received that did not have a TCP UDP or ICMP payload."
line.long 0xC "RXIPV4_FRAGMENTED_PACKETS,This register provides the number of good IPv4 datagrams received by DWC_ether_qos with fragmentation."
hexmask.long 0xC 0.--31. 1. "RXIPV4FRAGPKT,RxIPv4 Fragmented Packets This field indicates the number of good IPv4 datagrams received with fragmentation."
line.long 0x10 "RXIPV4_UDP_CHECKSUM_DISABLED_PACKETS,This register provides the number of good IPv4 datagrams received by DWC_ether_qos that had a UDP payload with checksum disabled."
hexmask.long 0x10 0.--31. 1. "RXIPV4UDSBLPKT,RxIPv4 UDP Checksum Disabled Packets This field indicates the number of good IPv4 datagrams received that had a UDP payload with checksum disabled."
line.long 0x14 "RXIPV6_GOOD_PACKETS,This register provides the number of good IPv6 datagrams received by DWC_ether_qos with the TCP. UDP. or ICMP payload."
hexmask.long 0x14 0.--31. 1. "RXIPV6GDPKT,RxIPv6 Good Packets This field indicates the number of good IPv6 datagrams received with the TCP UDP or ICMP payload."
line.long 0x18 "RXIPV6_HEADER_ERROR_PACKETS,This register provides the number of IPv6 datagrams received by DWC_ether_qos with header (length or version mismatch) errors."
hexmask.long 0x18 0.--31. 1. "RXIPV6HDRERRPKT,RxIPv6 Header Error Packets This field indicates the number of IPv6 datagrams received with header (length or version mismatch) errors."
line.long 0x1C "RXIPV6_NO_PAYLOAD_PACKETS,This register provides the number of IPv6 datagram packets received by DWC_ether_qos that did not have a TCP. UDP. or ICMP payload. This includes all IPv6 datagrams with fragmentation or security extension headers."
hexmask.long 0x1C 0.--31. 1. "RXIPV6NOPAYPKT,RxIPv6 Payload Packets This field indicates the number of IPv6 datagram packets received that did not have a TCP UDP or ICMP payload. This includes all IPv6 datagrams with fragmentation or security extension headers."
line.long 0x20 "RXUDP_GOOD_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos with a good UDP payload. This counter is not updated when the RxIPv4_UDP_Checksum_Disabled_Packets counter is incremented."
hexmask.long 0x20 0.--31. 1. "RXUDPGDPKT,RxUDP Good Packets This field indicates the number of good IP datagrams received with a good UDP payload. This counter is not updated when the RxIPv4_UDP_Checksum_Disabled_Packets counter is incremented."
line.long 0x24 "RXUDP_ERROR_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos whose UDP payload has a checksum error."
hexmask.long 0x24 0.--31. 1. "RXUDPERRPKT,RxUDP Error Packets This field indicates the number of good IP datagrams received whose UDP payload has a checksum error."
line.long 0x28 "RXTCP_GOOD_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos with a good TCP payload."
hexmask.long 0x28 0.--31. 1. "RXTCPGDPKT,RxTCP Good Packets This field indicates the number of good IP datagrams received with a good TCP payload."
line.long 0x2C "RXTCP_ERROR_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos whose TCP payload has a checksum error."
hexmask.long 0x2C 0.--31. 1. "RXTCPERRPKT,RxTCP Error Packets This field indicates the number of good IP datagrams received whose TCP payload has a checksum error."
line.long 0x30 "RXICMP_GOOD_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos with a good ICMP payload."
hexmask.long 0x30 0.--31. 1. "RXICMPGDPKT,RxICMP Good Packets This field indicates the number of good IP datagrams received with a good ICMP payload."
line.long 0x34 "RXICMP_ERROR_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos whose ICMP payload has a checksum error."
hexmask.long 0x34 0.--31. 1. "RXICMPERRPKT,RxICMP Error Packets This field indicates the number of good IP datagrams received whose ICMP payload has a checksum error."
rgroup.long 0x850++0x37
line.long 0x0 "RXIPV4_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in good IPv4 datagrams encapsulating TCP. UDP. or ICMP data. (Ethernet header. FCS. pad. or IP pad bytes are not included in this counter."
hexmask.long 0x0 0.--31. 1. "RXIPV4GDOCT,RxIPv4 Good Octets This field indicates the number of bytes received in good IPv4 datagrams encapsulating TCP UDP or ICMP data. (Ethernet header FCS pad or IP pad bytes are not included in this counter."
line.long 0x4 "RXIPV4_HEADER_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv4 datagrams with header errors (checksum. length. version mismatch). The value in the Length field of IPv4 header is used to update this counter."
hexmask.long 0x4 0.--31. 1. "RXIPV4HDRERROCT,RxIPv4 Header Error Octets This field indicates the number of bytes received in IPv4 datagrams with header errors (checksum length version mismatch). The value in the Length field of IPv4 header is used to update this counter. (Ethernet.."
line.long 0x8 "RXIPV4_NO_PAYLOAD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv4 datagrams that did not have a TCP. UDP. or ICMP payload. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header."
hexmask.long 0x8 0.--31. 1. "RXIPV4NOPAYOCT,RxIPv4 Payload Octets This field indicates the number of bytes received in IPv4 datagrams that did not have a TCP UDP or ICMP payload. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header FCS.."
line.long 0xC "RXIPV4_FRAGMENTED_OCTETS,This register provides the number of bytes received by DWC_ether_qos in fragmented IPv4 datagrams. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header. FCS. pad. or IP pad bytes are not.."
hexmask.long 0xC 0.--31. 1. "RXIPV4FRAGOCT,RxIPv4 Fragmented Octets This field indicates the number of bytes received in fragmented IPv4 datagrams. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header FCS pad or IP pad bytes are not.."
line.long 0x10 "RXIPV4_UDP_CHECKSUM_DISABLE_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a UDP segment that had the UDP checksum disabled. This counter does not count IP Header bytes. (Ethernet header. FCS. pad. or IP pad bytes are not.."
hexmask.long 0x10 0.--31. 1. "RXIPV4UDSBLOCT,RxIPv4 UDP Checksum Disable Octets This field indicates the number of bytes received in a UDP segment that had the UDP checksum disabled. This counter does not count IP Header bytes. (Ethernet header FCS pad or IP pad bytes are not.."
line.long 0x14 "RXIPV6_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in good IPv6 datagrams encapsulating TCP. UDP. or ICMP data. (Ethernet header. FCS. pad. or IP pad bytes are not included in this counter."
hexmask.long 0x14 0.--31. 1. "RXIPV6GDOCT,RxIPv6 Good Octets This field indicates the number of bytes received in good IPv6 datagrams encapsulating TCP UDP or ICMP data. (Ethernet header FCS pad or IP pad bytes are not included in this counter."
line.long 0x18 "RXIPV6_HEADER_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv6 datagrams with header errors (length. version mismatch). The value in the Length field of IPv6 header is used to update this counter. (Ethernet.."
hexmask.long 0x18 0.--31. 1. "RXIPV6HDRERROCT,RxIPv6 Header Error Octets This field indicates the number of bytes received in IPv6 datagrams with header errors (length version mismatch). The value in the Length field of IPv6 header is used to update this counter. (Ethernet header.."
line.long 0x1C "RXIPV6_NO_PAYLOAD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv6 datagrams that did not have a TCP. UDP. or ICMP payload. The value in the Length field of IPv6 header is used to update this counter. (Ethernet header."
hexmask.long 0x1C 0.--31. 1. "RXIPV6NOPAYOCT,RxIPv6 Payload Octets This field indicates the number of bytes received in IPv6 datagrams that did not have a TCP UDP or ICMP payload. The value in the Length field of IPv6 header is used to update this counter. (Ethernet header FCS.."
line.long 0x20 "RXUDP_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a good UDP segment. This counter does not count IP header bytes."
hexmask.long 0x20 0.--31. 1. "RXUDPGDOCT,RxUDP Good Octets This field indicates the number of bytes received in a good UDP segment. This counter does not count IP header bytes."
line.long 0x24 "RXUDP_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a UDP segment that had checksum errors. This counter does not count IP header bytes."
hexmask.long 0x24 0.--31. 1. "RXUDPERROCT,RxUDP Error Octets This field indicates the number of bytes received in a UDP segment that had checksum errors. This counter does not count IP header bytes."
line.long 0x28 "RXTCP_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a good TCP segment. This counter does not count IP header bytes."
hexmask.long 0x28 0.--31. 1. "RXTCPGDOCT,RxTCP Good Octets This field indicates the number of bytes received in a good TCP segment. This counter does not count IP header bytes."
line.long 0x2C "RXTCP_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a TCP segment that had checksum errors. This counter does not count IP header bytes."
hexmask.long 0x2C 0.--31. 1. "RXTCPERROCT,RxTCP Error Octets This field indicates the number of bytes received in a TCP segment that had checksum errors. This counter does not count IP header bytes."
line.long 0x30 "RXICMP_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a good ICMP segment. This counter does not count IP header bytes."
hexmask.long 0x30 0.--31. 1. "RXICMPGDOCT,RxICMP Good Octets This field indicates the number of bytes received in a good ICMP segment. This counter does not count IP header bytes."
line.long 0x34 "RXICMP_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a ICMP segment that had checksum errors. This counter does not count IP header bytes."
hexmask.long 0x34 0.--31. 1. "RXICMPERROCT,RxICMP Error Octets This field indicates the number of bytes received in a ICMP segment that had checksum errors. This counter does not count IP header bytes."
rgroup.long 0x8A0++0x3
line.long 0x0 "MMC_FPE_TX_INTERRUPT,This register maintains the interrupts generated from all FPE related Transmit statistics counters. The MMC FPE Transmit Interrupt register maintains the interrupts generated when transmit statistic counters reach half their maximum.."
hexmask.long 0x0 2.--31. 1. "RESERVED_31_2,Reserved."
newline
bitfld.long 0x0 1. "HRCIS,MMC Tx Hold Request Counter Interrupt Status This bit is set when the Tx_Hold_Req_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists when any one.." "MMC Tx Hold Request Counter Interrupt Status not..,MMC Tx Hold Request Counter Interrupt Status.."
newline
bitfld.long 0x0 0. "FCIS,MMC Tx FPE Fragment Counter Interrupt status This bit is set when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists when any.." "MMC Tx FPE Fragment Counter Interrupt status not..,MMC Tx FPE Fragment Counter Interrupt status.."
group.long 0x8A4++0x3
line.long 0x0 "MMC_FPE_TX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all FPE related Transmit statistics counters. The MMC Receive Interrupt Mask register maintains the masks for the interrupts generated when FPE related receive.."
hexmask.long 0x0 2.--31. 1. "RESERVED_31_2,Reserved."
newline
bitfld.long 0x0 1. "HRCIM,MMC Transmit Hold Request Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_Hold_Req_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during FPE.." "MMC Transmit Hold Request Counter Interrupt Mask..,MMC Transmit Hold Request Counter Interrupt Mask.."
newline
bitfld.long 0x0 0. "FCIM,MMC Transmit Fragment Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during FPE.." "MMC Transmit Fragment Counter Interrupt Mask is..,MMC Transmit Fragment Counter Interrupt Mask is.."
rgroup.long 0x8A8++0x7
line.long 0x0 "MMC_TX_FPE_FRAGMENT_CNTR,This register provides the number of additional mPackets transmitted due to preemption."
hexmask.long 0x0 0.--31. 1. "TXFFC,Tx FPE Fragment counter This field indicates the number of additional mPackets that has been transmitted due to preemption Exists when any one of the RX/TX MMC counters are enabled during FPE Enabled configuration."
line.long 0x4 "MMC_TX_HOLD_REQ_CNTR,This register provides the count of number of times a hold request is given to MAC"
hexmask.long 0x4 0.--31. 1. "TXHRC,Tx Hold Request Counter This field indicates count of number of a hold request is given to MAC. Exists when any one of the RX/TX MMC counters are enabled during FPE with AV_EST Enabled configuration."
rgroup.long 0x8C0++0x3
line.long 0x0 "MMC_FPE_RX_INTERRUPT,This register maintains the interrupts generated from all FPE related Receive statistics counters. The MMC FPE Receive Interrupt register maintains the interrupts generated when transmit statistic counters reach half their maximum.."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "FCIS,MMC Rx FPE Fragment Counter Interrupt Status This bit is set when the Rx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists when any.." "MMC Rx FPE Fragment Counter Interrupt Status not..,MMC Rx FPE Fragment Counter Interrupt Status.."
newline
bitfld.long 0x0 2. "PAOCIS,MMC Rx Packet Assembly OK Counter Interrupt Status This bit is set when the Rx_Packet_Assemble_Ok_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Rx Packet Assembly OK Counter Interrupt..,MMC Rx Packet Assembly OK Counter Interrupt.."
newline
bitfld.long 0x0 1. "PSECIS,MMC Rx Packet SMD Error Counter Interrupt Status This bit is set when the Rx_Packet_SMD_Err_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists.." "MMC Rx Packet SMD Error Counter Interrupt Status..,MMC Rx Packet SMD Error Counter Interrupt Status.."
newline
bitfld.long 0x0 0. "PAECIS,MMC Rx Packet Assembly Error Counter Interrupt Status This bit is set when the Rx_Packet_Assemble_Err_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Rx Packet Assembly Error Counter Interrupt..,MMC Rx Packet Assembly Error Counter Interrupt.."
group.long 0x8C4++0x3
line.long 0x0 "MMC_FPE_RX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all FPE related Receive statistics counters. The MMC Receive Interrupt Mask register maintains the masks for the interrupts generated when FPE related receive.."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "FCIM,MMC Rx FPE Fragment Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during FPE.." "MMC Rx FPE Fragment Counter Interrupt Mask is..,MMC Rx FPE Fragment Counter Interrupt Mask is.."
newline
bitfld.long 0x0 2. "PAOCIM,MMC Rx Packet Assembly OK Counter Interrupt Mask Setting this bit masks the interrupt when the Rx_Packet_Assemble_Ok_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled.." "MMC Rx Packet Assembly OK Counter Interrupt Mask..,MMC Rx Packet Assembly OK Counter Interrupt Mask.."
newline
bitfld.long 0x0 1. "PSECIM,MMC Rx Packet SMD Error Counter Interrupt Mask Setting this bit masks the interrupt when the R Rx_Packet_SMD_Err_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during.." "MMC Rx Packet SMD Error Counter Interrupt Mask..,MMC Rx Packet SMD Error Counter Interrupt Mask.."
newline
bitfld.long 0x0 0. "PAECIM,MMC Rx Packet Assembly Error Counter Interrupt Mask Setting this bit masks the interrupt when the R Rx_Packet_Assemble_Err_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are.." "MMC Rx Packet Assembly Error Counter Interrupt..,MMC Rx Packet Assembly Error Counter Interrupt.."
rgroup.long 0x8C8++0xF
line.long 0x0 "MMC_RX_PACKET_ASSEMBLY_ERR_CNTR,This register provides the number of MAC frames with reassembly errors on the Receiver. due to mismatch in the Fragment Count value."
hexmask.long 0x0 0.--31. 1. "PAEC,Rx Packet Assembly Error Counter This field indicates the number of MAC frames with reassembly errors on the Receiver due to mismatch in the Fragment Count value. Exists when any one of the RX/TX MMC counters are enabled during FPE Enabled.."
line.long 0x4 "MMC_RX_PACKET_SMD_ERR_CNTR,This register provides the number of received MAC frames rejected due to unknown SMD value and MAC frame fragments rejected due to arriving with an SMD-C when there was no preceding preempted frame."
hexmask.long 0x4 0.--31. 1. "PSEC,Rx Packet SMD Error Counter This field indicates the number of MAC frames rejected due to unknown SMD value and MAC frame fragments rejected due to arriving with an SMD-C when there was no preceding preempted frame. Exists when at least one of the.."
line.long 0x8 "MMC_RX_PACKET_ASSEMBLY_OK_CNTR,This register provides the number of MAC frames that were successfully reassembled and delivered to MAC."
hexmask.long 0x8 0.--31. 1. "PAOC,Rx Packet Assembly OK Counter This field indicates the number of MAC frames that were successfully reassembled and delivered to MAC. Exists when at least one of the RX/TX MMC counters are enabled during FPE Enabled configuration."
line.long 0xC "MMC_RX_FPE_FRAGMENT_CNTR,This register provides the number of additional mPackets received due to preemption."
hexmask.long 0xC 0.--31. 1. "FFC,Rx FPE Fragment Counter This field indicates the number of additional mPackets received due to preemption Exists when at least one of the RX/TX MMC counters are enabled during FPE Enabled configuration."
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x900)++0x3
line.long 0x0 "MAC_L3_L4_CONTROL$1,The Layer 3 and Layer 4 Control register controls the operations of filter 0 of Layer 3 and Layer 4."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 28. "DMCHEN0,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter. The DMA channel is indicated by the DMCHN bits. When this bit is reset the DMA channel is not.." "DMA Channel Select is disabled,DMA Channel Select is enabled"
newline
rbitfld.long 0x0 27. "RESERVED_27_Y,Reserved." "0,1"
newline
bitfld.long 0x0 24.--26. "DMCHN0,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed. The width of this field depends on the number of the DMA channels present in your configuration." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 21. "L4DPIM0,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching. When this bit is reset the Layer 4 Destination Port number field is enabled for perfect matching. This.." "Layer 4 Destination Port Inverse Match is disabled,Layer 4 Destination Port Inverse Match is enabled"
newline
bitfld.long 0x0 20. "L4DPM0,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching. When this bit is reset the MAC ignores the Layer 4 Destination Port number field for matching. 0x0: Layer 4 Destination.." "Layer 4 Destination Port Match is disabled,Layer 4 Destination Port Match is enabled"
newline
bitfld.long 0x0 19. "L4SPIM0,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching. When this bit is reset the Layer 4 Source Port number field is enabled for perfect matching. This bit is valid.." "Layer 4 Source Port Inverse Match is disabled,Layer 4 Source Port Inverse Match is enabled"
newline
bitfld.long 0x0 18. "L4SPM0,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching. When this bit is reset the MAC ignores the Layer 4 Source Port number field for matching. 0x0: Layer 4 Source Port Match is.." "Layer 4 Source Port Match is disabled,Layer 4 Source Port Match is enabled"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "L4PEN0,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching. When this bit is reset the Source and Destination Port number fields of TCP packets are used for matching. The Layer.." "Layer 4 Protocol is disabled,Layer 4 Protocol is enabled"
newline
hexmask.long.byte 0x0 11.--15. 1. "L3HDBM0,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets. The following list describes the values of this field: - 0: No bits are masked. - 1:.."
newline
hexmask.long.byte 0x0 6.--10. 1. "L3HSBM0,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets. The following list describes the values of this field: - 0: No bits are masked. - 1:.."
newline
bitfld.long 0x0 5. "L3DAIM0,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching. When this bit is reset the Layer 3 IP Destination Address field is enabled for perfect matching. This bit is valid.." "Layer 3 IP DA Inverse Match is disabled,Layer 3 IP DA Inverse Match is enabled"
newline
bitfld.long 0x0 4. "L3DAM0,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching. When this bit is reset the MAC ignores the Layer 3 IP Destination Address field for matching. Note: When the L3PEN0 bit is set you.." "Layer 3 IP DA Match is disabled,Layer 3 IP DA Match is enabled"
newline
bitfld.long 0x0 3. "L3SAIM0,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching. When this bit reset the Layer 3 IP Source Address field is enabled for perfect matching. This bit is valid and.." "Layer 3 IP SA Inverse Match is disabled,Layer 3 IP SA Inverse Match is enabled"
newline
bitfld.long 0x0 2. "L3SAM0,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching. When this bit is reset the MAC ignores the Layer 3 IP Source Address field for matching. Note: When the L3PEN0 bit is set you should.." "Layer 3 IP SA Match is disabled,Layer 3 IP SA Match is enabled"
newline
rbitfld.long 0x0 1. "RESERVED_1,Reserved." "0,1"
newline
bitfld.long 0x0 0. "L3PEN0,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets. When this bit is reset the Layer 3 IP Source or Destination Address matching is enabled for IPv4 packets. The Layer 3.." "Layer 3 Protocol is disabled,Layer 3 Protocol is enabled"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x904)++0x3
line.long 0x0 "MAC_LAYER4_ADDRESS$1,The MAC_Layer4_Address(#i). MAC_L3_L4_Control(#i). MAC_Layer3_Addr0_Reg(#i). MAC_Layer3_Addr1_Reg(#i). MAC_Layer3_Addr2_Reg(#i) and MAC_Layer3_Addr3_Reg(#i) registers are reserved (RO with default value) if Enable Layer 3 and Layer 4.."
hexmask.long.word 0x0 16.--31. 1. "L4DP0,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_Control0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets."
newline
hexmask.long.word 0x0 0.--15. 1. "L4SP0,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_Control0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets. When the.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x910)++0x3
line.long 0x0 "MAC_LAYER3_ADDR0_REG$1,For IPv4 packets. the Layer 3 Address 0 Register 0 register contains the 32-bit IP Source Address field. For IPv6 packets. it contains Bits[31:0] of the 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A00,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits are.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x914)++0x3
line.long 0x0 "MAC_LAYER3_ADDR1_REG$1,For IPv4 packets. the Layer 3 Address 1 Register 0 register contains the 32-bit IP Destination Address field. For IPv6 packets. it contains Bits[63:32] of the 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A10,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits are.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x918)++0x3
line.long 0x0 "MAC_LAYER3_ADDR2_REG$1,The Layer 3 Address 2 Register 0 register is reserved for IPv4 packets. For IPv6 packets. it contains Bits[95:64] of 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A20,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits are.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x91C)++0x3
line.long 0x0 "MAC_LAYER3_ADDR3_REG$1,The Layer 3 Address 3 Register 0 register is reserved for IPv4 packets. For IPv6 packets. it contains Bits[127:96] of 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A30,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits.."
repeat.end
group.long 0xB00++0x7
line.long 0x0 "MAC_TIMESTAMP_CONTROL,This register controls the operation of the System Time generator and processing of PTP packets for timestamping in the Receiver."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 28. "AV8021ASMEN,AV 802.1AS Mode Enable When this bit is set the MAC processes only untagged PTP over Ethernet packets for providing PTP status and capturing timestamp snapshots that is IEEE 802.1AS mode of operation. When PTP offload feature is enabled.." "AV 802,AV 802"
newline
rbitfld.long 0x0 25.--27. "RESERVED_27_25,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24. "TXTSSTSM,Transmit Timestamp Status Mode When this bit is set the MAC overwrites the earlier transmit timestamp status even if it is not read by the software. The MAC indicates this by setting the TXTSSMIS bit of the MAC_Tx_Timestamp_Status_Nanoseconds.." "Transmit Timestamp Status Mode is disabled,Transmit Timestamp Status Mode is enabled"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "ESTI,External System Time Input When this bit is set the MAC uses the external 64-bit reference System Time input for the following: - To take the timestamp provided as status - To insert the timestamp in transmit PTP packets when One-step Timestamp or.." "External System Time Input is disabled,External System Time Input is enabled"
newline
bitfld.long 0x0 19. "CSC,Enable checksum correction during OST for PTP over UDP/IPv4 packets When this bit is set the last two bytes of PTP message sent over UDP/IPv4 is updated to keep the UDP checksum correct for changes made to origin timestamp and/or correction field.." "checksum correction during OST for PTP over..,checksum correction during OST for PTP over.."
newline
bitfld.long 0x0 18. "TSENMACADDR,Enable MAC Address for PTP Packet Filtering When this bit is set the DA MAC address (that matches any MAC Address register) is used to filter the PTP packets when PTP is directly sent over Ethernet. When this bit is set received PTP packets.." "MAC Address for PTP Packet Filtering is disabled,MAC Address for PTP Packet Filtering is enabled"
newline
bitfld.long 0x0 16.--17. "SNAPTYPSEL,Select PTP packets for Taking Snapshots These bits along with Bits 15 and 14 decide the set of PTP packet types for which snapshot needs to be taken. The encoding is given in Timestamp Snapshot Dependency on Register Bits Table." "0,1,2,3"
newline
bitfld.long 0x0 15. "TSMSTRENA,Enable Snapshot for Messages Relevant to Master When this bit is set the snapshot is taken only for the messages that are relevant to the master node. Otherwise the snapshot is taken for the messages relevant to the slave node. 0x0: Snapshot.." "Snapshot for Messages Relevant to Master is..,Snapshot for Messages Relevant to Master is.."
newline
bitfld.long 0x0 14. "TSEVNTENA,Enable Timestamp Snapshot for Event Messages When this bit is set the timestamp snapshot is taken only for event messages (SYNC Delay_Req Pdelay_Req or Pdelay_Resp). When this bit is reset the snapshot is taken for all messages except.." "Timestamp Snapshot for Event Messages is disabled,Timestamp Snapshot for Event Messages is enabled"
newline
bitfld.long 0x0 13. "TSIPV4ENA,Enable Processing of PTP Packets Sent over IPv4-UDP When this bit is set the MAC receiver processes the PTP packets encapsulated in IPv4-UDP packets. When this bit is reset the MAC ignores the PTP transported over IPv4-UDP packets. This bit.." "Processing of PTP Packets Sent over IPv4-UDP is..,Processing of PTP Packets Sent over IPv4-UDP is.."
newline
bitfld.long 0x0 12. "TSIPV6ENA,Enable Processing of PTP Packets Sent over IPv6-UDP When this bit is set the MAC receiver processes the PTP packets encapsulated in IPv6-UDP packets. When this bit is clear the MAC ignores the PTP transported over IPv6-UDP packets. 0x0:.." "Processing of PTP Packets Sent over IPv6-UDP is..,Processing of PTP Packets Sent over IPv6-UDP is.."
newline
bitfld.long 0x0 11. "TSIPENA,Enable Processing of PTP over Ethernet Packets When this bit is set the MAC receiver processes the PTP packets encapsulated directly in the Ethernet packets. When this bit is reset the MAC ignores the PTP over Ethernet packets. 0x0: Processing.." "Processing of PTP over Ethernet Packets is..,Processing of PTP over Ethernet Packets is enabled"
newline
bitfld.long 0x0 10. "TSVER2ENA,Enable PTP Packet Processing for Version 2 Format When this bit is set the IEEE 1588 version 2 format is used to process the PTP packets. When this bit is reset the IEEE 1588 version 1 format is used to process the PTP packets. The IEEE 1588.." "PTP Packet Processing for Version 2 Format is..,PTP Packet Processing for Version 2 Format is.."
newline
bitfld.long 0x0 9. "TSCTRLSSR,Timestamp Digital or Binary Rollover Control When this bit is set the Timestamp Low register rolls over after 0x3B9A_C9FF value (that is 1 nanosecond accuracy) and increments the timestamp (High) seconds. When this bit is reset the rollover.." "Timestamp Digital or Binary Rollover Control is..,Timestamp Digital or Binary Rollover Control is.."
newline
bitfld.long 0x0 8. "TSENALL,Enable Timestamp for All Packets When this bit is set the timestamp snapshot is enabled for all packets received by the MAC. 0x0: Timestamp for All Packets disabled 0x1: Timestamp for All Packets enabled" "Timestamp for All Packets disabled,Timestamp for All Packets enabled"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 6. "PTGE,Presentation Time Generation Enable When this bit is set the Presentation Time generation will be enabled. 0x0: Presentation Time Generation is disabled 0x1: Presentation Time Generation is enabled" "Presentation Time Generation is disabled,Presentation Time Generation is enabled"
newline
bitfld.long 0x0 5. "TSADDREG,Update Addend Register When this bit is set the content of the Timestamp Addend register is updated in the PTP block for fine correction. This bit is cleared when the update is complete. This bit should be zero before it is set. Access.." "Addend Register is not updated,Addend Register is updated"
newline
rbitfld.long 0x0 4. "RESERVED_TSTRIG,Reserved." "0,1"
newline
bitfld.long 0x0 3. "TSUPDT,Update Timestamp When this bit is set the system time is updated (added or subtracted) with the value specified in MAC_System_Time_Seconds_Update and MAC_System_Time_Nanoseconds_Update registers. This bit should be zero before updating it. This.." "Timestamp is not updated,Timestamp is updated"
newline
bitfld.long 0x0 2. "TSINIT,Initialize Timestamp When this bit is set the system time is initialized (overwritten) with the value specified in the MAC_System_Time_Seconds_Update and MAC_System_Time_Nanoseconds_Update registers. This bit should be zero before it is updated." "Timestamp is not initialized,Timestamp is initialized"
newline
bitfld.long 0x0 1. "TSCFUPDT,Fine or Coarse Timestamp Update When this bit is set the Fine method is used to update system timestamp. When this bit is reset Coarse method is used to update the system timestamp. 0x0: Coarse method is used to update system timestamp 0x1:.." "Coarse method is used to update system timestamp,Fine method is used to update system timestamp"
line.long 0x4 "MAC_SUB_SECOND_INCREMENT,This register specifies the value to be added to the internal system time register every cycle of clk_ptp_ref_i clock."
hexmask.long.byte 0x4 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.byte 0x4 16.--23. 1. "SSINC,Sub-second Increment Value The value programmed in this field is accumulated every clock cycle (of clk_ptp_i) with the contents of the sub-second register. For example when the PTP clock is 50 MHz (period is 20 ns) you should program 20 (0x14).."
newline
hexmask.long.byte 0x4 8.--15. 1. "SNSINC,Sub-nanosecond Increment Value This field contains the sub-nanosecond increment value represented in nanoseconds multiplied by 2^8. This value is accumulated with the sub-nanoseconds field of the subsecond register. For example when TSCTRLSSR.."
newline
hexmask.long.byte 0x4 0.--7. 1. "RESERVED_7_0,Reserved."
rgroup.long 0xB08++0x7
line.long 0x0 "MAC_SYSTEM_TIME_SECONDS,The System Time Seconds register. along with System Time Nanoseconds register. indicates the current value of the system time maintained by the MAC. Though it is updated on a continuous basis. there is some delay from the actual.."
hexmask.long 0x0 0.--31. 1. "TSS,Timestamp Second The value in this field indicates the current value in seconds of the System Time maintained by the MAC."
line.long 0x4 "MAC_SYSTEM_TIME_NANOSECONDS,The System Time Nanoseconds register. along with System Time Seconds register. indicates the current value of the system time maintained by the MAC."
bitfld.long 0x4 31. "RESERVED_31,Reserved." "0,1"
newline
hexmask.long 0x4 0.--30. 1. "TSSS,Timestamp Sub Seconds The value in this field has the sub-second representation of time with an accuracy of 0.46 ns. When Bit 9 is set in MAC_Timestamp_Control each bit represents 1 ns. The maximum value is 0x3B9A_C9FF after which it rolls-over to.."
group.long 0xB10++0xF
line.long 0x0 "MAC_SYSTEM_TIME_SECONDS_UPDATE,The System Time Seconds Update register. along with the System Time Nanoseconds Update register. initializes or updates the system time maintained by the MAC. You must write both registers before setting the TSINIT or.."
hexmask.long 0x0 0.--31. 1. "TSS,Timestamp Seconds The value in this field is the seconds part of the update. When ADDSUB is reset this field must be programmed with the seconds part of the update value. When ADDSUB is set this field must be programmed with the complement of the.."
line.long 0x4 "MAC_SYSTEM_TIME_NANOSECONDS_UPDATE,MAC System Time Nanoseconds Update register."
bitfld.long 0x4 31. "ADDSUB,Add or Subtract Time When this bit is set the time value is subtracted with the contents of the update register. When this bit is reset the time value is added with the contents of the update register. 0x0: Add time 0x1: Subtract time" "Add time,Subtract time"
newline
hexmask.long 0x4 0.--30. 1. "TSSS,Timestamp Sub Seconds The value in this field is the sub-seconds part of the update. When ADDSUB is reset this field must be programmed with the sub-seconds part of the update value with an accuracy based on the TSCTRLSSR bit of the.."
line.long 0x8 "MAC_TIMESTAMP_ADDEND,Timestamp Addend register. This register value is used only when the system time is configured for Fine Update mode (TSCFUPDT bit in the MAC_Timestamp_Control register). The content of this register is added to a 32-bit accumulator.."
hexmask.long 0x8 0.--31. 1. "TSAR,Timestamp Addend Register This field indicates the 32-bit time value to be added to the Accumulator register to achieve time synchronization."
line.long 0xC "MAC_SYSTEM_TIME_HIGHER_WORD_SECONDS,System Time - Higher Word Seconds register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.word 0xC 0.--15. 1. "TSHWR,Timestamp Higher Word Register This field contains the most-significant 16-bits of timestamp seconds value. This register is optional. You can add this register by selecting the Add IEEE 1588 Higher Word Register option. This register is directly.."
rgroup.long 0xB20++0x3
line.long 0x0 "MAC_TIMESTAMP_STATUS,Timestamp Status register. All bits except Bits[27:25] gets cleared when the application reads this register."
bitfld.long 0x0 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x0 25.--29. 1. "ATSNS,Number of Auxiliary Timestamp Snapshots This field indicates the number of Snapshots available in the FIFO. A value equal to the selected depth of FIFO (4 8 or 16) indicates that the Auxiliary Snapshot FIFO is full. These bits are cleared (to.."
newline
bitfld.long 0x0 24. "ATSSTM,Auxiliary Timestamp Snapshot Trigger Missed This bit is set when the Auxiliary timestamp snapshot FIFO is full and external trigger was set. This indicates that the latest snapshot is not stored in the FIFO. This bit is valid only if the Add IEEE.." "Auxiliary Timestamp Snapshot Trigger Missed..,Auxiliary Timestamp Snapshot Trigger Missed.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED_23_20,Reserved."
newline
hexmask.long.byte 0x0 16.--19. 1. "ATSSTN,Auxiliary Timestamp Snapshot Trigger Identifier These bits identify the Auxiliary trigger inputs for which the timestamp available in the Auxiliary Snapshot Register is applicable. When more than one bit is set at the same time it means that.."
newline
bitfld.long 0x0 15. "TXTSSIS,Tx Timestamp Status Interrupt Status In non-EQOS_CORE configurations when drop transmit status is enabled in MTL this bit is set when the captured transmit timestamp is updated in the MAC_Tx_Timestamp_Status_Nanoseconds and.." "Tx Timestamp Status Interrupt status not detected,Tx Timestamp Status Interrupt status detected"
newline
hexmask.long.byte 0x0 10.--14. 1. "RESERVED_14_10,Reserved."
newline
bitfld.long 0x0 9. "TSTRGTERR3,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS3_Target_Time_Seconds and MAC_PPS3_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 8. "TSTARGT3,Timestamp Target Time Reached for Target Time PPS3 When this bit is set it indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS3_Target_Time_Seconds and MAC_PPS3_Target_Time_Nanoseconds.." "Timestamp Target Time Reached for Target Time..,Timestamp Target Time Reached for Target Time.."
newline
bitfld.long 0x0 7. "TSTRGTERR2,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS2_Target_Time_Seconds and MAC_PPS2_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 6. "TSTARGT2,Timestamp Target Time Reached for Target Time PPS2 When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS2_Target_Time_Seconds and MAC_PPS2_Target_Time_Nanoseconds registers." "Timestamp Target Time Reached for Target Time..,Timestamp Target Time Reached for Target Time.."
newline
bitfld.long 0x0 5. "TSTRGTERR1,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS1_Target_Time_Seconds and MAC_PPS1_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 4. "TSTARGT1,Timestamp Target Time Reached for Target Time PPS1 When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS1_Target_Time_Seconds and MAC_PPS1_Target_Time_Nanoseconds registers." "Timestamp Target Time Reached for Target Time..,Timestamp Target Time Reached for Target Time.."
newline
bitfld.long 0x0 3. "TSTRGTERR0,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 2. "AUXTSTRIG,Auxiliary Timestamp Trigger Snapshot This bit is set high when the auxiliary snapshot is written to the FIFO. This bit is valid only if the Add IEEE 1588 Auxiliary Snapshot option is selected. Access restriction applies. Clears on read (or this.." "Auxiliary Timestamp Trigger Snapshot status not..,Auxiliary Timestamp Trigger Snapshot status.."
newline
bitfld.long 0x0 1. "TSTARGT0,Timestamp Target Time Reached When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds registers. Access restriction.." "Timestamp Target Time Reached status not detected,Timestamp Target Time Reached status detected"
newline
bitfld.long 0x0 0. "TSSOVF,Timestamp Seconds Overflow When this bit is set it indicates that the seconds value of the timestamp (when supporting version 2 format) has overflowed beyond 32'hFFFF_FFFF. Access restriction applies. Clears on read (or this bit is written to 1.." "Timestamp Seconds Overflow status not detected,Timestamp Seconds Overflow status detected"
rgroup.long 0xB30++0x7
line.long 0x0 "MAC_TX_TIMESTAMP_STATUS_NANOSECONDS,This register contains the nanosecond part of timestamp captured for Transmit packets when Tx status is disabled. The MAC_Tx_Timestamp_Status_Nanoseconds register. along with MAC_Tx_Timestamp_Status_Seconds. gives the.."
bitfld.long 0x0 31. "TXTSSMIS,Transmit Timestamp Status Missed When this bit is set it indicates one of the following: - The timestamp of the current packet is ignored if TXTSSTSM bit of the MAC_Timestamp_Control register is reset - The timestamp of the previous packet is.." "Transmit Timestamp Status Missed status not..,Transmit Timestamp Status Missed status detected"
newline
hexmask.long 0x0 0.--30. 1. "TXTSSLO,Transmit Timestamp Status Low This field contains the 31 bits of the Nanoseconds field of the Transmit packet's captured timestamp."
line.long 0x4 "MAC_TX_TIMESTAMP_STATUS_SECONDS,The register contains the higher 32 bits of the timestamp (in seconds) captured when a PTP packet is transmitted."
hexmask.long 0x4 0.--31. 1. "TXTSSHI,Transmit Timestamp Status High This field contains the lower 32 bits of the Seconds field of Transmit packet's captured timestamp."
group.long 0xB40++0x3
line.long 0x0 "MAC_AUXILIARY_CONTROL,The Auxiliary Timestamp Control register controls the Auxiliary Timestamp snapshot."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_8,Reserved."
newline
bitfld.long 0x0 7. "ATSEN3,Auxiliary Snapshot 3 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 3. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[3] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
bitfld.long 0x0 6. "ATSEN2,Auxiliary Snapshot 2 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 2. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[2] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
bitfld.long 0x0 5. "ATSEN1,Auxiliary Snapshot 1 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 1. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[1] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
bitfld.long 0x0 4. "ATSEN0,Auxiliary Snapshot 0 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 0. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[0] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
rbitfld.long 0x0 1.--3. "RESERVED_3_1,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "ATSFC,Auxiliary Snapshot FIFO Clear When set this bit resets the pointers of the Auxiliary Snapshot FIFO. This bit is cleared when the pointers are reset and the FIFO is empty. When this bit is high the auxiliary snapshots are stored in the FIFO." "Auxiliary Snapshot FIFO Clear is disabled,Auxiliary Snapshot FIFO Clear is enabled"
rgroup.long 0xB48++0x7
line.long 0x0 "MAC_AUXILIARY_TIMESTAMP_NANOSECONDS,The Auxiliary Timestamp Nanoseconds register. along with MAC_Auxiliary_Timestamp_Seconds. gives the 64-bit timestamp stored as auxiliary snapshot. These two registers form the read port of a 64-bit wide FIFO with a.."
bitfld.long 0x0 31. "RESERVED_31,Reserved." "0,1"
newline
hexmask.long 0x0 0.--30. 1. "AUXTSLO,Auxiliary Timestamp Contains the lower 31 bits (nanoseconds field) of the auxiliary timestamp."
line.long 0x4 "MAC_AUXILIARY_TIMESTAMP_SECONDS,The Auxiliary Timestamp - Seconds register contains the lower 32 bits of the Seconds field of the auxiliary timestamp register."
hexmask.long 0x4 0.--31. 1. "AUXTSHI,Auxiliary Timestamp Contains the lower 32 bits of the Seconds field of the auxiliary timestamp."
group.long 0xB50++0x17
line.long 0x0 "MAC_TIMESTAMP_INGRESS_ASYM_CORR,The MAC Timestamp Ingress Asymmetry Correction register contains the Ingress Asymmetry Correction value to be used while updating correction field in PDelay_Resp PTP messages."
hexmask.long 0x0 0.--31. 1. "OSTIAC,One-Step Timestamp Ingress Asymmetry Correction This field contains the ingress path asymmetry value to be added to correctionField of Pdelay_Resp PTP packet. The programmed value should be in units of nanoseconds and multiplied by 2^16. For.."
line.long 0x4 "MAC_TIMESTAMP_EGRESS_ASYM_CORR,The MAC Timestamp Egress Asymmetry Correction register contains the Egress Asymmetry Correction value to be used while updating the correction field in PDelay_Req PTP messages."
hexmask.long 0x4 0.--31. 1. "OSTEAC,One-Step Timestamp Egress Asymmetry Correction This field contains the egress path asymmetry value to be subtracted from correctionField of Pdelay_Resp PTP packet. The programmed value must be the negated value in units of nanoseconds multiplied.."
line.long 0x8 "MAC_TIMESTAMP_INGRESS_CORR_NANOSECOND,This register contains the correction value in nanoseconds to be used with the captured timestamp value in the ingress path."
hexmask.long 0x8 0.--31. 1. "TSIC,Timestamp Ingress Correction This field contains the ingress path correction value as defined by the Ingress Correction expression."
line.long 0xC "MAC_TIMESTAMP_EGRESS_CORR_NANOSECOND,This register contains the correction value in nanoseconds to be used with the captured timestamp value in the egress path."
hexmask.long 0xC 0.--31. 1. "TSEC,Timestamp Egress Correction This field contains the nanoseconds part of the egress path correction value as defined by the Egress Correction expression."
line.long 0x10 "MAC_TIMESTAMP_INGRESS_CORR_SUBNANOSEC,This register contains the sub-nanosecond part of the correction value to be used with the captured timestamp value. for ingress direction."
hexmask.long.word 0x10 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x10 8.--15. 1. "TSICSNS,Timestamp Ingress Correction sub-nanoseconds This field contains the sub-nanoseconds part of the ingress path correction value as defined by the 'Ingress Correction' expression."
newline
hexmask.long.byte 0x10 0.--7. 1. "RESERVED_7_0,Reserved."
line.long 0x14 "MAC_TIMESTAMP_EGRESS_CORR_SUBNANOSEC,This register contains the sub-nanosecond part of the correction value to be used with the captured timestamp value. for egress direction."
hexmask.long.word 0x14 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x14 8.--15. 1. "TSECSNS,Timestamp Egress Correction sub-nanoseconds This field contains the sub-nanoseconds part of the egress path correction value as defined by the 'Egress Correction' expression."
newline
hexmask.long.byte 0x14 0.--7. 1. "RESERVED_7_0,Reserved."
rgroup.long 0xB68++0x7
line.long 0x0 "MAC_TIMESTAMP_INGRESS_LATENCY,This register holds the Ingress MAC latency."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
hexmask.long.word 0x0 16.--27. 1. "ITLNS,Ingress Timestamp Latency in sub-nanoseconds This register holds the average latency in sub-nanoseconds between the input ports (phy_rxd_i) of MAC and the actual point (GMII/MII) where the ingress timestamp is taken. Ingress correction value is.."
newline
hexmask.long.byte 0x0 8.--15. 1. "ITLSNS,Ingress Timestamp Latency in nanoseconds This register holds the average latency in nanoseconds between the input ports (phy_rxd_i) of MAC and the actual point (GMII/MII) where the ingress timestamp is taken. Ingress correction value is computed.."
newline
hexmask.long.byte 0x0 0.--7. 1. "RESERVED_7_0,Reserved."
line.long 0x4 "MAC_TIMESTAMP_EGRESS_LATENCY,This register holds the Egress MAC latency."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_28,Reserved."
newline
hexmask.long.word 0x4 16.--27. 1. "ETLNS,Egress Timestamp Latency in nanoseconds This register holds the average latency in nanoseconds between the actual point (GMII/MII) where the egress timestamp is taken and the output ports (phy_txd_o) of the MAC. Ingress correction value is.."
newline
hexmask.long.byte 0x4 8.--15. 1. "ETLSNS,Egress Timestamp Latency in sub-nanoseconds This register holds the average latency in sub-nanoseconds between the actual point (GMII/MII) where the egress timestamp is taken and the output ports (phy_txd_o) of the MAC. Ingress correction value.."
newline
hexmask.long.byte 0x4 0.--7. 1. "RESERVED_7_0,Reserved."
group.long 0xB70++0x3
line.long 0x0 "MAC_PPS_CONTROL,PPS Control register. Bits[30:24] of this register are valid only when four Flexible PPS outputs are selected. Bits[22:16] are valid only when three or more Flexible PPS outputs are selected. Bits[14:8] are valid only when two or more.."
bitfld.long 0x0 31. "MCGREN3,MCGR Mode Enable for PPS3 Output This field enables the 3rd PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode." "0,1"
newline
bitfld.long 0x0 29.--30. "TRGTMODSEL3,Target Time Register Mode for PPS3 Output This field indicates the Target Time registers (MAC_PPS3_Target_Time_Seconds and MAC_PPS3_Target_Time_Nanoseconds) mode for PPS3 output signal. 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
rbitfld.long 0x0 28. "RESERVED_28,Reserved." "0,1"
newline
hexmask.long.byte 0x0 24.--27. 1. "PPSCMD3,Flexible PPS3 Output Control This field controls the flexible PPS3 output (ptp_pps_o[3]) signal. This field is similar to the PPSCMD0[2:0] field. If MCGREN3 is set then PPSCMD3 indicated by these 4 bits [27:24] are taken as Presentation Time.."
newline
bitfld.long 0x0 23. "MCGREN2,MCGR Mode Enable for PPS2 Output This field enables the 2nd PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode. 0x0: 2nd PPS instance is disabled to operate in PPS or MCGR mode 0x1:.." "0,1"
newline
bitfld.long 0x0 21.--22. "TRGTMODSEL2,Target Time Register Mode for PPS2 Output This field indicates the Target Time registers (MAC_PPS2_Target_Time_Seconds and MAC_PPS2_Target_Time_Nanoseconds) mode for PPS2 output signal. 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
rbitfld.long 0x0 20. "RESERVED_20,Reserved." "0,1"
newline
hexmask.long.byte 0x0 16.--19. 1. "PPSCMD2,Flexible PPS2 Output Control This field controls the flexible PPS2 output (ptp_pps_o[2]) signal. This field is similar to the PPSCMD0 field. If MCGREN2 is set then PPSCMD2 indicated by these 4 bits [19:16] are taken as Presentation Time Control.."
newline
bitfld.long 0x0 15. "MCGREN1,MCGR Mode Enable for PPS1 Output This field enables the 1st PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode. 0x0: 1st PPS instance is disabled to operate in PPS or MCGR mode 0x1:.." "0,1"
newline
bitfld.long 0x0 13.--14. "TRGTMODSEL1,Target Time Register Mode for PPS1 Output This field indicates the Target Time registers (MAC_PPS1_Target_Time_Seconds and MAC_PPS1_Target_Time_Nanoseconds) mode for PPS1 output signal. 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
rbitfld.long 0x0 12. "RESERVED_12,Reserved." "0,1"
newline
hexmask.long.byte 0x0 8.--11. 1. "PPSCMD1,Flexible PPS1 Output Control This field controls the flexible PPS1 output (ptp_pps_o[1]) signal. This field is similar to the PPSCMD0 field. If MCGREN1 is set then PPSCMD1 indicated by these 4 bits [11:8] are taken as Presentation Time Control.."
newline
bitfld.long 0x0 7. "MCGREN0,MCGR Mode Enable for PPS0 Output This field enables the 0th PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode. 0x1: 0th PPS instance is enabled to operate in MCGR mode 0x0: 0th PPS.." "0,1"
newline
bitfld.long 0x0 5.--6. "TRGTMODSEL0,Target Time Register Mode for PPS0 Output This field indicates the Target Time registers (MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds) mode for PPS0 output signal: 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
bitfld.long 0x0 4. "PPSEN0,Flexible PPS Output Mode Enable When this bit is set Bits[3:0] function as PPSCMD. When this bit is reset Bits[3:0] function as PPSCTRL (Fixed PPS mode). 0x0: Flexible PPS Output Mode is disabled 0x1: Flexible PPS Output Mode is enabled" "Flexible PPS Output Mode is disabled,Flexible PPS Output Mode is enabled"
newline
hexmask.long.byte 0x0 0.--3. 1. "PPSCTRL_PPSCMD,PPS Output Frequency Control This field controls the frequency of the PPS0 output (ptp_pps_o[0]) signal. The default value of PPSCTRL is 0000 and the PPS output is 1 pulse (of width clk_ptp_i) every second. For other values of PPSCTRL.."
group.long 0xB80++0x53
line.long 0x0 "MAC_PPS0_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x0 0.--31. 1. "TSTRH0,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x4 "MAC_PPS0_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x4 31. "TRGTBUSY0,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x4 0.--30. 1. "TTSL0,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x8 "MAC_PPS0_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x8 0.--31. 1. "PPSINT0,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0xC "MAC_PPS0_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0xC 0.--31. 1. "PPSWIDTH0,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x10 "MAC_PPS1_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x10 0.--31. 1. "TSTRH1,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x14 "MAC_PPS1_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x14 31. "TRGTBUSY1,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x14 0.--30. 1. "TTSL1,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x18 "MAC_PPS1_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x18 0.--31. 1. "PPSINT1,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0x1C "MAC_PPS1_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x1C 0.--31. 1. "PPSWIDTH1,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x20 "MAC_PPS2_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x20 0.--31. 1. "TSTRH2,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x24 "MAC_PPS2_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x24 31. "TRGTBUSY2,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x24 0.--30. 1. "TTSL2,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x28 "MAC_PPS2_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x28 0.--31. 1. "PPSINT2,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0x2C "MAC_PPS2_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x2C 0.--31. 1. "PPSWIDTH2,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x30 "MAC_PPS3_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x30 0.--31. 1. "TSTRH3,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x34 "MAC_PPS3_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x34 31. "TRGTBUSY3,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x34 0.--30. 1. "TTSL3,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x38 "MAC_PPS3_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x38 0.--31. 1. "PPSINT3,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0x3C "MAC_PPS3_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x3C 0.--31. 1. "PPSWIDTH3,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x40 "MAC_PTO_CONTROL,This register controls the PTP Offload Engine operation. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long.word 0x40 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x40 8.--15. 1. "DN,Domain Number This field indicates the domain Number in which the PTP node is operating."
newline
bitfld.long 0x40 7. "PDRDIS,Disable Peer Delay Response response generation When this bit is set the Peer Delay Response (Pdelay_Resp) response is not be generated for received Peer Delay Request (Pdelay_Req) request packet as required by the programmed mode. Note: Setting.." "Peer Delay Response response generation is enabled,Peer Delay Response response generation is.."
newline
bitfld.long 0x40 6. "DRRDIS,Disable PTO Delay Request/Response response generation When this bit is set the Delay Request and Delay response is not generated for received SYNC and Delay request packet respectively as required by the programmed mode. 0x1: PTO Delay.." "PTO Delay Request/Response response generation..,PTO Delay Request/Response response generation.."
newline
bitfld.long 0x40 5. "APDREQTRIG,Automatic PTP Pdelay_Req message Trigger When this bit is set one PTP Pdelay_Req message is transmitted. This bit is automatically cleared after the PTP Pdelay_Req message is transmitted. The application should set the APDREQEN bit for this.." "Automatic PTP Pdelay_Req message Trigger is..,Automatic PTP Pdelay_Req message Trigger is.."
newline
bitfld.long 0x40 4. "ASYNCTRIG,Automatic PTP SYNC message Trigger When this bit is set one PTP SYNC message is transmitted. This bit is automatically cleared after the PTP SYNC message is transmitted. The application should set the ASYNCEN bit for this operation. Access.." "Automatic PTP SYNC message Trigger is disabled,Automatic PTP SYNC message Trigger is enabled"
newline
rbitfld.long 0x40 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x40 2. "APDREQEN,Automatic PTP Pdelay_Req message Enable When this bit is set PTP Pdelay_Req message is generated periodically based on interval programmed or trigger from application when the MAC is programmed to be in Peer-to-Peer Transparent mode. 0x0:.." "Automatic PTP Pdelay_Req message is disabled,Automatic PTP Pdelay_Req message is enabled"
newline
bitfld.long 0x40 1. "ASYNCEN,Automatic PTP SYNC message Enable When this bit is set PTP SYNC message is generated periodically based on interval programmed or trigger from application when the MAC is programmed to be in Clock Master mode. 0x0: Automatic PTP SYNC message is.." "Automatic PTP SYNC message is disabled,Automatic PTP SYNC message is enabled"
newline
bitfld.long 0x40 0. "PTOEN,PTP Offload Enable When this bit is set the PTP Offload feature is enabled. 0x0: PTP Offload feature is disabled 0x1: PTP Offload feature is enabled" "PTP Offload feature is disabled,PTP Offload feature is enabled"
line.long 0x44 "MAC_SOURCE_PORT_IDENTITY0,This register contains Bits[31:0] of the 80-bit Source Port Identity of the PTP node. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long 0x44 0.--31. 1. "SPI0,Source Port Identity 0 This field indicates bits [31:0] of sourcePortIdentity of PTP node."
line.long 0x48 "MAC_SOURCE_PORT_IDENTITY1,This register contains Bits[63:32] of the 80-bit Source Port Identity of the PTP node. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long 0x48 0.--31. 1. "SPI1,Source Port Identity 1 This field indicates bits [63:32] of sourcePortIdentity of PTP node."
line.long 0x4C "MAC_SOURCE_PORT_IDENTITY2,This register contains Bits[79:64] of the 80-bit Source Port Identity of the PTP node. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long.word 0x4C 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.word 0x4C 0.--15. 1. "SPI2,Source Port Identity 2 This field indicates bits [79:64] of sourcePortIdentity of PTP node."
line.long 0x50 "MAC_LOG_MESSAGE_INTERVAL,This register contains the periodic intervals for automatic PTP packet generation. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long.byte 0x50 24.--31. 1. "LMPDRI,Log Min Pdelay_Req Interval This field indicates logMinPdelayReqInterval of PTP node. This is used to schedule the periodic Pdelay request packet transmission. Allowed values are -15 to 15.Negative value must be represented in 2's-complement form."
newline
hexmask.long.word 0x50 11.--23. 1. "RESERVED_23_11,Reserved."
newline
bitfld.long 0x50 8.--10. "DRSYNCR,Delay_Req to SYNC Ratio In Slave mode it is used for controlling frequency of Delay_Req messages transmitted. - 0: DelayReq generated for every received SYNC - 1: DelayReq generated every alternate reception of SYNC - 2: for every 4 SYNC.." "DelayReq generated for every received SYNC,DelayReq generated every alternate reception of..,for every 4 SYNC messages,for every 8 SYNC messages,for every 16 SYNC messages,for every 32 SYNC messages,Reserved,Reserved"
newline
hexmask.long.byte 0x50 0.--7. 1. "LSI,Log Sync Interval This field indicates the periodicity of the automatically generated SYNC message when the PTP node is Master. Allowed values are -15 to 15. Negative value must be represented in 2's-complement form. For example if the required.."
tree.end
tree "EQOS_MTL"
group.long 0x0++0x3
line.long 0x0 "MTL_OPERATION_MODE,The Operation Mode register establishes the Transmit and Receive operating modes and commands."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "FRPE,Flexible Rx parser Enable When this bit is set to 1 the Programmable Rx Parser functionality is enabled. When the Rx parser is disabled and if the Rx parser is in the middle of the parsing then it gets disabled only after completing the current.." "Flexible Rx parser is disabled,Flexible Rx parser is enabled"
newline
hexmask.long.byte 0x0 10.--14. 1. "RESERVED_14_10,Reserved."
newline
bitfld.long 0x0 9. "CNTCLR,Counters Reset When this bit is set all counters are reset. This bit is cleared automatically after 1 clock cycle. If this bit is set along with CNT_PRESET bit CNT_PRESET has precedence. Access restriction applies. Setting 1 sets. Self-cleared." "Counters are not reset,All counters are reset"
newline
bitfld.long 0x0 8. "CNTPRST,Counters Preset When this bit is set - MTL_TxQ[0-7]_Underflow register is initialized/preset to 12'h7F0. - Missed Packet and Overflow Packet counters in MTL_RxQ[0-7]_Missed_Packet_Overflow_Cnt register is initialized/preset to 12'h7F0. Access.." "Counters Preset is disabled,Counters Preset is enabled"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 5.--6. "SCHALG,Tx Scheduling Algorithm This field indicates the algorithm for Tx scheduling: 0x2: DWRR algorithm when DCB feature is selected.Otherwise Reserved 0x3: Strict priority algorithm 0x1: WFQ algorithm when DCB feature is selected.Otherwise Reserved.." "WRR algorithm,WFQ algorithm when DCB feature is selected,DWRR algorithm when DCB feature is selected,Strict priority algorithm"
newline
rbitfld.long 0x0 3.--4. "RESERVED_4_3,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 2. "RAA,Receive Arbitration Algorithm This field is used to select the arbitration algorithm for the Rx side. - 0: Strict priority (SP) Queue 0 has the lowest priority and the last queue has the highest priority. - 1: Weighted Strict Priority (WSP) 0x0:.." "Strict priority,Weighted Strict Priority"
newline
bitfld.long 0x0 1. "DTXSTS,Drop Transmit Status When this bit is set the Tx packet status received from the MAC is dropped in the MTL. When this bit is reset the Tx packet status received from the MAC is forwarded to the application. 0x0: Drop Transmit Status is disabled.." "Drop Transmit Status is disabled,Drop Transmit Status is enabled"
newline
rbitfld.long 0x0 0. "RESERVED_0,Reserved." "0,1"
group.long 0x8++0xB
line.long 0x0 "MTL_DBG_CTL,The FIFO Debug Access Control and Status register controls the operation mode of FIFO debug access."
hexmask.long.word 0x0 19.--31. 1. "RESERVED_31_19,Reserved."
newline
bitfld.long 0x0 17.--18. "EIEC,ECC Inject Error Control for Tx Rx and TSO memories When EIEE bit of this register is set following are the errors inserted based on the value encoded in this field. 0x0: Insert 1 bit error 0x3: Insert 1 bit error in address field 0x1: Insert 2.." "Insert 1 bit error,Insert 2 bit errors,Insert 3 bit errors,Insert 1 bit error in address field"
newline
bitfld.long 0x0 16. "EIEE,ECC Inject Error Enable for Tx Rx and TSO memories When set enables the ECC error injection feature. When reset disables the ECC error injection feature. 0x0: ECC Inject Error for Tx Rx and TSO memories is disabled 0x1: ECC Inject Error for Tx.." "ECC Inject Error for Tx,ECC Inject Error for Tx"
newline
bitfld.long 0x0 15. "STSIE,Transmit Status Available Interrupt Status Enable When this bit is set an interrupt is generated when Transmit status is available in slave mode. 0x0: Transmit Packet Available Interrupt Status is disabled 0x1: Transmit Packet Available Interrupt.." "Transmit Packet Available Interrupt Status is..,Transmit Packet Available Interrupt Status is.."
newline
bitfld.long 0x0 14. "PKTIE,Receive Packet Available Interrupt Status Enable When this bit is set an interrupt is generated when EOP of received packet is written to the Rx FIFO. 0x0: Receive Packet Available Interrupt Status is disabled 0x1: Receive Packet Available.." "Receive Packet Available Interrupt Status is..,Receive Packet Available Interrupt Status is.."
newline
bitfld.long 0x0 12.--13. "FIFOSEL,FIFO Selected for Access This field indicates the FIFO selected for debug access: 0x3: Rx FIFO 0x2: TSO FIFO (cannot be accessed when SLVMOD is set) 0x0: Tx FIFO 0x1: Tx Status FIFO (only read access when SLVMOD is set)" "Tx FIFO,Tx Status FIFO,TSO FIFO,Rx FIFO"
newline
bitfld.long 0x0 11. "FIFOWREN,FIFO Write Enable When this bit is set it enables the Write operation on selected FIFO when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access restriction.." "FIFO Write is disabled,FIFO Write is enabled"
newline
bitfld.long 0x0 10. "FIFORDEN,FIFO Read Enable When this bit is set it enables the Read operation on selected FIFO when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access restriction.." "FIFO Read is disabled,FIFO Read is enabled"
newline
bitfld.long 0x0 9. "RSTSEL,Reset Pointers of Selected FIFO When this bit is set the pointers of the currently-selected FIFO are reset when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access.." "Reset Pointers of Selected FIFO is disabled,Reset Pointers of Selected FIFO is enabled"
newline
bitfld.long 0x0 8. "RSTALL,Reset All Pointers When this bit is set the pointers of all FIFOs are reset when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access restriction applies." "Reset All Pointers is disabled,Reset All Pointers is enabled"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 5.--6. "PKTSTATE,Encoded Packet State This field is used to write the control information to the Tx FIFO or Rx FIFO. Tx FIFO: - 00: Packet Data - 01: Control Word - 10: SOP Data - 11: EOP Data Rx FIFO: - 00: Packet Data - 01: Normal Status - 10: Last Status -.." "Packet Data,Control Word/Normal Status,SOP Data/Last Status,EOP Data/EOP"
newline
rbitfld.long 0x0 4. "RESERVED_4,Reserved." "0,1"
newline
bitfld.long 0x0 2.--3. "BYTEEN,Byte Enables This field indicates the number of data bytes valid in the data register during Write operation. This is valid only when PKTSTATE is 2'b10 (EOP) and Tx FIFO or Rx FIFO is selected. 0x3: All four bytes are valid 0x2: Byte 0 Byte 1.." "Byte 0 valid,Byte 0 and Byte 1 are valid,Byte 0,All four bytes are valid"
newline
bitfld.long 0x0 1. "DBGMOD,Debug Mode Access to FIFO When this bit is set it indicates that the current access to the FIFO is read write and debug access. In this mode the following access types are allowed: - Read and Write access to Tx FIFO TSO FIFO and Rx FIFO -.." "Debug Mode Access to FIFO is disabled,Debug Mode Access to FIFO is enabled"
newline
bitfld.long 0x0 0. "FDBGEN,FIFO Debug Access Enable When this bit is set it indicates that the debug mode access to the FIFO is enabled. When this bit is reset it indicates that the FIFO can be accessed only through a master interface. 0x0: FIFO Debug Access is disabled.." "FIFO Debug Access is disabled,FIFO Debug Access is enabled"
line.long 0x4 "MTL_DBG_STS,The FIFO Debug Status register contains the status of FIFO debug access."
hexmask.long.tbyte 0x4 15.--31. 1. "LOCR,Remaining Locations in the FIFO Slave Access Mode: This field indicates the space available in selected FIFO. Debug Access Mode: This field contains the Write or Read pointer value of the selected FIFO during Write or Read operation respectively."
newline
hexmask.long.byte 0x4 10.--14. 1. "RESERVED_14_10,Reserved."
newline
bitfld.long 0x4 9. "STSI,Transmit Status Available Interrupt Status When set this bit indicates that the Slave mode Tx packet is transmitted and the status is available in Tx Status FIFO. This bit is reset when 1 is written to this bit. 0x1: Transmit Status Available.." "Transmit Status Available Interrupt Status not..,Transmit Status Available Interrupt Status.."
newline
bitfld.long 0x4 8. "PKTI,Receive Packet Available Interrupt Status When set this bit indicates that MAC layer has written the EOP of received packet to the Rx FIFO. This bit is reset when 1 is written to this bit. 0x1: Receive Packet Available Interrupt Status detected.." "Receive Packet Available Interrupt Status not..,Receive Packet Available Interrupt Status detected"
newline
rbitfld.long 0x4 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 3.--4. "BYTEEN,Byte Enables This field indicates the number of data bytes valid in the data register during Read operation. This is valid only when PKTSTATE is 2'b10 (EOP) and Tx FIFO or Rx FIFO is selected. 0x3: All four bytes are valid 0x2: Byte 0 Byte 1 and.." "Byte 0 valid,Byte 0 and Byte 1 are valid,Byte 0,All four bytes are valid"
newline
rbitfld.long 0x4 1.--2. "PKTSTATE,Encoded Packet State This field is used to get the control or status information of the selected FIFO. Tx FIFO: - 00: Packet Data - 01: Control Word - 10: SOP Data - 11: EOP Data Rx FIFO: - 00: Packet Data - 01: Normal Status - 10: Last Status -.." "Packet Data,Control Word/Normal Status,SOP Data/Last Status,EOP Data/EOP"
newline
rbitfld.long 0x4 0. "FIFOBUSY,FIFO Busy When set this bit indicates that a FIFO operation is in progress in the MAC and content of the following fields is not valid: - All other fields of this register - All fields of the MTL_FIFO_Debug_Data register 0x1: FIFO Busy detected.." "FIFO Busy not detected,FIFO Busy detected"
line.long 0x8 "MTL_FIFO_DEBUG_DATA,The FIFO Debug Data register contains the data to be written to or read from the FIFOs."
hexmask.long 0x8 0.--31. 1. "FDBGDATA,FIFO Debug Data During debug or slave access write operation this field contains the data to be written to the Tx FIFO Rx FIFO or TSO FIFO. During debug or slave access read operation this field contains the data read from the Tx FIFO Rx.."
rgroup.long 0x20++0x3
line.long 0x0 "MTL_INTERRUPT_STATUS,The software driver (application) reads this register during interrupt service routine or polling to determine the interrupt status of MTL queues and the MAC."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
bitfld.long 0x0 23. "MTLPIS,MTL Rx Parser Interrupt Status This bit indicates that there is an interrupt from Rx Parser Block. To reset this bit the application must read the MTL_ Rxp_Interrupt_Status register to get the exact cause of the interrupt and clear its source." "MTL Rx Parser Interrupt status not detected,MTL Rx Parser Interrupt status detected"
newline
hexmask.long.byte 0x0 19.--22. 1. "RESERVED_22_19,Reserved."
newline
bitfld.long 0x0 18. "ESTIS,EST (TAS- 802.1Qbv) Interrupt Status This bit indicates an interrupt event during the operation of 802.1Qbv. To reset this bit the application must clear the error/event that has caused the Interrupt. 0x1: EST (TAS- 802.1Qbv) Interrupt status.." "EST,EST"
newline
bitfld.long 0x0 17. "DBGIS,Debug Interrupt status This bit indicates an interrupt event during the slave access. To reset this bit the application must read the FIFO Debug Access Status register to get the exact cause of the interrupt and clear its source. 0x1: Debug.." "Debug Interrupt status not detected,Debug Interrupt status detected"
newline
bitfld.long 0x0 16. "RESERVED_MACIS,Reserved." "0,1"
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x0 7. "RESERVED_Q7IS,Reserved." "0,1"
newline
bitfld.long 0x0 6. "RESERVED_Q6IS,Reserved." "0,1"
newline
bitfld.long 0x0 5. "RESERVED_Q5IS,Reserved." "0,1"
newline
bitfld.long 0x0 4. "Q4IS,Queue 4 Interrupt status This bit indicates that there is an interrupt from Queue 4. To reset this bit the application must read the MTL_Q4_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 4.." "Queue 4 Interrupt status not detected,Queue 4 Interrupt status detected"
newline
bitfld.long 0x0 3. "Q3IS,Queue 3 Interrupt status This bit indicates that there is an interrupt from Queue 3. To reset this bit the application must read the MTL_Q3_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 3.." "Queue 3 Interrupt status not detected,Queue 3 Interrupt status detected"
newline
bitfld.long 0x0 2. "Q2IS,Queue 2 Interrupt status This bit indicates that there is an interrupt from Queue 2. To reset this bit the application must read the MTL_Q2_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 2.." "Queue 2 Interrupt status not detected,Queue 2 Interrupt status detected"
newline
bitfld.long 0x0 1. "Q1IS,Queue 1 Interrupt status This bit indicates that there is an interrupt from Queue 1. To reset this bit the application must read the MTL_Q1_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 1.." "Queue 1 Interrupt status not detected,Queue 1 Interrupt status detected"
newline
bitfld.long 0x0 0. "Q0IS,Queue 0 Interrupt status This bit indicates that there is an interrupt from Queue 0. To reset this bit the application must read Queue 0 Interrupt Control and Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue.." "Queue 0 Interrupt status not detected,Queue 0 Interrupt status detected"
group.long 0x30++0x7
line.long 0x0 "MTL_RXQ_DMA_MAP0,The Receive Queue and DMA Channel Mapping 0 register is reserved in EQOS-CORE and EQOS-MTL configurations."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 28. "Q3DDMACH,Queue 3 Enabled for Dynamic (per packet) DMA Channel Selection When set this bit indicates that the packets received in Queue 3 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in.." "Queue 3 disabled for DA-based DMA Channel..,Queue 3 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 27. "RESERVED_27_Y,Reserved." "0,1"
newline
bitfld.long 0x0 24.--26. "Q3MDMACH,Queue 3 Mapped to DMA Channel This field controls the routing of the received packet in Queue 3 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "Q2DDMACH,Queue 2 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 2 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 2 disabled for DA-based DMA Channel..,Queue 2 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 19. "RESERVED_19_Y,Reserved." "0,1"
newline
bitfld.long 0x0 16.--18. "Q2MDMACH,Queue 2 Mapped to DMA Channel This field controls the routing of the received packet in Queue 2 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
newline
rbitfld.long 0x0 13.--15. "RESERVED_15_13,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 12. "Q1DDMACH,Queue 1 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 1 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 1 disabled for DA-based DMA Channel..,Queue 1 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 11. "RESERVED_11_Y,Reserved." "0,1"
newline
bitfld.long 0x0 8.--10. "Q1MDMACH,Queue 1 Mapped to DMA Channel This field controls the routing of the received packet in Queue 1 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "Q0DDMACH,Queue 0 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 0 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 0 disabled for DA-based DMA Channel..,Queue 0 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 3. "RESERVED_3_Y,Reserved." "0,1"
newline
bitfld.long 0x0 0.--2. "Q0MDMACH,Queue 0 Mapped to DMA Channel This field controls the routing of the packet received in Queue 0 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
line.long 0x4 "MTL_RXQ_DMA_MAP1,The Receive Queue and DMA Channel Mapping 1 register is reserved in EQOS-CORE and EQOS-MTL configurations."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 28. "RESERVED_Q7DDMACH,Reserved." "0,1"
newline
rbitfld.long 0x4 27. "RESERVED_27_Y,Reserved." "0,1"
newline
rbitfld.long 0x4 24.--26. "RESERVED_Q7MDMACH,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 20. "RESERVED_Q6DDMACH,Reserved." "0,1"
newline
rbitfld.long 0x4 19. "RESERVED_19_Y,Reserved." "0,1"
newline
rbitfld.long 0x4 16.--18. "RESERVED_Q6MDMACH,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 13.--15. "RESERVED_15_13,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 12. "RESERVED_Q5DDMACH,Reserved." "0,1"
newline
rbitfld.long 0x4 11. "RESERVED_11_Y,Reserved." "0,1"
newline
rbitfld.long 0x4 8.--10. "RESERVED_Q5MDMACH,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 4. "Q4DDMACH,Queue 4 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 4 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 4 disabled for DA-based DMA Channel..,Queue 4 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x4 3. "RESERVED_3_Y,Reserved." "0,1"
newline
bitfld.long 0x4 0.--2. "Q4MDMACH,Queue 4 Mapped to DMA Channel This field controls the routing of the packet received in Queue 4 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
group.long 0x40++0x3
line.long 0x0 "MTL_TBS_CTRL,This register controls the operation of Time Based Scheduling."
hexmask.long.tbyte 0x0 8.--31. 1. "LEOS,Launch Expiry Offset The value in units of 256 nanoseconds that has to be added to the Launch time to compute the Launch Expiry time. Value valid only when LEOV is set. Max value: 999 999 999 ns additionally should be smaller than CTR-1 value when.."
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 4.--6. "LEGOS,Launch Expiry GSN Offset The number GSN slots that has to be added to the Launch GSN to compute the Launch Expiry time. Value valid only when LEOV is set." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 1. "LEOV,Launch Expiry Offset Valid When set indicates the LEOS field is valid. When not set indicates the Launch Expiry Offset is not valid and the MTL must not check for Launch expiry time. 0x0: LEOS field is invalid 0x1: LEOS field is valid" "LEOS field is invalid,LEOS field is valid"
newline
bitfld.long 0x0 0. "ESTM,EST offset Mode When this bit is set the Launch Time value used in Time Based Scheduling is interpreted as an EST offset value and is added to the Base Time Register (BTR) of the current list. When reset the Launch Time value is used as an.." "EST offset Mode is disabled,EST offset Mode is enabled"
group.long 0x50++0x3
line.long 0x0 "MTL_EST_CONTROL,This register controls the operation of Enhancements to Scheduled Transmission (IEEE802.1Qbv)."
hexmask.long.byte 0x0 24.--31. 1. "PTOV,PTP Time Offset Value The value of PTP Clock period multiplied by 6 in nanoseconds. This value is needed to avoid transmission overruns at the beginning of the installation of a new GCL."
newline
hexmask.long.word 0x0 12.--23. 1. "CTOV,Current Time Offset Value Provides a 12 bit time offset value in nano second that is added to the current time to compensate for all the implementation pipeline delays such as the CDC sync delay buffering delays data path delays etc. This offset.."
newline
rbitfld.long 0x0 11. "RESERVED_11,Reserved." "0,1"
newline
bitfld.long 0x0 8.--10. "TILS,Time Interval Left Shift Amount This field provides the left shift amount for the programmed Time Interval values used in the Gate Control Lists. - 000: No left shift needed (equal to x1ns) - 001: Left shift TI by 1 bit (equal to x2ns) - 010: Left.." "No left shift needed,Left shift TI by 1 bit,?,?,?,?,?,?"
newline
bitfld.long 0x0 6.--7. "LCSE,Loop Count to report Scheduling Error Programmable number of GCL list iterations before reporting an HLBS error defined in EST_Status register. 0x2: 16 iterations 0x3: 32 iterations 0x0: 4 iterations 0x1: 8 iterations" "0,1,2,3"
newline
bitfld.long 0x0 5. "DFBS,Drop Frames causing Scheduling Error When set frames reported to cause HOL Blocking due to not getting scheduled (HLBS field of EST_Status register) after 4 8 16 32 (based on LCSE field of this register) GCL iterations are dropped. 0x0: Do not Drop.." "Do not Drop Frames causing Scheduling Error,Drop Frames causing Scheduling Error"
newline
bitfld.long 0x0 4. "DDBF,Do not Drop frames during Frame Size Error When set frames are not be dropped during Head-of-Line blocking due to Frame Size Error (HLBF field of EST_Status register). 0x1: Do not Drop frames during Frame Size Error 0x0: Drop frames during Frame.." "Drop frames during Frame Size Error,Do not Drop frames during Frame Size Error"
newline
rbitfld.long 0x0 2.--3. "RESERVED_2_3,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 1. "SSWL,Switch to S/W owned list When set indicates that the software has programmed that list that it currently owns (SWOL) and the hardware should switch to the new list based on the new BTR. Hardware clears this bit when the switch to the SWOL happens to.." "Switch to S/W owned list is disabled,Switch to S/W owned list is enabled"
newline
bitfld.long 0x0 0. "EEST,Enable EST When reset the gate control list processing is halted and all gates are assumed to be in Open state. Should be set for the hardware to start processing the gate control lists. During the toggle from 0 to 1 the gate control list.." "EST is disabled,EST is enabled"
group.long 0x58++0x3
line.long 0x0 "MTL_EST_STATUS,This register provides Status related to Enhancements to Scheduled Transmission (IEEE802.1Qbv)."
hexmask.long.word 0x0 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x0 16.--19. 1. "CGSN,Current GCL Slot Number Indicates the slot number of the GCL list. Slot number is a modulo 16 count of the GCL List loops executed so far. Even if a new GCL list is installed the count is incremental."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
hexmask.long.byte 0x0 8.--11. 1. "BTRL,BTR Error Loop Count Provides the minimum count (N) for which the equation Current Time =< New BTR + (N * New Cycle Time) becomes true. N = '1111' indicates the iterations exceeded the value of 8 and the hardware was not able to update New BTR to be.."
newline
rbitfld.long 0x0 7. "SWOL,S/W owned list When '0' indicates Gate control list number '0' is owned by software and when '1' indicates the Gate Control list '1' is owned by the software. Any reads/writes by the software (using indirect access via GCL_Control) is directed to.." "Gate control list number '0' is owned by software,Gate control list number '1' is owned by software"
newline
rbitfld.long 0x0 5.--6. "RESERVED_6_5,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 4. "CGCE,Constant Gate Control Error This error occurs when the list length (LLR) is 1 and the programmed Time Interval (TI) value after the optional Left Shifting is less than or equal to the Cycle Time (CTR). The above programming implies Gates are either.." "Constant Gate Control Error not detected,Constant Gate Control Error detected"
newline
rbitfld.long 0x0 3. "HLBS,Head-Of-Line Blocking due to Scheduling Set when the frame is not able to win arbitration and get scheduled even after 4 iterations of the GCL. Indicates to software a potential programming error. The one hot encoded values of the Queue Numbers that.." "Head-Of-Line Blocking due to Scheduling not..,Head-Of-Line Blocking due to Scheduling detected"
newline
rbitfld.long 0x0 2. "HLBF,Head-Of-Line Blocking due to Frame Size Set when HOL Blocking is noticed on one or more Queues as a result of none of the Time Intervals of gate open in the GCL being greater than or equal to the duration needed for frame size (or frame fragment.." "Head-Of-Line Blocking due to Frame Size not..,Head-Of-Line Blocking due to Frame Size detected"
newline
bitfld.long 0x0 1. "BTRE,BTR Error When '1' indicates a programming error in the BTR of SWOL where the programmed value is less than current time. If the BTRL = '1111' SWOL is not updated and Software should reprogram the BTR to a value greater than current time and then.." "BTR Error not detected,BTR Error detected"
newline
bitfld.long 0x0 0. "SWLC,Switch to S/W owned list Complete When '1' indicates the hardware has successfully switched to the SWOL and the SWOL bit has been updated to that effect. Cleared when the SSWL of EST_Control register transitions from 0 to 1 or on a software write." "Switch to S/W owned list Complete not detected,Switch to S/W owned list Complete detected"
group.long 0x60++0x7
line.long 0x0 "MTL_EST_SCH_ERROR,This register provides the One Hot encoded Queue Numbers that are having the Scheduling related error (timeout)."
hexmask.long 0x0 5.--31. 1. "RESERVED_31_X,Reserved."
newline
hexmask.long.byte 0x0 0.--4. 1. "SEQN,Schedule Error Queue Number The One Hot Encoded Queue Numbers that have experienced error/timeout described in HLBS field of status register. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect."
line.long 0x4 "MTL_EST_FRM_SIZE_ERROR,This register provides the One Hot encoded Queue Numbers that are having the Frame Size related error."
hexmask.long 0x4 5.--31. 1. "RESERVED_31_X,Reserved."
newline
hexmask.long.byte 0x4 0.--4. 1. "FEQN,Frame Size Error Queue Number The One Hot Encoded Queue Numbers that have experienced error described in HLBF field of status register. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect."
rgroup.long 0x68++0x3
line.long 0x0 "MTL_EST_FRM_SIZE_CAPTURE,This register captures the Frame Size and Queue Number of the first occurrence of the Frame Size related error. Up on clearing it captures the data of immediate next occurrence of a similar error."
hexmask.long.word 0x0 19.--31. 1. "RESERVED_31_X,Reserved."
newline
bitfld.long 0x0 16.--18. "HBFQ,Queue Number of HLBF Captures the binary value of the of the first Queue (number) experiencing HLBF error (see HLBF field of status register). Value once written is not altered by any subsequent queue errors of similar nature. Once cleared the queue.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x0 0.--14. 1. "HBFS,Frame Size of HLBF Captures the Frame Size of the dropped frame related to queue number indicated in HBFQ field of this register. Contents of this register should be considered invalid if this field is zero. Cleared when MTL_EST_Frm_Size_Error.."
group.long 0x70++0x3
line.long 0x0 "MTL_EST_INTR_ENABLE,This register implements the Interrupt Enable bits for the various events that generate an interrupt. Bit positions have a 1 to 1 correlation with the status bit positions in MTL_ETS_Status register."
hexmask.long 0x0 5.--31. 1. "RESERVED_31_5,Reserved."
newline
bitfld.long 0x0 4. "CGCE,Interrupt Enable for CGCE When set generates interrupt when the Constant Gate Control Error occurs and is indicated in the status. When reset this event does not generate an interrupt 0x0: Interrupt for CGCE is disabled 0x1: Interrupt for CGCE is.." "Interrupt for CGCE is disabled,Interrupt for CGCE is enabled"
newline
bitfld.long 0x0 3. "IEHS,Interrupt Enable for HLBS When set generates interrupt when the Head-of-Line Blocking due to Scheduling issue and is indicated in the status. When reset this event does not generate an interrupt. 0x0: Interrupt for HLBS is disabled 0x1: Interrupt.." "Interrupt for HLBS is disabled,Interrupt for HLBS is enabled"
newline
bitfld.long 0x0 2. "IEHF,Interrupt Enable for HLBF When set generates interrupt when the Head-of-Line Blocking due to Frame Size error occurs and is indicated in the status. When reset this event does not generate an interrupt. 0x0: Interrupt for HLBF is disabled 0x1:.." "Interrupt for HLBF is disabled,Interrupt for HLBF is enabled"
newline
bitfld.long 0x0 1. "IEBE,Interrupt Enable for BTR Error When set generates interrupt when the BTR Error occurs and is indicated in the status. When reset this event does not generate an interrupt. 0x0: Interrupt for BTR Error is disabled 0x1: Interrupt for BTR Error is.." "Interrupt for BTR Error is disabled,Interrupt for BTR Error is enabled"
newline
bitfld.long 0x0 0. "IECC,Interrupt Enable for Switch List When set generates interrupt when the configuration change is successful and the hardware has switched to the new list. When reset this event does not generate an interrupt. 0x0: Interrupt for Switch List is.." "Interrupt for Switch List is disabled,Interrupt for Switch List is enabled"
group.long 0x80++0x7
line.long 0x0 "MTL_EST_GCL_CONTROL,This register provides the control information for reading/writing to the Gate Control lists."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
bitfld.long 0x0 22.--23. "ESTEIEC,ECC Inject Error Control for EST Memory When EIEE bit of this register is set following are the errors inserted based on the value encoded in this field. This filed will be valid only if DWC_EQOS_ASP_ECC feature is selected during the.." "Insert 1 bit error,Insert 2 bit errors,Insert 3 bit errors,Insert 1 bit error in address field"
newline
bitfld.long 0x0 21. "ESTEIEE,EST ECC Inject Error Enable When set along with EEST bit of MTL_EST_Control register enables the ECC error injection feature. When reset disables the ECC error injection feature. 0x0: EST ECC Inject Error is disabled 0x1: EST ECC Inject Error.." "EST ECC Inject Error is disabled,EST ECC Inject Error is enabled"
newline
bitfld.long 0x0 20. "ERR0,When set indicates the last write operation was aborted as software writes to GCL and GCL registers is prohibited when SSWL bit of MTL_EST_Control Register is set. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears." "ERR0 is disabled,ERR1 is enabled"
newline
rbitfld.long 0x0 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 8.--17. 1. "ADDR,Gate Control List Address: (GCLA when GCRR is '0'). Provides the address (row number) of the Gate Control List at which the R/W operation has to be performed. By default the Gate Control List pointed by SWOL of MTL_EST_Status is selected for R/W.."
newline
bitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 5. "DBGB,Debug Mode Bank Select When set to '0' indicates R/W in debug mode should be directed to Bank 0 (GCL0 and corresponding Time related registers). When set to '1' indicates R/W in debug mode should be directed to Bank 1 (GCL1 and corresponding Time.." "R/W in debug mode should be directed to Bank 0,R/W in debug mode should be directed to Bank 1"
newline
bitfld.long 0x0 4. "DBGM,Debug Mode When set to '1' indicates R/W in debug mode where the memory bank (for GCL and Time related registers) is explicitly provided by DBGB value when set to '0' SWOL bit is used to determine which bank to use. 0x0: Debug Mode is disabled 0x1:.." "Debug Mode is disabled,Debug Mode is enabled"
newline
bitfld.long 0x0 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x0 2. "GCRR,Gate Control Related Registers When set to '1' indicates the R/W access is for the GCL related registers (BTR CTR TER LLR) whose address is provided by GCRA. When '0' indicates R/W should be directed to GCL from the address provided by GCLA. 0x0:.." "Gate Control Related Registers are disabled,Gate Control Related Registers are enabled"
newline
bitfld.long 0x0 1. "R1W0,Read '1' Write '0': When set to '1': Read Operation When set to '0': Write Operation. 0x1: Read Operation 0x0: Write Operation" "Write Operation,Read Operation"
newline
bitfld.long 0x0 0. "SRWO,Start Read/Write Op When set indicates a Read/Write Op has started and is in progress. When reset by hardware indicates the R/W Op has completed or an error has occurred (when bit 20 is set) Reads: Data can be read from MTL_EST_GCL_Data register.." "Start Read/Write Op disabled,Start Read/Write Op enabled"
line.long 0x4 "MTL_EST_GCL_DATA,This register holds the read data or write data in case of reads and writes respectively."
hexmask.long 0x4 0.--31. 1. "GCD,Gate Control Data The data corresponding to the address selected in the GCL_Control register. Used for both Read and Write operations."
group.long 0x90++0x7
line.long 0x0 "MTL_FPE_CTRL_STS,This register controls the operation of. and provides status for Frame Preemption (IEEE802.1Qbu/802.3br)."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 28. "HRS,Hold/Release Status - 1: Indicates a Set-and-Hold-MAC operation was last executed and the pMAC is in Hold State. - 0: Indicates a Set-and-Release-MAC operation was last executed and the pMAC is in Release State. 0x1: Indicates a Set-and-Hold-MAC.." "Indicates a Set-and-Release-MAC operation was..,Indicates a Set-and-Hold-MAC operation was last.."
newline
hexmask.long.word 0x0 16.--27. 1. "RESERVED_27_16,Reserved."
newline
rbitfld.long 0x0 13.--15. "RESERVED_15_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 8.--12. 1. "PEC,Preemption Classification When set indicates the corresponding Queue must be classified as preemptable when '0' Queue is classified as express. When both EST (Qbv) and Preemption are enabled Queue-0 is always assumed to be preemptable. When EST.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 0.--1. "AFSZ,Additional Fragment Size used to indicate in units of 64 bytes the minimum number of bytes over 64 bytes required in non-final fragments of preempted frames. The minimum non-final fragment size is (AFSZ +1) * 64 bytes" "0,1,2,3"
line.long 0x4 "MTL_FPE_ADVANCE,This register holds the Hold and Release Advance time."
hexmask.long.word 0x4 16.--31. 1. "RADV,Release Advance The maximum time in nanoseconds that can elapse between issuing a RELEASE to the MAC and the MAC being ready to resume transmission of preemptable frames in the absence of there being any express frames available for transmission."
newline
hexmask.long.word 0x4 0.--15. 1. "HADV,Hold Advance The maximum time in nanoseconds that can elapse between issuing a HOLD to the MAC and the MAC ceasing to transmit any preemptable frame that is in the process of transmission or any preemptable frames that are queued for transmission."
group.long 0xA0++0x7
line.long 0x0 "MTL_RXP_CONTROL_STATUS,The MTL_RXP_Control_Status register establishes the operating mode of Rx Parser and provides some status."
rbitfld.long 0x0 31. "RXPI,RX Parser in Idle state This status bit is set to 1 when the Rx parser is in Idle State and waiting for a new packet for processing. This bit is used as a handshake with software when parser gets disables. After disabling when bit is set then.." "RX Parser not in Idle state,RX Parser in Idle state"
newline
hexmask.long.byte 0x0 24.--30. 1. "RESERVED_30_X,Reserved."
newline
hexmask.long.byte 0x0 16.--23. 1. "NPE,Number of parsable entries in the Instruction table This control indicates the number of parsable entries in the Instruction Memory. This is used in Rx parser logic to detect programming Error. In case number of parsed entries for a packet is more.."
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_X,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "NVE,Number of valid entries in the Instruction table This control indicates the number of valid entries in the Instruction Memory. This is used in Rx parser logic to detect any programming Error. In case while parsing Table address (memory address) found.."
line.long 0x4 "MTL_RXP_INTERRUPT_CONTROL_STATUS,The MTL_RXP_Interrupt_Control_Status registers provides enable control for the interrupts and provides interrupt status."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_20,Reserved."
newline
bitfld.long 0x4 19. "PDRFIE,Packet Drop due to RF Interrupt Enable When this bit is set the PDRFIS interrupt is enabled. When this bit is reset the PDRFIS interrupt is disabled. 0x0: Packet Drop due to RF Interrupt is disabled 0x1: Packet Drop due to RF Interrupt is enabled" "Packet Drop due to RF Interrupt is disabled,Packet Drop due to RF Interrupt is enabled"
newline
bitfld.long 0x4 18. "FOOVIE,Frame Offset Overflow Interrupt Enable When this bit is set the FOOVIS interrupt is enabled. When this bit is reset the FOOVIS interrupt is disabled. 0x0: Frame Offset Overflow Interrupt is disabled 0x1: Frame Offset Overflow Interrupt is enabled" "Frame Offset Overflow Interrupt is disabled,Frame Offset Overflow Interrupt is enabled"
newline
rbitfld.long 0x4 17. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 16. "NVEOVIE,Number of Valid Entries Overflow Interrupt Enable When this bit is set the NVEOVIS interrupt is enabled. When this bit is reset the NVEOVIS interrupt is disabled. 0x0: Number of Valid Entries Overflow Interrupt is disabled 0x1: Number of Valid.." "Number of Valid Entries Overflow Interrupt is..,Number of Valid Entries Overflow Interrupt is.."
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED_15_4,Reserved."
newline
bitfld.long 0x4 3. "PDRFIS,Packet Dropped due to RF Interrupt Status If the Rx Parser result says to drop the packet by setting RF=1 in the instruction memory then this bit is set to 1. This bit is cleared when the application writes 1 to this bit. Access restriction.." "Packet Dropped due to RF Interrupt Status not..,Packet Dropped due to RF Interrupt Status detected"
newline
bitfld.long 0x4 2. "FOOVIS,Frame Offset Overflow Interrupt Status While parsing if the Instruction table entry's 'Frame Offset' found to be more than EOF offset then then this bit is set. This bit is cleared when the application writes 1 to this bit. Access restriction.." "Frame Offset Overflow Interrupt Status not..,Frame Offset Overflow Interrupt Status detected"
newline
bitfld.long 0x4 1. "NPEOVIS,Number of Parsable Entries Overflow Interrupt Status While parsing a packet if the number of parsed entries found to be more than NPE[] (Number of Parseable Entries in MTL_RXP_Control register) then this bit is set to 1. This bit is cleared when.." "Number of Parsable Entries Overflow Interrupt..,Number of Parsable Entries Overflow Interrupt.."
newline
bitfld.long 0x4 0. "NVEOVIS,Number of Valid Entries Overflow Interrupt Status While parsing if the Instruction address found to be more than NVE (Number of Valid Entries in MTL_RXP_Control register) then this bit is set to 1. This bit is cleared when the application writes.." "Number of Valid Entries Overflow Interrupt..,Number of Valid Entries Overflow Interrupt.."
rgroup.long 0xA8++0x7
line.long 0x0 "MTL_RXP_DROP_CNT,The MTL_RXP_Drop_Cnt register provides the drop count of Rx Parser initiated drops."
bitfld.long 0x0 31. "RXPDCOVF,Rx Parser Drop Counter Overflow Bit When set this bit indicates that the MTL_RXP_Drop_cnt (RXPDC) Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Drop count.." "Rx Parser Drop count overflow not occurred,Rx Parser Drop count overflow occurred"
newline
hexmask.long 0x0 0.--30. 1. "RXPDC,Rx Parser Drop count This 31-bit counter is implemented whenever a Rx Parser Drops a packet due to RF =1. The counter is cleared when the register is read."
line.long 0x4 "MTL_RXP_ERROR_CNT,The MTL_RXP_Error_Cnt register provides the Rx Parser related error occurrence count."
bitfld.long 0x4 31. "RXPECOVF,Rx Parser Error Counter Overflow Bit When set this bit indicates that the MTL_RXP_Error_cnt (RXPEC) Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Error count.." "Rx Parser Error count overflow not occurred,Rx Parser Error count overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPEC,Rx Parser Error count This 31-bit counter is implemented whenever a Rx Parser encounters following Error scenarios - Entry address >= NVE[] - Number Parsed Entries >= NPE[] - Entry address > EOF data entry address The counter is cleared when the.."
group.long 0xB0++0x3
line.long 0x0 "MTL_RXP_INDIRECT_ACC_CONTROL_STATUS,The MTL_RXP_Indirect_Acc_Control_Status register provides the Indirect Access control and status for Rx Parser memory."
bitfld.long 0x0 31. "STARTBUSY,FRP Instruction Table Access Busy When this bit is set to 1 by the software then it indicates to start the Read/Write operation from/to the Rx Parser Memory. Software should read this bit as 0 before issuing read or write request to access the.." "hardware not busy,hardware is busy"
newline
hexmask.long.byte 0x0 23.--30. 1. "RESERVED_30_23,Reserved."
newline
bitfld.long 0x0 21.--22. "RXPEIEC,ECC Inject Error Control for Rx Parser Memory When EIEE bit of this register is set following are the errors inserted based on the value encoded in this field. 0x0: Insert 1 bit error 0x3: Insert 1 bit error in address field 0x1: Insert 2 bit.." "Insert 1 bit error,Insert 2 bit errors,Insert 3 bit errors,Insert 1 bit error in address field"
newline
bitfld.long 0x0 20. "RXPEIEE,ECC Inject Error Enable for Rx Parser Memory When set enables the ECC error injection feature. When reset disables the ECC error injection feature. 0x0: ECC Inject Error for Rx Parser Memory is disabled 0x1: ECC Inject Error for Rx Parser.." "ECC Inject Error for Rx Parser Memory is disabled,ECC Inject Error for Rx Parser Memory is enabled"
newline
rbitfld.long 0x0 17.--19. "RESERVED_19_17,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 16. "WRRDN,Read Write Control When this bit is set to 1 indicates the write operation to the Rx Parser Memory. When this bit is set to 0 indicates the read operation to the Rx Parser Memory. 0x0: Read operation to the Rx Parser Memory 0x1: Write operation to.." "Read operation to the Rx Parser Memory,Write operation to the Rx Parser Memory"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_X,Reserved."
newline
hexmask.long.word 0x0 0.--9. 1. "ADDR,FRP Instruction Table Offset Address This field indicates the ADDR of the 32-bit entry in Rx parser instruction table. Each entry has 128-bit (4x32-bit words). The X depends on the configurable DWC_EQOS_FRP_ENTRIES If DWC_EQOS_FRP_ENTRIES == 256.."
rgroup.long 0xB4++0x3
line.long 0x0 "MTL_RXP_INDIRECT_ACC_DATA,The MTL_RXP_Indirect_Acc_Data registers holds the data associated to Indirect Access to Rx Parser memory."
hexmask.long 0x0 0.--31. 1. "DATA,FRP Instruction Table Write/Read Data Software should write this register before issuing any write command. The hardware provides the read data from the Rx Parser Memory for read operation when STARTBUSY =0 after read command."
group.long 0xC0++0x3
line.long 0x0 "MTL_ECC_CONTROL,The MTL_ECC_Control register establishes the operating mode of ECC related to MTL memories."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "MEEAO,MTL ECC Error Address Status Over-ride When set the following error address fields will hold the last valid address where the error is detected. When reset the following error address fields will hold the first address where the error is.." "MTL ECC Error Address Status Over-ride is disabled,MTL ECC Error Address Status Over-ride is enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 4. "RESERVED_TSOEE,Reserved." "0,1"
newline
bitfld.long 0x0 3. "MRXPEE,MTL Rx Parser ECC Enable When set to 1 enables the ECC feature for Rx Parser memory. When set to zero disables the ECC feature for Rx Parser memory. 0x0: MTL Rx Parser ECC is disabled 0x1: MTL Rx Parser ECC is enabled" "MTL Rx Parser ECC is disabled,MTL Rx Parser ECC is enabled"
newline
bitfld.long 0x0 2. "MESTEE,MTL EST ECC Enable When set to 1 enables the ECC feature for EST memory. When set to zero disables the ECC feature for EST memory. 0x0: MTL EST ECC is disabled 0x1: MTL EST ECC is enabled" "MTL EST ECC is disabled,MTL EST ECC is enabled"
newline
bitfld.long 0x0 1. "MRXEE,MTL Rx FIFO ECC Enable When set to 1 enables the ECC feature for MTL Rx FIFO memory. When set to zero disables the ECC feature for MTL Rx FIFO memory. 0x0: MTL Rx FIFO ECC is disabled 0x1: MTL Rx FIFO ECC is enabled" "MTL Rx FIFO ECC is disabled,MTL Rx FIFO ECC is enabled"
newline
bitfld.long 0x0 0. "MTXEE,MTL Tx FIFO ECC Enable When set to 1 enables the ECC feature for MTL Tx FIFO memory. When set to zero disables the ECC feature for MTL Tx FIFO memory. 0x0: MTL Tx FIFO ECC is disabled 0x1: MTL Tx FIFO ECC is enabled" "MTL Tx FIFO ECC is disabled,MTL Tx FIFO ECC is enabled"
rgroup.long 0xC4++0x3
line.long 0x0 "MTL_SAFETY_INTERRUPT_STATUS,The MTL_Safety_Interrupt_Status registers provides Safety interrupt status."
bitfld.long 0x0 31. "MCSIS,MAC Safety Uncorrectable Interrupt Status Indicates an uncorrectable Safety-related Interrupt is set in the MAC module. MAC_DPP_FSM_Interrupt_Status register should be read when this bit is set to get the cause of the Safety Interrupt in MAC. 0x1:.." "MAC Safety Uncorrectable Interrupt Status not..,MAC Safety Uncorrectable Interrupt Status detected"
newline
hexmask.long 0x0 2.--30. 1. "RESERVED_30_2,Reserved."
newline
bitfld.long 0x0 1. "MEUIS,MTL ECC Uncorrectable error Interrupt Status This bit indicates that an uncorrectable error interrupt event in the MTL ECC safety feature. To get the exact cause of the interrupt the application should read the MTL_ECC_Interrupt_Status register." "MTL ECC Uncorrectable error Interrupt Status not..,MTL ECC Uncorrectable error Interrupt Status.."
newline
bitfld.long 0x0 0. "MECIS,MTL ECC Correctable error Interrupt Status This bit indicates that a correctable error interrupt event in the MTL ECC safety feature. To get the exact cause of the interrupt the application should read the MTL_ECC_Interrupt_Status register. 0x1:.." "MTL ECC Correctable error Interrupt Status not..,MTL ECC Correctable error Interrupt Status.."
group.long 0xC8++0xB
line.long 0x0 "MTL_ECC_INTERRUPT_ENABLE,The MTL_ECC_Interrupt_Enable register provides enable bits for the ECC interrupts."
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED_31_13,Reserved."
newline
bitfld.long 0x0 12. "RPCEIE,Rx Parser memory Correctable Error Interrupt Enable When set generates an interrupt when an uncorrectable error is detected at the Rx Parser memory interface. It is indicated in RPCES status bit of MTL_ECC_Interrupt_Status register. When reset.." "Rx Parser memory Correctable Error Interrupt is..,Rx Parser memory Correctable Error Interrupt is.."
newline
rbitfld.long 0x0 9.--11. "RESERVED_11_9,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 8. "ECEIE,EST memory Correctable Error Interrupt Enable When set generates an interrupt when a correctable error is detected at the MTL EST memory interface. It is indicated in the ECES bit of MTL_ECC_Interrupt_Status register. When reset this event does.." "EST memory Correctable Error Interrupt is disabled,EST memory Correctable Error Interrupt is enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "RXCEIE,Rx memory Correctable Error Interrupt Enable When set generates an interrupt when a correctable error is detected at the MTL Rx memory interface. It is indicated in the RXCES bit of MTL_ECC_Interrupt_Status register. When reset this event does.." "Rx memory Correctable Error Interrupt is disabled,Rx memory Correctable Error Interrupt is enabled"
newline
rbitfld.long 0x0 1.--3. "RESERVED_3_1,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "TXCEIE,Tx memory Correctable Error Interrupt Enable When set generates an interrupt when a correctable error is detected at the MTL Tx memory interface. It is indicated in the TXCES bit of MTL_ECC_Interrupt_Status register. When reset this event does.." "Tx memory Correctable Error Interrupt is disabled,Tx memory Correctable Error Interrupt is enabled"
line.long 0x4 "MTL_ECC_INTERRUPT_STATUS,The MTL_ECC_Interrupt_Status register provides MTL ECC Interrupt Status."
hexmask.long.tbyte 0x4 15.--31. 1. "RESERVED_31_15,Reserved."
newline
bitfld.long 0x4 14. "RPUES,Rx Parser memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at Rx Parser memory interface. 0x1: Rx Parser memory Uncorrectable Error Status detected 0x0: Rx Parser memory Uncorrectable Error Status not.." "Rx Parser memory Uncorrectable Error Status not..,Rx Parser memory Uncorrectable Error Status.."
newline
bitfld.long 0x4 13. "RPAMS,MTL Rx Parser memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of Rx Parser memory. 0x1: MTL Rx Parser memory Address Mismatch Status detected 0x0: MTL Rx Parser memory Address Mismatch.." "MTL Rx Parser memory Address Mismatch Status not..,MTL Rx Parser memory Address Mismatch Status.."
newline
bitfld.long 0x4 12. "RPCES,MTL Rx Parser memory Correctable Error Status This bit when set indicates that correctable error is detected at RX Parser memory interface. 0x1: MTL Rx Parser memory Correctable Error Status detected 0x0: MTL Rx Parser memory Correctable Error.." "MTL Rx Parser memory Correctable Error Status..,MTL Rx Parser memory Correctable Error Status.."
newline
rbitfld.long 0x4 11. "RESERVED_11,Reserved." "0,1"
newline
bitfld.long 0x4 10. "EUES,MTL EST memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at MTL EST memory interface. 0x1: MTL EST memory Uncorrectable Error Status detected 0x0: MTL EST memory Uncorrectable Error Status not detected" "MTL EST memory Uncorrectable Error Status not..,MTL EST memory Uncorrectable Error Status detected"
newline
bitfld.long 0x4 9. "EAMS,MTL EST memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of MTL EST memory. 0x1: MTL EST memory Address Mismatch Status detected 0x0: MTL EST memory Address Mismatch Status not detected" "MTL EST memory Address Mismatch Status not..,MTL EST memory Address Mismatch Status detected"
newline
bitfld.long 0x4 8. "ECES,MTL EST memory Correctable Error Status This bit when set indicates that correctable error is detected at the MTL EST memory. 0x1: MTL EST memory Correctable Error Status detected 0x0: MTL EST memory Correctable Error Status not detected" "MTL EST memory Correctable Error Status not..,MTL EST memory Correctable Error Status detected"
newline
rbitfld.long 0x4 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x4 6. "RXUES,MTL Rx memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at the MTL Rx memory interface. 0x1: MTL Rx memory Uncorrectable Error Status detected 0x0: MTL Rx memory Uncorrectable Error Status not detected" "MTL Rx memory Uncorrectable Error Status not..,MTL Rx memory Uncorrectable Error Status detected"
newline
bitfld.long 0x4 5. "RXAMS,MTL Rx memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of the MTL Rx memory. 0x1: MTL Rx memory Address Mismatch Status detected 0x0: MTL Rx memory Address Mismatch Status not detected" "MTL Rx memory Address Mismatch Status not detected,MTL Rx memory Address Mismatch Status detected"
newline
bitfld.long 0x4 4. "RXCES,MTL Rx memory Correctable Error Status This bit when set indicates that correctable error is detected at the MTL Rx memory. 0x1: MTL Rx memory correctable Error Status detected 0x0: MTL Rx memory correctable Error Status not detected" "MTL Rx memory correctable Error Status not..,MTL Rx memory correctable Error Status detected"
newline
rbitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 2. "TXUES,MTL Tx memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at the MTL TX memory interface. 0x1: MTL Tx memory Uncorrectable Error Status detected 0x0: MTL Tx memory Uncorrectable Error Status not detected" "MTL Tx memory Uncorrectable Error Status not..,MTL Tx memory Uncorrectable Error Status detected"
newline
bitfld.long 0x4 1. "TXAMS,MTL Tx memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of the MTL Tx memory. 0x1: MTL Tx memory Address Mismatch Status detected 0x0: MTL Tx memory Address Mismatch Status not detected" "MTL Tx memory Address Mismatch Status not detected,MTL Tx memory Address Mismatch Status detected"
newline
bitfld.long 0x4 0. "TXCES,MTL Tx memory Correctable Error Status This bit when set indicates that a correctable error is detected at the MTL Tx memory. 0x1: MTL Tx memory Correctable Error Status detected 0x0: MTL Tx memory Correctable Error Status not detected" "MTL Tx memory Correctable Error Status not..,MTL Tx memory Correctable Error Status detected"
line.long 0x8 "MTL_ECC_ERR_STS_RCTL,The MTL_ECC_Err_Sts_Rctl register establishes the control for ECC Error status capture."
hexmask.long 0x8 6.--31. 1. "RESERVED_31_6,Reserved."
newline
bitfld.long 0x8 5. "CUES,Clear Uncorrectable Error Status When this bit is set along with EESRE bit of this register based on the EMS field of this register the respective memory's uncorrectable error address and uncorrectable error count values will be cleared upon.." "Clear Uncorrectable Error Status not detected,Clear Uncorrectable Error Status detected"
newline
bitfld.long 0x8 4. "CCES,Clear Correctable Error Status When this bit is set along with EESRE bit of this register based on the EMS field of this register the respective memory's correctable error address and correctable error count values will be cleared upon reading." "Clear Correctable Error Status not detected,Clear Correctable Error Status detected"
newline
bitfld.long 0x8 1.--3. "EMS,MTL ECC Memory Selection When EESRE bit of this register is set this field indicates which memory's error status value to be read. The memory selection encoding is as described below. 0x2: MTL EST memory 0x3: MTL Rx Parser memory 0x1: MTL Rx memory.." "MTL Tx memory,MTL Rx memory,MTL EST memory,MTL Rx Parser memory,DMA TSO memory,?,?,?"
newline
bitfld.long 0x8 0. "EESRE,MTL ECC Error Status Read Enable When this bit is set based on the EMS field of this register the respective memory's error status values will be captured as described below - The correctable and uncorrectable error count values will be captured.." "MTL ECC Error Status Read is disabled,MTL ECC Error Status Read is enabled"
rgroup.long 0xD4++0x7
line.long 0x0 "MTL_ECC_ERR_ADDR_STATUS,The MTL_ECC_Err_Addr_Status register provides the memory addresses for the correctable and uncorrectable errors."
hexmask.long.word 0x0 16.--31. 1. "EUEAS,MTL ECC Uncorrectable Error Address Status Based on the EMS field of MTL_ECC_Err_Sts_Rctl register this field holds the respective memory's address locations for which an uncorrectable error or address mismatch is detected. When MEEAO bit of.."
newline
hexmask.long.word 0x0 0.--15. 1. "ECEAS,MTL ECC Correctable Error Address Status Based on the EMS field of MTL_ECC_Err_Sts_Rctl register this field holds the respective memory's address locations for which a correctable error is detected. When MEEAO bit of MTL_ECC_Control register is.."
line.long 0x4 "MTL_ECC_ERR_CNTR_STATUS,The MTL_ECC_Err_Cntr_Status register provides ECC Error count for Correctable and uncorrectable errors."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x4 16.--19. 1. "EUECS,MTL ECC Uncorrectable Error Counter Status Based on the EMS field of MTL_ECC_Err_Cntr_Rctl register this field holds the respective memory's uncorrectable error count value."
newline
hexmask.long.byte 0x4 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "ECECS,MTL ECC Correctable Error Counter Status Based on the EMS field of MTL_ECC_Err_Cntr_Rctl register this field holds the respective memory's correctable error count value."
group.long 0xE0++0x3
line.long 0x0 "MTL_DPP_CONTROL,The MTL_DPP_Control establishes the operating mode of Data Parity protection and error injection."
hexmask.long.tbyte 0x0 14.--31. 1. "RESERVED_31_14,Reserved."
newline
bitfld.long 0x0 13. "IPECW,Insert Parity error in CSR Read data parity generator When set to 1 parity bit of first valid data generated by the CSR parity generator (or at PG10 as shown in Fig.AXI slave Interface Data path parity protection) is flipped. Hardware will clear.." "Insert Parity error in CSR Read data parity..,Insert Parity error in CSR Read data parity.."
newline
rbitfld.long 0x0 12. "RESERVED_IPEASW,Reserved." "0,1"
newline
bitfld.long 0x0 11. "IPERD,Insert Parity error in Rx write-back Descriptor parity generator When set to 1 parity bit of first valid data generated by the DMA Rx write-back descriptor parity generator(or at PG8 as shown in Fig.Receive data path parity protection) is flipped." "Insert Parity error in Rx write-back Descriptor..,Insert Parity error in Rx write-back Descriptor.."
newline
bitfld.long 0x0 10. "IPETD,Insert Parity error in Tx write-back Descriptor parity generator When set to 1 parity bit of first valid data generated by the DMA Tx write-back descriptor parity generator(or at PG4 as shown in Fig.Transmit data path parity protection) is.." "Insert Parity error in Tx write-back Descriptor..,Insert Parity error in Tx write-back Descriptor.."
newline
rbitfld.long 0x0 9. "RESERVED_IPETSO,Reserved." "0,1"
newline
bitfld.long 0x0 8. "IPEDDC,Insert Parity Error in DMA DTX Control word parity generator When set to 1 parity bit of first valid data generated by the DMA DTX Control word parity generator (or at PG2 as shown in Fig.Transmit data path parity protection) is flipped. Hardware.." "Insert Parity Error in DMA DTX Control word..,Insert Parity Error in DMA DTX Control word.."
newline
bitfld.long 0x0 7. "IPEMRF,Insert Parity Error in MTL Rx FIFO read control parity generator When set to 1 parity bit of first valid data generated by the MTL Rx FIFO read control parity generator (or at PG7 as shown in Fig.Receive data path parity protection) is flipped." "Insert Parity Error in MTL Rx FIFO read control..,Insert Parity Error in MTL Rx FIFO read control.."
newline
bitfld.long 0x0 6. "IPEMTS,Insert Parity Error in MTL Tx Status parity generator When set to 1 parity bit of first valid data generated by the MTL Tx Status parity generator (or at PG6 as shown in Fig.Transmit data path parity protection) is flipped. Hardware will clear.." "Insert Parity Error in MTL Tx Status parity..,Insert Parity Error in MTL Tx Status parity.."
newline
bitfld.long 0x0 5. "IPEMC,Insert Parity Error in MTL checksum parity generator When set to 1 parity bit of first valid data generated by the MTL checksum parity generator (or at PG5 as shown in Fig.Transmit data path parity protection) is flipped. Hardware will clear this.." "Insert Parity Error in MTL checksum parity..,Insert Parity Error in MTL checksum parity.."
newline
rbitfld.long 0x0 4. "RESERVED_IPEID,Reserved." "0,1"
newline
rbitfld.long 0x0 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x0 2. "EPSI,Enable Parity on Slave Interface port When set to 1 enables the parity check for the slave interface ports and disables the internal generation of parity for the input slave data port. When set to 0 disables the parity check for the slave.." "Parity on Slave Interface port is disabled,Parity on Slave Interface port is enabled"
newline
bitfld.long 0x0 1. "OPE,Odd Parity Enable When set to 1 enables odd parity protection on all the external interfaces and when set to 0 enables even parity protection on all the external interfaces. 0x0: Odd Parity is disabled 0x1: Odd Parity is enabled" "Odd Parity is disabled,Odd Parity is enabled"
newline
bitfld.long 0x0 0. "EDPP,Enable Data path Parity Protection When set to 1 enables the parity protection for EQOS datapath by generating and checking the parity on EQOS datapath. When set to 0 disables the parity protection for EQOS datapath. 0x0: Data path Parity.." "Data path Parity Protection is disabled,Data path Parity Protection is enabled"
tree.end
tree "EQOS_MTL_Q0"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ0_OPERATION_MODE,The Queue 0 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Reserved - 2'b10: Enabled - 2'b11: Reserved This field is Read Only in Single Queue configurations and Read Write in Multiple Queue.." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ0_UNDERFLOW,The Queue 0 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ0_DEBUG,The Queue 0 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ0_ETS_STATUS,The Queue 0 ETS Status register provides the average traffic transmitted in Queue 0."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. When the DCB operation is enabled for Queue 0 this field is computed over every 10 million bit times slot (4 ms in 2500 Mbps; 10 ms in 1000 Mbps; 100 ms in 100 Mbps)."
group.long 0x18++0x3
line.long 0x0 "MTL_TXQ0_QUANTUM_WEIGHT,The Queue 0 Quantum or Weights register contains the quantum value for Deficit Weighted Round Robin (DWRR). weights for the Weighted Round Robin (WRR). and Weighted Fair Queuing (WFQ) for Queue 0."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,Quantum or Weights When the DCB operation is enabled with DWRR algorithm for Queue 0 traffic this field contains the quantum value in bytes to be added to credit during every queue scanning cycle. The maximum value is 0x1312D0 bytes. When DCB.."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q0_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 0 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ0_OPERATION_MODE,The Queue 0 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ0_MISSED_PACKET_OVERFLOW_CNT,The Queue 0 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ0_DEBUG,The Queue 0 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ0_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q1"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ1_OPERATION_MODE,The Queue 1 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ1_UNDERFLOW,The Queue 1 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ1_DEBUG,The Queue 1 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ1_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ1_ETS_STATUS,The Queue 1 ETS Status register provides the average traffic transmitted in Queue 1."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ1_QUANTUM_WEIGHT,The Queue 1 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 1."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ1_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ1_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ1_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q1_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 1 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ1_OPERATION_MODE,The Queue 1 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ1_MISSED_PACKET_OVERFLOW_CNT,The Queue 1 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ1_DEBUG,The Queue 1 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ1_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q2"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ2_OPERATION_MODE,The Queue 2 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ2_UNDERFLOW,The Queue 2 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ2_DEBUG,The Queue 2 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ2_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ2_ETS_STATUS,The Queue 2 ETS Status register provides the average traffic transmitted in Queue 2."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ2_QUANTUM_WEIGHT,The Queue 2 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 2."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ2_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ2_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ2_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q2_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 2 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ2_OPERATION_MODE,The Queue 2 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ2_MISSED_PACKET_OVERFLOW_CNT,The Queue 2 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ2_DEBUG,The Queue 2 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ2_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q3"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ3_OPERATION_MODE,The Queue 3 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ3_UNDERFLOW,The Queue 3 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ3_DEBUG,The Queue 3 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ3_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ3_ETS_STATUS,The Queue 3 ETS Status register provides the average traffic transmitted in Queue 3."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ3_QUANTUM_WEIGHT,The Queue 3 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 3."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ3_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ3_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ3_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q3_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 3 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ3_OPERATION_MODE,The Queue 3 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ3_MISSED_PACKET_OVERFLOW_CNT,The Queue 3 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ3_DEBUG,The Queue 3 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ3_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q4"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ4_OPERATION_MODE,The Queue 4 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ4_UNDERFLOW,The Queue 4 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ4_DEBUG,The Queue 4 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ4_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ4_ETS_STATUS,The Queue 4 ETS Status register provides the average traffic transmitted in Queue 4."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ4_QUANTUM_WEIGHT,The Queue 4 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 4."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ4_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ4_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ4_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q4_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 4 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ4_OPERATION_MODE,The Queue 4 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ4_MISSED_PACKET_OVERFLOW_CNT,The Queue 4 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ4_DEBUG,The Queue 4 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ4_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_DMA"
group.long 0x0++0x7
line.long 0x0 "DMA_MODE,The Bus Mode register establishes the bus operating modes for the DMA."
hexmask.long.word 0x0 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x0 16.--17. "INTM,Interrupt Mode This field defines the interrupt mode of DWC_ether_qos. The behavior of the following outputs changes depending on the following settings: - sbd_perch_tx_intr_o[] (Transmit Per Channel Interrupt) - sbd_perch_rx_intr_o[] (Receive Per.." "See above description,See above description,See above description,Reserved"
newline
rbitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
rbitfld.long 0x0 12.--14. "RESERVED_PR,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 11. "RESERVED_TXPR,Reserved." "0,1"
newline
rbitfld.long 0x0 10. "RESERVED_SCSW,Reserved." "0,1"
newline
rbitfld.long 0x0 9. "RESERVED_ARBC,Reserved." "0,1"
newline
bitfld.long 0x0 8. "DSPW,Descriptor Posted Write When this bit is set to 0 the descriptor writes are always non-posted. When this bit is set to 1 the descriptor writes are non-posted only when IOC (Interrupt on completion) is set in last descriptor otherwise the.." "Descriptor Posted Write is disabled,Descriptor Posted Write is enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 2.--4. "RESERVED_TAA,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 1. "RESERVED_DA,Reserved." "0,1"
newline
bitfld.long 0x0 0. "SWR,Software Reset When this bit is set the MAC and the DMA controller reset the logic and all internal registers of the DMA MTL and MAC. This bit is automatically cleared after the reset operation is complete in all DWC_ether_qos clock domains." "Software Reset is disabled,Software Reset is enabled"
line.long 0x4 "DMA_SYSBUS_MODE,The System Bus mode register controls the behavior of the AHB or AXI master. It mainly controls burst splitting and number of outstanding requests."
bitfld.long 0x4 31. "EN_LPI,Enable Low Power Interface (LPI) When set to 1 this bit enables the LPI mode supported by the EQOS-AXI configuration and accepts the LPI request from the AXI System Clock controller. When set to 0 this bit disables the LPI mode and always denies.." "Low Power Interface,Low Power Interface"
newline
bitfld.long 0x4 30. "LPI_XIT_PKT,Unlock on Magic Packet or Remote Wake-Up Packet When set to 1 this bit enables the AXI master to come out of the LPI mode only when the magic packet or remote wake-up packet is received. When set to 0 this bit enables the AXI master to come.." "Unlock on Magic Packet or Remote Wake-Up Packet..,Unlock on Magic Packet or Remote Wake-Up Packet.."
newline
rbitfld.long 0x4 28.--29. "RESERVED_29_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 24.--27. 1. "WR_OSR_LMT,AXI Maximum Write Outstanding Request Limit This value limits the maximum outstanding request on the AXI write interface. Maximum outstanding requests = WR_OSR_LMT + 1 Note: - Bit 26 is reserved if DWC_ETHER_QOS_AXI_MAX_WR_REQ = 4 - Bit 27 is.."
newline
hexmask.long.byte 0x4 20.--23. 1. "RESERVED_23_Y,Reserved."
newline
hexmask.long.byte 0x4 16.--19. 1. "RD_OSR_LMT,AXI Maximum Read Outstanding Request Limit This value limits the maximum outstanding request on the AXI read interface. Maximum outstanding requests = RD_OSR_LMT + 1 Note: - Bit 18 is reserved if parameter DWC_ETHER_QOS_AXI_MAX_RD_REQ = 4 -.."
newline
rbitfld.long 0x4 15. "RESERVED_RB,Reserved." "0,1"
newline
rbitfld.long 0x4 14. "RESERVED_MB,Reserved." "0,1"
newline
bitfld.long 0x4 13. "ONEKBBE,1 KB Boundary Crossing Enable for the EQOS-AXI Master When set the burst transfers performed by the EQOS-AXI master do not cross 1 KB boundary. When reset the burst transfers performed by the EQOS-AXI master do not cross 4 KB boundary. 0x0: 1.." "0,1"
newline
bitfld.long 0x4 12. "AAL,Address-Aligned Beats When this bit is set to 1 the EQOS-AXI or EQOS-AHB master performs address-aligned burst transfers on Read and Write channels. 0x0: Address-Aligned Beats is disabled 0x1: Address-Aligned Beats is enabled" "Address-Aligned Beats is disabled,Address-Aligned Beats is enabled"
newline
bitfld.long 0x4 11. "EAME,Enhanced Address Mode Enable. When this bit is set to 1 the DMA master enables the enhanced address mode (40-bit or 48-bit addressing mode). In this mode the DMA engine uses either the 40- or 48-bit address depending on the configuration. 0x0:.." "Enhanced Address Mode is disabled,Enhanced Address Mode is enabled"
newline
bitfld.long 0x4 10. "AALE,Automatic AXI LPI enable When set to 1 enables the AXI master to enter into LPI state when there is no activity in the DWC_ether_qos for number of system clock cycles programmed in the LPIEI field of AXI_LPI_Entry_Interval register. 0x0: Automatic.." "Automatic AXI LPI is disabled,Automatic AXI LPI is enabled"
newline
rbitfld.long 0x4 8.--9. "RESERVED_9_8,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 7. "BLEN256,AXI Burst Length 256 When this bit is set to 1 the EQOS-AXI master can select a burst length of 256 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 256" "No effect,AXI Burst Length 256"
newline
bitfld.long 0x4 6. "BLEN128,AXI Burst Length 128 When this bit is set to 1 the EQOS-AXI master can select a burst length of 128 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 128" "No effect,AXI Burst Length 128"
newline
bitfld.long 0x4 5. "BLEN64,AXI Burst Length 64 When this bit is set to 1 the EQOS-AXI master can select a burst length of 64 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 64" "No effect,AXI Burst Length 64"
newline
bitfld.long 0x4 4. "BLEN32,AXI Burst Length 32 When this bit is set to 1 the EQOS-AXI master can select a burst length of 32 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 32" "No effect,AXI Burst Length 32"
newline
bitfld.long 0x4 3. "BLEN16,AXI Burst Length 16 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 16 on the AXI interface. When the FB bit is set to 0 setting this bit has no effect. 0x0: No effect 0x1: AXI Burst Length 16" "No effect,AXI Burst Length 16"
newline
bitfld.long 0x4 2. "BLEN8,AXI Burst Length 8 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 8 on the AXI interface. When the FB bit is set to 0 setting this bit has no effect. 0x0: No effect 0x1: AXI Burst Length 8" "No effect,AXI Burst Length 8"
newline
bitfld.long 0x4 1. "BLEN4,AXI Burst Length 4 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 4 on the AXI interface. When the FB bit is set to 0 setting this bit has no effect. 0x0: No effect 0x1: AXI Burst Length 4" "No effect,AXI Burst Length 4"
newline
bitfld.long 0x4 0. "FB,Fixed Burst Length When this bit is set to 1 the EQOS-AXI master initiates burst transfers of specified lengths as given below. - Burst transfers of fixed burst lengths as indicated by the BLEN256 BLEN128 BLEN64 BLEN32 BLEN16 BLEN8 or BLEN4.." "Fixed Burst Length is disabled,Fixed Burst Length is enabled"
rgroup.long 0x8++0xB
line.long 0x0 "DMA_INTERRUPT_STATUS,The application reads this Interrupt Status register during interrupt service routine or polling to determine the interrupt status of DMA channels. MTL queues. and the MAC."
hexmask.long.word 0x0 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x0 17. "MACIS,MAC Interrupt Status This bit indicates an interrupt event in the MAC. To reset this bit to 1'b0 the software must read the corresponding register in the MAC to get the exact cause of the interrupt and clear its source. 0x1: MAC Interrupt Status.." "MAC Interrupt Status not detected,MAC Interrupt Status detected"
newline
bitfld.long 0x0 16. "MTLIS,MTL Interrupt Status This bit indicates an interrupt event in the MTL. To reset this bit to 1'b0 the software must read the corresponding register in the MTL to get the exact cause of the interrupt and clear its source. 0x1: MTL Interrupt Status.." "MTL Interrupt Status not detected,MTL Interrupt Status detected"
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x0 7. "RESERVED_DC7IS,Reserved." "0,1"
newline
bitfld.long 0x0 6. "RESERVED_DC6IS,Reserved." "0,1"
newline
bitfld.long 0x0 5. "RESERVED_DC5IS,Reserved." "0,1"
newline
bitfld.long 0x0 4. "DC4IS,DMA Channel 4 Interrupt Status This bit indicates an interrupt event in DMA Channel 4. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 4 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 4 Interrupt Status not detected,DMA Channel 4 Interrupt Status detected"
newline
bitfld.long 0x0 3. "DC3IS,DMA Channel 3 Interrupt Status This bit indicates an interrupt event in DMA Channel 3. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 3 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 3 Interrupt Status not detected,DMA Channel 3 Interrupt Status detected"
newline
bitfld.long 0x0 2. "DC2IS,DMA Channel 2 Interrupt Status This bit indicates an interrupt event in DMA Channel 2. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 2 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 2 Interrupt Status not detected,DMA Channel 2 Interrupt Status detected"
newline
bitfld.long 0x0 1. "DC1IS,DMA Channel 1 Interrupt Status This bit indicates an interrupt event in DMA Channel 1. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 1 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 1 Interrupt Status not detected,DMA Channel 1 Interrupt Status detected"
newline
bitfld.long 0x0 0. "DC0IS,DMA Channel 0 Interrupt Status This bit indicates an interrupt event in DMA Channel 0. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 0 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 0 Interrupt Status not detected,DMA Channel 0 Interrupt Status detected"
line.long 0x4 "DMA_DEBUG_STATUS0,The Debug Status 0 register gives the Receive and Transmit process status for DMA Channel 0-Channel 2 for debugging purpose."
hexmask.long.byte 0x4 28.--31. 1. "TPS2,DMA Channel 2 Transmit Process State This field indicates the Tx DMA FSM state for Channel 2. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x4 24.--27. 1. "RPS2,DMA Channel 2 Receive Process State This field indicates the Rx DMA FSM state for Channel 2. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x4 20.--23. 1. "TPS1,DMA Channel 1 Transmit Process State This field indicates the Tx DMA FSM state for Channel 1. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x4 16.--19. 1. "RPS1,DMA Channel 1 Receive Process State This field indicates the Rx DMA FSM state for Channel 1. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x4 12.--15. 1. "TPS0,DMA Channel 0 Transmit Process State This field indicates the Tx DMA FSM state for Channel 0. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x4 8.--11. 1. "RPS0,DMA Channel 0 Receive Process State This field indicates the Rx DMA FSM state for Channel 0. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x4 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x4 1. "AXRHSTS,AXI Master Read Channel Status When high this bit indicates that the read channel of the AXI master is active and it is transferring the data. 0x1: AXI Master Read Channel Status detected 0x0: AXI Master Read Channel Status not detected" "AXI Master Read Channel Status not detected,AXI Master Read Channel Status detected"
newline
bitfld.long 0x4 0. "AXWHSTS,AXI Master Write Channel When high this bit indicates that the write channel of the AXI master is active and it is transferring data. 0x1: AXI Master Write Channel or AHB Master Status detected 0x0: AXI Master Write Channel or AHB Master Status.." "AXI Master Write Channel or AHB Master Status..,AXI Master Write Channel or AHB Master Status.."
line.long 0x8 "DMA_DEBUG_STATUS1,The Debug Status1 register gives the Receive and Transmit process status for DMA Channel 3-Channel 6."
hexmask.long.byte 0x8 28.--31. 1. "RESERVED_TPS6,Reserved."
newline
hexmask.long.byte 0x8 24.--27. 1. "RESERVED_RPS6,Reserved."
newline
hexmask.long.byte 0x8 20.--23. 1. "RESERVED_TPS5,Reserved."
newline
hexmask.long.byte 0x8 16.--19. 1. "RESERVED_RPS5,Reserved."
newline
hexmask.long.byte 0x8 12.--15. 1. "TPS4,DMA Channel 4 Transmit Process State This field indicates the Tx DMA FSM state for Channel 4. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x8 8.--11. 1. "RPS4,DMA Channel 4 Receive Process State This field indicates the Rx DMA FSM state for Channel 4. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x8 4.--7. 1. "TPS3,DMA Channel 3 Transmit Process State This field indicates the Tx DMA FSM state for Channel 3. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x8 0.--3. 1. "RPS3,DMA Channel 3 Receive Process State This field indicates the Rx DMA FSM state for Channel 3. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
group.long 0x20++0xB
line.long 0x0 "AXI4_TX_AR_ACE_CONTROL,This register is used to control the AXI4 Cache Coherency Signals for read transactions by all the Transmit DMA channels. The following signals of the AXI4 interface are driven with different values as programmed for corresponding.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
bitfld.long 0x0 20.--21. "THD,Transmit DMA First Packet Buffer This field is used to drive ardomain_o[1:0] signal when Transmit DMA is accessing First Buffer of the Packet (First valid buffer with FD being set in the TDES3 of the Descriptor)." "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--19. 1. "THC,Transmit DMA First Packet Buffer This field is used to drive arcache_o[3:0] signal when Transmit DMA is accessing First Buffer of the Packet (First valid buffer with FD being set in the TDES3 of the Descriptor).."
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 12.--13. "TED,Transmit DMA Extended Packet Buffer This field is used to drive ardomain_o[1:0] signal when Transmit DMA is accessing the extended buffers (when packet is distributed across multiple buffers)." "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--11. 1. "TEC,Transmit DMA Extended Packet Buffer This field is used to drive arcache_o[3:0] signal when Transmit DMA is accessing the extended buffers (when packet is distributed across multiple buffers)."
newline
rbitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "TDRD,Transmit DMA Read Descriptor Domain Control This field is used to drive ardomain_o[1:0] signal when Transmit DMA engines access the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--3. 1. "TDRC,Transmit DMA Read Descriptor Cache Control This field is used to drive arcache_o[3:0] signal when Transmit DMA engines access the Descriptor."
line.long 0x4 "AXI4_RX_AW_ACE_CONTROL,This register is used to control the AXI4 Cache Coherency Signals for write transactions by all the Receive DMA channels. The following signals of the AXI4 interface are driven with different values as programmed for corresponding.."
rbitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 28.--29. "RDD,Receive DMA Buffer Domain Control This field is used to drive the awdomain_o[1:0] signal when Receive DMA is accessing the Buffer when Header and payload are NOT separated." "0,1,2,3"
newline
hexmask.long.byte 0x4 24.--27. 1. "RDC,Receive DMA Buffer Cache Control This field is used to drive awcache_o[3:0] signal when Receive DMA is accessing the Buffer when Header and payload are NOT separated."
newline
rbitfld.long 0x4 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 20.--21. "RHD,Receive DMA Header Domain Control This field is used to drive awdomain_o[1:0] and signal when Receive DMA is accessing the header Buffer when Header and payload are separated." "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--19. 1. "RHC,Receive DMA Header Cache Control This field is used to drive awcache_o[3:0] and signal when Receive DMA is accessing the header Buffer when Header and payload are separated."
newline
rbitfld.long 0x4 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 12.--13. "RPD,Receive DMA Payload Domain Control This field is used to drive awdomain_o[1:0] signal when Receive DMA is accessing the Payload Buffer when Header and payload are separated." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RPC,Receive DMA Payload Cache Control This field is used to drive awcache_o[3:0] signal when Receive DMA is accessing the Payload Buffer when Header and payload are separated."
newline
rbitfld.long 0x4 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 4.--5. "RDWD,Receive DMA Write Descriptor Domain Control This field is used to drive awdomain_o[1:0] signal when Receive DMA accesses the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--3. 1. "RDWC,Receive DMA Write Descriptor Cache Control This field is used to drive awcache_o[3:0] signal when Receive DMA accesses the Descriptor."
line.long 0x8 "AXI4_TXRX_AWAR_ACE_CONTROL,This register is used to control the AXI4 Cache Coherency Signals for Descriptor write transactions by all the TxDMA channels and Descriptor read transactions by all the RxDMA channels. It also controls the values to be driven.."
hexmask.long.word 0x8 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x8 20.--22. "WRP,DMA Write Protection control This field is used to drive awprot_m_o[2:0] signal on the AXI Write Channel." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x8 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x8 16.--18. "RDP,DMA Read Protection control This field is used to drive arprot_m_o[2:0] signal during all read requests." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x8 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x8 12.--13. "RDRD,Receive DMA Read Descriptor Domain control This field is used to drive ardomain_o[1:0] signal when Receive DMA engines read the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x8 8.--11. 1. "RDRC,Receive DMA Read Descriptor Cache control This field is used to drive arcache_o[3:0] signal when Receive DMA engines read the Descriptor."
newline
rbitfld.long 0x8 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x8 4.--5. "TDWD,Transmit DMA Write Descriptor Domain control This field is used to drive awdomain_o[1:0] signal when Transmit DMA write to the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--3. 1. "TDWC,Transmit DMA Write Descriptor Cache control This field is used to drive awcache_o[3:0] signal when Transmit DMA writes to the Descriptor."
group.long 0x40++0x3
line.long 0x0 "AXI_LPI_ENTRY_INTERVAL,This register is used to control the AXI LPI entry interval."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
hexmask.long.byte 0x0 0.--3. 1. "LPIEI,LPI Entry Interval Contains the number of system clock cycles multiplied by 64 to wait for an activity in the DWC_ether_qos to enter into the AXI low power state 0 indicates 64 clock cycles"
group.long 0x50++0x3
line.long 0x0 "DMA_TBS_CTRL,This register is used to control the TBS attributes."
hexmask.long.tbyte 0x0 8.--31. 1. "FTOS,Fetch Time Offset The value in units of 256 nanoseconds that has to be deducted from the Launch time to compute the Fetch Time. Max value: 999 999 999 ns additionally should be smaller than CTR-1 value when ESTM mode is set since this value is a.."
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 4.--6. "FGOS,Fetch GSN Offset The number GSN slots that must be deducted from the Launch GSN to compute the Fetch GSN. Value valid only when FTOV is set." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 1.--3. "RESERVED_3_1,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "FTOV,Fetch Time Offset Valid When set indicates the FTOS field is valid. When not set indicates the Fetch Offset is not valid and the DMA engine can fetch the frames from host memory without any time restrictions. 0x0: Fetch Time Offset is invalid 0x1:.." "Fetch Time Offset is invalid,Fetch Time Offset is valid"
rgroup.long 0x80++0x3
line.long 0x0 "DMA_SAFETY_INTERRUPT_STATUS,This register indicates summary (whether error occured in DMA/MTL/MAC and correctable/uncorrectable) of the Automotive Safety related error interrupts."
bitfld.long 0x0 31. "MCSIS,MAC Safety Uncorrectable Interrupt Status Indicates a uncorrectable Safety related Interrupt is set in the MAC module. MAC_DPP_FSM_Interrupt_Status register should be read when this bit is set to get the cause of the Safety Interrupt in MAC. 0x1:.." "MAC Safety Uncorrectable Interrupt Status not..,MAC Safety Uncorrectable Interrupt Status detected"
newline
bitfld.long 0x0 30. "RESERVED_30,Reserved." "0,1"
newline
bitfld.long 0x0 29. "MSUIS,MTL Safety Uncorrectable error Interrupt Status This bit indicates an uncorrectable error interrupt event in MTL. To get exact cause of the interrupt the software should read the MTL_Safety_Interrupt_Status register. 0x1: MTL Safety Uncorrectable.." "MTL Safety Uncorrectable error Interrupt Status..,MTL Safety Uncorrectable error Interrupt Status.."
newline
bitfld.long 0x0 28. "MSCIS,MTL Safety Correctable error Interrupt Status This bit indicates a correctable error interrupt event in MTL. To get exact cause of the interrupt the software should read the MTL_Safety_Interrupt_Status register. 0x1: MTL Safety Correctable error.." "MTL Safety Correctable error Interrupt Status..,MTL Safety Correctable error Interrupt Status.."
newline
hexmask.long 0x0 2.--27. 1. "RESERVED_27_2,Reserved."
newline
bitfld.long 0x0 1. "DEUIS,DMA ECC Uncorrectable error Interrupt Status This bit indicates an interrupt event in the DMA ECC safety feature. To get the exact cause of the interrupt the application should read the DMA_ECC_Interrupt_Status register. 0x1: DMA ECC Uncorrectable.." "DMA ECC Uncorrectable error Interrupt Status not..,DMA ECC Uncorrectable error Interrupt Status.."
newline
bitfld.long 0x0 0. "DECIS,DMA ECC Correctable error Interrupt Status This bit indicates an interrupt event in the DMA ECC safety feature. To get the exact cause of the interrupt the application should read the DMA_ECC_Interrupt_Status register. 0x1: DMA ECC Correctable.." "DMA ECC Correctable error Interrupt Status not..,DMA ECC Correctable error Interrupt Status.."
tree.end
tree "EQOS_DMA_CH0"
group.long 0x0++0xB
line.long 0x0 "DMA_CH0_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH0_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH0_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH0_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH0_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH0_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH0_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH0_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH0_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH0_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH0_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH0_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH0_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH0_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH0_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH0_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH0_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH0_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH0_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH0_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH0_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH0_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH0_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH0_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH1"
group.long 0x0++0xB
line.long 0x0 "DMA_CH1_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH1_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH1_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH1_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH1_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH1_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH1_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH1_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH1_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH1_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH1_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH1_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH1_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH1_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH1_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH1_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH1_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH1_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH1_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH1_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH1_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH1_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH1_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH1_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH2"
group.long 0x0++0xB
line.long 0x0 "DMA_CH2_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH2_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH2_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH2_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH2_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH2_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH2_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH2_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH2_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH2_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH2_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH2_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH2_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH2_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH2_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH2_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH2_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH2_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH2_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH2_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH2_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH2_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH2_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH2_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH3"
group.long 0x0++0xB
line.long 0x0 "DMA_CH3_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH3_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH3_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH3_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH3_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH3_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH3_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH3_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH3_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH3_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH3_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH3_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH3_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH3_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH3_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH3_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH3_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH3_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH3_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH3_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH3_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH3_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH3_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH3_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH4"
group.long 0x0++0xB
line.long 0x0 "DMA_CH4_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH4_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH4_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH4_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH4_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH4_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH4_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH4_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH4_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH4_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH4_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH4_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH4_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH4_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH4_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH4_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH4_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH4_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH4_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH4_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH4_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH4_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH4_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH4_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree.end
repeat.end
elif (CORENAME()=="CORTEXA55")
repeat 2. (increment 1. 1.) (list ad:0x30170000 ad:0x306A0000)
tree "ETHERNET$1"
base $2
tree "EQOS_MAC"
group.long 0x0++0x1F
line.long 0x0 "MAC_CONFIGURATION,The MAC Configuration Register establishes the operating mode of the MAC."
rbitfld.long 0x0 31. "RESERVED_ARPEN,Reserved." "0,1"
newline
bitfld.long 0x0 28.--30. "SARC,Source Address Insertion or Replacement Control This field controls the source address insertion or replacement for all transmitted packets. Bit 30 specifies which MAC Address register (0 or 1) is used for source address insertion or replacement.." "mti_sa_ctrl_i and ati_sa_ctrl_i input signals..,?,Contents of MAC Addr-0 inserted in SA field,Contents of MAC Addr-0 replaces SA field,?,?,Contents of MAC Addr-1 inserted in SA field,Contents of MAC Addr-1 replaces SA field"
newline
bitfld.long 0x0 27. "IPC,Checksum Offload When set this bit enables the IPv4 header checksum checking and IPv4 or IPv6 TCP UDP or ICMP payload checksum checking. When this bit is reset the COE function in the receiver is disabled. The Layer 3 and Layer 4 Packet Filter.." "IP header/payload checksum checking is disabled,IP header/payload checksum checking is enabled"
newline
bitfld.long 0x0 24.--26. "IPG,Inter-Packet Gap These bits control the minimum IPG between packets during transmission. This range of minimum IPG is valid in full-duplex mode. In the half-duplex mode the minimum IPG can be configured only for 64-bit times (IPG = 100). Lower.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 23. "GPSLCE,Giant Packet Size Limit Control Enable When this bit is set the MAC considers the value in GPSL field in MAC_Ext_Configuration register to declare a received packet as Giant packet. This field must be programmed to more than 1 518 bytes." "Giant Packet Size Limit Control is disabled,Giant Packet Size Limit Control is enabled"
newline
bitfld.long 0x0 22. "S2KP,IEEE 802.3as Support for 2K Packets When this bit is set the MAC considers all packets with up to 2 000 bytes length as normal packets. When the JE bit is not set the MAC considers all received packets of size more than 2K bytes as Giant packets." "Support upto 2K packet is disabled,Support upto 2K packet is Enabled"
newline
bitfld.long 0x0 21. "CST,CRC stripping for Type packets When this bit is set the last four bytes (FCS) of all packets of Ether type (type field greater than 1 536) are stripped and dropped before forwarding the packet to the application. Note: For information about how the.." "CRC stripping for Type packets is disabled,CRC stripping for Type packets is enabled"
newline
bitfld.long 0x0 20. "ACS,Automatic Pad or CRC Stripping When this bit is set the MAC strips the Pad or FCS field on the incoming packets only if the value of the length field is less than 1 536 bytes. All received packets with length field greater than or equal to 1 536.." "Automatic Pad or CRC Stripping is disabled,Automatic Pad or CRC Stripping is enabled"
newline
bitfld.long 0x0 19. "WD,Watchdog Disable When this bit is set the MAC disables the watchdog timer on the receiver. The MAC can receive packets of up to 16 383 bytes. When this bit is reset the MAC does not allow more than 2 048 bytes (10 240 if JE is set high) of the.." "Watchdog is enabled,Watchdog is disabled"
newline
bitfld.long 0x0 18. "BE,Packet Burst Enable When this bit is set the MAC allows packet bursting during transmission in the GMII half-duplex mode. 0x0: Packet Burst is disabled 0x1: Packet Burst is enabled" "Packet Burst is disabled,Packet Burst is enabled"
newline
bitfld.long 0x0 17. "JD,Jabber Disable When this bit is set the MAC disables the jabber timer on the transmitter. The MAC can transfer packets of up to 16 383 bytes. When this bit is reset if the application sends more than 2 048 bytes of data (10 240 if JE is set high).." "Jabber is enabled,Jabber is disabled"
newline
bitfld.long 0x0 16. "JE,Jumbo Packet Enable When this bit is set the MAC allows jumbo packets of 9 018 bytes (9 022 bytes for VLAN tagged packets) without reporting a giant packet error in the Rx packet status. 0x0: Jumbo packet is disabled 0x1: Jumbo packet is enabled" "Jumbo packet is disabled,Jumbo packet is enabled"
newline
bitfld.long 0x0 15. "PS,Port Select This bit selects the Ethernet line speed. This bit along with Bit 14 selects the exact line speed. In the 10/100 Mbps-only (always 1) or 1000 Mbps-only (always 0) configurations this bit is read-only (RO) with appropriate value. In.." "For 1000 or 2500 Mbps operations,For 10 or 100 Mbps operations"
newline
bitfld.long 0x0 14. "FES,Speed This bit selects the speed mode. The mac_speed_o[0] signal reflects the value of this bit. 0x1: 100 Mbps when PS bit is 1 and 2.5 Gbps when PS bit is 0 0x0: 10 Mbps when PS bit is 1 and 1 Gbps when PS bit is 0" "0,1"
newline
bitfld.long 0x0 13. "DM,Duplex Mode When this bit is set the MAC operates in the full-duplex mode in which it can transmit and receive simultaneously. This bit is RO with default value of 1'b1 in the full-duplex-only configurations. 0x1: Full-duplex mode 0x0: Half-duplex mode" "Half-duplex mode,Full-duplex mode"
newline
bitfld.long 0x0 12. "LM,Loopback Mode When this bit is set the MAC operates in the loopback mode at GMII or MII. The (G)MII Rx clock input (clk_rx_i) is required for the loopback to work properly. This is because the Tx clock is not internally looped back. 0x0: Loopback is.." "Loopback is disabled,Loopback is enabled"
newline
bitfld.long 0x0 11. "ECRSFD,Enable Carrier Sense Before Transmission in Full-Duplex Mode When this bit is set the MAC transmitter checks the CRS signal before packet transmission in the full-duplex mode. The MAC starts the transmission only when the CRS signal is low. When.." "ECRSFD is disabled,ECRSFD is enabled"
newline
bitfld.long 0x0 10. "DO,Disable Receive Own When this bit is set the MAC disables the reception of packets when the gmii_txen_o is asserted in the half-duplex mode. When this bit is reset the MAC receives all packets given by the PHY. This bit is not applicable in the.." "Enable Receive Own,Disable Receive Own"
newline
bitfld.long 0x0 9. "DCRS,Disable Carrier Sense During Transmission When this bit is set the MAC transmitter ignores the (G)MII CRS signal during packet transmission in the half-duplex mode. As a result no errors are generated because of Loss of Carrier or No Carrier.." "Enable Carrier Sense During Transmission,Disable Carrier Sense During Transmission"
newline
bitfld.long 0x0 8. "DR,Disable Retry When this bit is set the MAC attempts only one transmission. When a collision occurs on the GMII or MII interface the MAC ignores the current packet transmission and reports a Packet Abort with excessive collision error in the Tx.." "Enable Retry,Disable Retry"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 5.--6. "BL,Back-Off Limit The back-off limit determines the random integer number (r) of slot time delays (4 096 bit times for 1000/2500 Mbps; 512 bit times for 10/100 Mbps) for which the MAC waits before rescheduling a transmission attempt during retries after.." "k = min,k = min,k = min,k = min"
newline
bitfld.long 0x0 4. "DC,Deferral Check When this bit is set the deferral check function is enabled in the MAC. The MAC issues a Packet Abort status along with the excessive deferral error bit set in the Tx packet status when the Tx state machine is deferred for more than.." "Deferral check function is disabled,Deferral check function is enabled"
newline
bitfld.long 0x0 2.--3. "PRELEN,Preamble Length for Transmit packets These bits control the number of preamble bytes that are added to the beginning of every Tx packet. The preamble reduction occurs only when the MAC is operating in the full-duplex mode. 0x2: 3 bytes of preamble.." "?,?,?,Reserved"
newline
bitfld.long 0x0 1. "TE,Transmitter Enable When this bit is set the Tx state machine of the MAC is enabled for transmission on the GMII or MII interface. When this bit is reset the MAC Tx state machine is disabled after it completes the transmission of the current packet." "Transmitter is disabled,Transmitter is enabled"
newline
bitfld.long 0x0 0. "RE,Receiver Enable When this bit is set the Rx state machine of the MAC is enabled for receiving packets from the GMII or MII interface. When this bit is reset the MAC Rx state machine is disabled after it completes the reception of the current packet." "Receiver is disabled,Receiver is enabled"
line.long 0x4 "MAC_EXT_CONFIGURATION,The MAC Extended Configuration Register establishes the operating mode of the MAC."
rbitfld.long 0x4 31. "RESERVED_FHE,Reserved." "0,1"
newline
rbitfld.long 0x4 30. "RESERVED_30,Reserved." "0,1"
newline
hexmask.long.byte 0x4 25.--29. 1. "EIPG,Extended Inter-Packet Gap The value in this field is applicable when the EIPGEN bit is set. This field (as Most Significant bits) along with IPG field in MAC_Configuration register gives the minimum IPG greater than 96 bit times in steps of 8 bit.."
newline
bitfld.long 0x4 24. "EIPGEN,Extended Inter-Packet Gap Enable When this bit is set the MAC interprets EIPG field and IPG field in MAC_Configuration register together as minimum IPG greater than 96 bit times in steps of 8 bit times. When this bit is reset the MAC ignores.." "Extended Inter-Packet Gap is disabled,Extended Inter-Packet Gap is enabled"
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 20.--22. "RESERVED_HDSMS,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "PDC,Packet Duplication Control When this bit is set the received packet with Multicast/Broadcast Destination address is routed to multiple Receive DMA Channels. The Receive DMA Channels is identified by the DCS field of MAC_Address(#i)_High register.." "Packet Duplication Control is disabled,Packet Duplication Control is enabled"
newline
bitfld.long 0x4 18. "USP,Unicast Slow Protocol Packet Detect When this bit is set the MAC detects the Slow Protocol packets with unicast address of the station specified in the MAC_Address0_High and MAC_Address0_Low registers. The MAC also detects the Slow Protocol packets.." "Unicast Slow Protocol Packet Detection is disabled,Unicast Slow Protocol Packet Detection is enabled"
newline
bitfld.long 0x4 17. "SPEN,Slow Protocol Detection Enable When this bit is set MAC processes the Slow Protocol packets (Ether Type 0x8809) and provides the Rx status. The MAC discards the Slow Protocol packets with invalid sub-types. When this bit is reset the MAC forwards.." "Slow Protocol Detection is disabled,Slow Protocol Detection is enabled"
newline
bitfld.long 0x4 16. "DCRCC,Disable CRC Checking for Received Packets When this bit is set the MAC receiver does not check the CRC field in the received packets. When this bit is reset the MAC receiver always checks the CRC field in the received packets. 0x1: CRC Checking.." "CRC Checking is enabled,CRC Checking is disabled"
newline
rbitfld.long 0x4 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 0.--13. 1. "GPSL,Giant Packet Size Limit If the received packet size is greater than the value programmed in this field in units of bytes the MAC declares the received packet as Giant packet. The value programmed in this field must be greater than or equal to 1 518.."
line.long 0x8 "MAC_PACKET_FILTER,The MAC Packet Filter register contains the filter controls for receiving packets. Some of the controls from this register go to the address check block of the MAC which performs the first level of address filtering. The second level of.."
bitfld.long 0x8 31. "RA,Receive All When this bit is set the MAC Receiver module passes all received packets to the application irrespective of whether they pass the address filter or not. The result of the SA or DA filtering is updated (pass or fail) in the corresponding.." "Receive All is disabled,Receive All is enabled"
newline
hexmask.long.word 0x8 22.--30. 1. "RESERVED_30_22,Reserved."
newline
bitfld.long 0x8 21. "DNTU,Drop Non-TCP/UDP over IP Packets When this bit is set the MAC drops the non-TCP or UDP over IP packets. The MAC forward only those packets that are processed by the Layer 4 filter. When this bit is reset the MAC forwards all non-TCP or UDP over IP.." "Forward Non-TCP/UDP over IP Packets,Drop Non-TCP/UDP over IP Packets"
newline
bitfld.long 0x8 20. "IPFE,Layer 3 and Layer 4 Filter Enable When this bit is set the MAC drops packets that do not match the enabled Layer 3 and Layer 4 filters. If Layer 3 or Layer 4 filters are not enabled for matching this bit does not have any effect. When this bit is.." "Layer 3 and Layer 4 Filters are disabled,Layer 3 and Layer 4 Filters are enabled"
newline
rbitfld.long 0x8 17.--19. "RESERVED_19_17,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 16. "VTFE,VLAN Tag Filter Enable When this bit is set the MAC drops the VLAN tagged packets that do not match the VLAN Tag. When this bit is reset the MAC forwards all packets irrespective of the match status of the VLAN Tag. 0x0: VLAN Tag Filter is.." "VLAN Tag Filter is disabled,VLAN Tag Filter is enabled"
newline
hexmask.long.byte 0x8 11.--15. 1. "RESERVED_15_11,Reserved."
newline
bitfld.long 0x8 10. "HPF,Hash or Perfect Filter When this bit is set the address filter passes a packet if it matches either the perfect filtering or hash filtering as set by the HMC or HUC bit. When this bit is reset and the HUC or HMC bit is set the packet is passed only.." "Hash or Perfect Filter is disabled,Hash or Perfect Filter is enabled"
newline
bitfld.long 0x8 9. "SAF,Source Address Filter Enable When this bit is set the MAC compares the SA field of the received packets with the values programmed in the enabled SA registers. If the comparison fails the MAC drops the packet. When this bit is reset the MAC.." "SA Filtering is disabled,SA Filtering is enabled"
newline
bitfld.long 0x8 8. "SAIF,SA Inverse Filtering When this bit is set the Address Check block operates in the inverse filtering mode for SA address comparison. If the SA of a packet matches the values programmed in the SA registers it is marked as failing the SA Address.." "SA Inverse Filtering is disabled,SA Inverse Filtering is enabled"
newline
bitfld.long 0x8 6.--7. "PCF,Pass Control Packets These bits control the forwarding of all control packets (including unicast and multicast Pause packets). 0x0: MAC filters all control packets from reaching the application 0x2: MAC forwards all control packets to the application.." "MAC filters all control packets from reaching..,MAC forwards all control packets except Pause..,MAC forwards all control packets to the..,MAC forwards the control packets that pass the.."
newline
bitfld.long 0x8 5. "DBF,Disable Broadcast Packets When this bit is set the AFM module blocks all incoming broadcast packets. In addition it overrides all other filter settings. When this bit is reset the AFM module passes all received broadcast packets. 0x1: Disable.." "Enable Broadcast Packets,Disable Broadcast Packets"
newline
bitfld.long 0x8 4. "PM,Pass All Multicast When this bit is set it indicates that all received packets with a multicast destination address (first bit in the destination address field is '1') are passed. When this bit is reset filtering of multicast packet depends on HMC.." "Pass All Multicast is disabled,Pass All Multicast is enabled"
newline
bitfld.long 0x8 3. "DAIF,DA Inverse Filtering When this bit is set the Address Check block operates in inverse filtering mode for the DA address comparison for both unicast and multicast packets. When this bit is reset normal filtering of packets is performed. 0x0: DA.." "DA Inverse Filtering is disabled,DA Inverse Filtering is enabled"
newline
bitfld.long 0x8 2. "HMC,Hash Multicast When this bit is set the MAC performs the destination address filtering of received multicast packets according to the hash table. When this bit is reset the MAC performs the perfect destination address filtering for multicast.." "Hash Multicast is disabled,Hash Multicast is enabled"
newline
bitfld.long 0x8 1. "HUC,Hash Unicast When this bit is set the MAC performs the destination address filtering of unicast packets according to the hash table. When this bit is reset the MAC performs a perfect destination address filtering for unicast packets that is it.." "Hash Unicast is disabled,Hash Unicast is enabled"
newline
bitfld.long 0x8 0. "PR,Promiscuous Mode When this bit is set the Address Filtering module passes all incoming packets irrespective of the destination or source address. The SA or DA Filter Fails status bits of the Rx Status Word are always cleared when PR is set. 0x0:.." "Promiscuous Mode is disabled,Promiscuous Mode is enabled"
line.long 0xC "MAC_WATCHDOG_TIMEOUT,The Watchdog Timeout register controls the watchdog timeout for received packets."
hexmask.long.tbyte 0xC 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0xC 8. "PWE,Programmable Watchdog Enable When this bit is set and the WD bit of the MAC_Configuration register is reset the WTO field is used as watchdog timeout for a received packet. When this bit is cleared the watchdog timeout for a received packet is.." "Programmable Watchdog is disabled,Programmable Watchdog is enabled"
newline
hexmask.long.byte 0xC 4.--7. 1. "RESERVED_7_4,Reserved."
newline
hexmask.long.byte 0xC 0.--3. 1. "WTO,Watchdog Timeout When the PWE bit is set and the WD bit of the MAC_Configuration register is reset this field is used as watchdog timeout for a received packet. If the length of a received packet exceeds the value of this field such packet is.."
line.long 0x10 "MAC_HASH_TABLE_REG0,The Hash Table Register 0 contains the first 32 bits of the hash table. when the width of the hash table is 128 or 256 bits. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash.."
hexmask.long 0x10 0.--31. 1. "HT31T0,MAC Hash Table First 32 Bits This field contains the first 32 Bits [31:0] of the Hash table."
line.long 0x14 "MAC_HASH_TABLE_REG1,The Hash Table Register 1 contains the second 32 bits of the hash table. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash table is used for group address filtering. For hash.."
hexmask.long 0x14 0.--31. 1. "HT63T32,MAC Hash Table Second 32 Bits This field contains the second 32 Bits [63:32] of the Hash table."
line.long 0x18 "MAC_HASH_TABLE_REG2,The Hash Table Register 2 contains the third 32 bits of the hash table. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash table is used for group address filtering. For hash.."
hexmask.long 0x18 0.--31. 1. "HT95T64,MAC Hash Table Third 32 Bits This field contains the third 32 Bits [95:64] of the Hash table."
line.long 0x1C "MAC_HASH_TABLE_REG3,The Hash Table Register 3 contains the fourth 32 bits of the hash table. You can specify the width of the hash table by using the Hash Table Size option in coreConsultant. The Hash table is used for group address filtering. For hash.."
hexmask.long 0x1C 0.--31. 1. "HT127T96,MAC Hash Table Fourth 32 Bits This field contains the fourth 32 Bits [127:96] of the Hash table."
group.long 0x50++0xB
line.long 0x0 "MAC_VLAN_TAG_CTRL,This register is the redefined format of the MAC VLAN Tag Register. It is used for indirect addressing. It contains the address offset. command type and Busy Bit for CSR access of the Per VLAN Tag registers."
bitfld.long 0x0 31. "EIVLRXS,Enable Inner VLAN Tag in Rx Status When this bit is set the MAC provides the inner VLAN Tag in the Rx status. When this bit is reset the MAC does not provide the inner VLAN Tag in Rx status. 0x0: Inner VLAN Tag in Rx status is disabled 0x1:.." "Inner VLAN Tag in Rx status is disabled,Inner VLAN Tag in Rx status is enabled"
newline
rbitfld.long 0x0 30. "RESERVED_30,Reserved." "0,1"
newline
bitfld.long 0x0 28.--29. "EIVLS,Enable Inner VLAN Tag Stripping on Receive This field indicates the stripping operation on inner VLAN Tag in received packet. 0x3: Always strip 0x0: Do not strip 0x2: Strip if VLAN filter fails 0x1: Strip if VLAN filter passes" "Do not strip,Strip if VLAN filter passes,Strip if VLAN filter fails,Always strip"
newline
bitfld.long 0x0 27. "ERIVLT,null 0x0: Inner VLAN tag is disabled 0x1: Inner VLAN tag is enabled" "Inner VLAN tag is disabled,Inner VLAN tag is enabled"
newline
bitfld.long 0x0 26. "EDVLP,Enable Double VLAN Processing When this bit is set the MAC enables processing of up to two VLAN Tags on Tx and Rx (if present). When this bit is reset the MAC enables processing of up to one VLAN Tag on Tx and Rx (if present). 0x0: Double VLAN.." "Double VLAN Processing is disabled,Double VLAN Processing is enabled"
newline
bitfld.long 0x0 25. "VTHM,VLAN Tag Hash Table Match Enable When this bit is set the most significant four bits of CRC of VLAN Tag (ones-complement of most significant four bits of CRC of VLAN Tag when ETV bit is reset) are used to index the content of the.." "VLAN Tag Hash Table Match is disabled,VLAN Tag Hash Table Match is enabled"
newline
bitfld.long 0x0 24. "EVLRXS,Enable VLAN Tag in Rx status When this bit is set MAC provides the outer VLAN Tag in the Rx status. When this bit is reset the MAC does not provide the outer VLAN Tag in Rx status. 0x0: VLAN Tag in Rx status is disabled 0x1: VLAN Tag in Rx.." "VLAN Tag in Rx status is disabled,VLAN Tag in Rx status is enabled"
newline
rbitfld.long 0x0 23. "RESERVED_23,Reserved." "0,1"
newline
bitfld.long 0x0 21.--22. "EVLS,Enable VLAN Tag Stripping on Receive This field indicates the stripping operation on the outer VLAN Tag in received packet. 0x3: Always strip 0x0: Do not strip 0x2: Strip if VLAN filter fails 0x1: Strip if VLAN filter passes" "Do not strip,Strip if VLAN filter passes,Strip if VLAN filter fails,Always strip"
newline
rbitfld.long 0x0 19.--20. "RESERVED_20_19,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 18. "ESVL,Enable S-VLAN When this bit is set the MAC transmitter and receiver consider the S-VLAN packets (Type = 0x88A8) as valid VLAN tagged packets. 0x0: S-VLAN is disabled 0x1: S-VLAN is enabled" "S-VLAN is disabled,S-VLAN is enabled"
newline
bitfld.long 0x0 17. "VTIM,VLAN Tag Inverse Match Enable When this bit is set this bit enables the VLAN Tag inverse matching. The packets without matching VLAN Tag are marked as matched. When reset this bit enables the VLAN Tag perfect matching. The packets with matched.." "VLAN Tag Inverse Match is disabled,VLAN Tag Inverse Match is enabled"
newline
hexmask.long.word 0x0 7.--16. 1. "RESERVED_16_7,Reserved."
newline
hexmask.long.byte 0x0 2.--6. 1. "OFS,Offset This field holds the address offset of the MAC VLAN Tag Filter Register which the application is trying to access. The width of the field depends on the number of MAC VLAN Tag Registers enabled."
newline
bitfld.long 0x0 1. "CT,Command Type This bit indicates if the current register access is a read or a write. When set it indicate a read operation. When reset it indicates a write operation. 0x1: Read operation 0x0: Write operation" "Write operation,Read operation"
newline
bitfld.long 0x0 0. "OB,Operation Busy This bit is set along with a read or write command for initiating the indirect access to per VLAN Tag Filter register. This bit is reset when the read or write command to per VLAN Tag Filter indirect access register is complete. The.." "Operation Busy is disabled,Operation Busy is enabled"
line.long 0x4 "MAC_VLAN_TAG_DATA,This register holds the read/write data for Indirect Access of the Per VLAN Tag registers. During the read access. this field contains valid read data only after the OB bit is reset. During the write access. this field should be valid.."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_Y,Reserved."
newline
bitfld.long 0x4 25.--27. "DMACHN,DMA Channel Number The DMA Channel number to which the VLAN Tagged Frame is to be routed if it passes this VLAN Tag Filter is programmed in this field. If the Routing based on VLAN Tag Filter is not necessary this field need not be programmed." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 24. "DMACHEN,DMA Channel Number Enable This bit is the Enable for the DMA Channel Number value programmed in the field DMACH. When this bit is reset the Routing does not occur based on VLAN Filter result. The frame is routed based on DA Based DMA Channel.." "DMA Channel Number is disabled,DMA Channel Number is enabled"
newline
rbitfld.long 0x4 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 20. "ERIVLT,Enable Inner VLAN Tag Comparison This bit is valid only when VLAN Tag Enable of the Filter is set. When this bit and the EDVLP field are set the MAC receiver enables operation on the inner VLAN Tag (if present). When this bit is reset the MAC.." "Inner VLAN tag comparison is disabled,Inner VLAN tag comparison is enabled"
newline
bitfld.long 0x4 19. "ERSVLM,Enable S-VLAN Match for received Frames This bit is valid only when VLAN Tag Enable of the Filter is set. When this bit is set the MAC receiver enables filtering or matching for S-VLAN (Type = 0x88A8) packets. When this bit is reset the MAC.." "Receive S-VLAN Match is disabled,Receive S-VLAN Match is enabled"
newline
bitfld.long 0x4 18. "DOVLTC,Disable VLAN Type Comparison This bit is valid only when VLAN Tag Enable of the Filter is set. When this bit is set the MAC does not check whether the VLAN Tag specified by the Enable Inner VLAN Tag Comparison bit is of type S-VLAN or C-VLAN." "VLAN type comparison is enabled,VLAN type comparison is disabled"
newline
bitfld.long 0x4 17. "ETV,12bits or 16bits VLAN comparison This bit is valid only when VEN of the Filter is set. When this bit is set a 12-bit VLAN identifier is used for comparing and filtering instead of the complete 16-bit VLAN tag. Bits [11:0] of VLAN tag are compared.." "0,1"
newline
bitfld.long 0x4 16. "VEN,VLAN Tag Enable This bit is used to enable or disable the VLAN Tag. When this bit is set the MAC compares the VLAN Tag of received packet with the VLAN Tag ID. When this bit is reset no comparison is performed irrespective of the programming of the.." "VLAN Tag is disabled,VLAN Tag is enabled"
newline
hexmask.long.word 0x4 0.--15. 1. "VID,VLAN Tag ID This field holds the VLAN Tag value which is used by the MAC for perfect comparison. It is valid when VLAN Tag Enable is set."
line.long 0x8 "MAC_VLAN_HASH_TABLE,When VTHM bit of the MAC_VLAN_Tag register is set. the 16-bit VLAN Hash Table register is used for group address filtering based on the VLAN tag. For hash filtering. the content of the 16-bit VLAN tag or 12-bit VLAN ID (based on the.."
hexmask.long.word 0x8 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.word 0x8 0.--15. 1. "VLHT,VLAN Hash Table This field contains the 16-bit VLAN Hash Table."
group.long 0x60++0x7
line.long 0x0 "MAC_VLAN_INCL,The VLAN Tag Inclusion or Replacement register contains the VLAN tag for insertion or replacement in the Transmit packets. It also contains the VLAN tag insertion controls."
rbitfld.long 0x0 31. "BUSY,Busy This bit indicates the status of the read/write operation of indirect access to the queue/channel specific VLAN inclusion register. For write operation write to a register is complete when this bit is reset. For read operation the read data is.." "Busy status not detected,Busy status detected"
newline
bitfld.long 0x0 30. "RDWR,Read write control This bit controls the read or write operation for indirectly accessing the queue/channel specific VLAN Inclusion register. When set indicates write operation and when reset indicates read operation. This does not have any effect.." "Read operation of indirect access,Write operation of indirect access"
newline
rbitfld.long 0x0 27.--29. "RESERVED_29_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24.--26. "ADDR,Address This field selects one of the queue/channel specific VLAN Inclusion register for read/write access. This does not have any effect when CBTI is reset." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 21. "CBTI,Channel based tag insertion When this bit is set outer VLAN tag is inserted for every packets transmitted by the MAC. The tag value is taken from the queue/channel specific VLAN tag register. The VLTI VLP VLC and VLT fields of this register are.." "Channel based tag insertion is disabled,Channel based tag insertion is enabled"
newline
bitfld.long 0x0 20. "VLTI,VLAN Tag Input When this bit is set it indicates that the VLAN tag to be inserted or replaced in Tx packet should be taken from: - The Tx descriptor 0x0: VLAN Tag Input is disabled 0x1: VLAN Tag Input is enabled" "VLAN Tag Input is disabled,VLAN Tag Input is enabled"
newline
bitfld.long 0x0 19. "CSVL,C-VLAN or S-VLAN When this bit is set S-VLAN type (0x88A8) is inserted or replaced in the 13th and 14th bytes of transmitted packets. When this bit is reset C-VLAN type (0x8100) is inserted or replaced in the 13th and 14th bytes of transmitted.." "C-VLAN type,S-VLAN type"
newline
bitfld.long 0x0 18. "VLP,VLAN Priority Control When this bit is set the control bits[17:16] are used for VLAN deletion insertion or replacement. When this bit is reset the mti_vlan_ctrl_i control input is used and bits[17:16] are ignored. 0x0: VLAN Priority Control is.." "VLAN Priority Control is disabled,VLAN Priority Control is enabled"
newline
bitfld.long 0x0 16.--17. "VLC,VLAN Tag Control in Transmit Packets - 2'b00: No VLAN tag deletion insertion or replacement - 2'b01: VLAN tag deletion The MAC removes the VLAN type (bytes 13 and 14) and VLAN tag (bytes 15 and 16) of all transmitted packets with VLAN tags. -.." "No VLAN tag deletion,VLAN tag deletion,VLAN tag insertion,VLAN tag replacement"
newline
hexmask.long.word 0x0 0.--15. 1. "VLT,VLAN Tag for Transmit Packets This field contains the value of the VLAN tag to be inserted or replaced. The value must only be changed when the transmit lines are inactive or during the initialization phase. Bits[15:13] are the User Priority field.."
line.long 0x4 "MAC_INNER_VLAN_INCL,The Inner VLAN Tag Inclusion or Replacement register contains the inner VLAN tag to be inserted or replaced in the Transmit packet. It also contains the inner VLAN tag insertion controls."
hexmask.long.word 0x4 21.--31. 1. "RESERVED_31_21,Reserved."
newline
bitfld.long 0x4 20. "VLTI,VLAN Tag Input When this bit is set it indicates that the VLAN tag to be inserted or replaced in Tx packet should be taken from: - The Tx descriptor 0x0: VLAN Tag Input is disabled 0x1: VLAN Tag Input is enabled" "VLAN Tag Input is disabled,VLAN Tag Input is enabled"
newline
bitfld.long 0x4 19. "CSVL,C-VLAN or S-VLAN When this bit is set S-VLAN type (0x88A8) is inserted or replaced in the 13th and 14th bytes of transmitted packets. When this bit is reset C-VLAN type (0x8100) is inserted or replaced in the 13th and 14th bytes of transmitted.." "C-VLAN type,S-VLAN type"
newline
bitfld.long 0x4 18. "VLP,VLAN Priority Control When this bit is set the VLC field is used for VLAN deletion insertion or replacement. When this bit is reset the mti_vlan_ctrl_i control input is used and the VLC field is ignored. 0x0: VLAN Priority Control is disabled.." "VLAN Priority Control is disabled,VLAN Priority Control is enabled"
newline
bitfld.long 0x4 16.--17. "VLC,VLAN Tag Control in Transmit Packets - 2'b00: No VLAN tag deletion insertion or replacement - 2'b01: VLAN tag deletion The MAC removes the VLAN type (bytes 17 and 18) and VLAN tag (bytes 19 and 20) of all transmitted packets with VLAN tags. -.." "No VLAN tag deletion,VLAN tag deletion,VLAN tag insertion,VLAN tag replacement"
newline
hexmask.long.word 0x4 0.--15. 1. "VLT,VLAN Tag for Transmit Packets This field contains the value of the VLAN tag to be inserted or replaced. The value must only be changed when the transmit lines are inactive or during the initialization phase. Bits[15:13] are the User Priority field.."
group.long 0x70++0x13
line.long 0x0 "MAC_Q0_TX_FLOW_CTRL,The Flow Control register controls the generation and reception of the Control (Pause Command) packets by the Flow control module of the MAC. A Write to a register with the Busy bit set to 1 triggers the Flow Control block to generate.."
hexmask.long.word 0x0 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x0 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x0 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x0 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 1. "TFE,Transmit Flow Control Enable Full-Duplex Mode: In the full-duplex mode when this bit is set the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x0 0. "FCB_BPA,Flow Control Busy or Backpressure Activate This bit initiates a Pause packet in the full-duplex mode and activates the backpressure function in the half-duplex mode if the TFE bit is set. Full-Duplex Mode: In the full-duplex mode this bit should.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0x4 "MAC_Q1_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0x4 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x4 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x4 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x4 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x4 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x4 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0x8 "MAC_Q2_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0x8 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x8 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x8 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x8 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x8 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x8 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x8 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0xC "MAC_Q3_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0xC 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0xC 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0xC 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0xC 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0xC 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0xC 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0xC 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
line.long 0x10 "MAC_Q4_TX_FLOW_CTRL,This register controls the generation of PFC Control packets of priorities mapped as per the PSRQi field in the MAC_RxQ_Ctrl2/MAC_RxQ_Ctrl3 registers."
hexmask.long.word 0x10 16.--31. 1. "PT,Pause Time This field holds the value to be used in the Pause Time field in the Tx control packet. If the Pause Time bits are configured to be double-synchronized to the (G)MII clock domain consecutive writes to this register should be performed only.."
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x10 7. "DZPQ,Disable Zero-Quanta Pause When this bit is set it disables the automatic generation of the zero-quanta Pause packets on de-assertion of the flow-control signal from the FIFO layer (MTL or external sideband flow control signal sbd_flowctrl_i or.." "Zero-Quanta Pause packet generation is enabled,Zero-Quanta Pause packet generation is disabled"
newline
bitfld.long 0x10 4.--6. "PLT,Pause Low Threshold This field configures the threshold of the Pause timer at which the input flow control signal mti_flowctrl_i (or sbd_flowctrl_i) is checked for automatic retransmission of the Pause packet. The threshold values should be always.." "Pause Time minus 4 Slot Times,Pause Time minus 28 Slot Times,Pause Time minus 36 Slot Times,Pause Time minus 144 Slot Times,Pause Time minus 256 Slot Times,Pause Time minus 512 Slot Times,Reserved,?"
newline
rbitfld.long 0x10 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x10 1. "TFE,Transmit Flow Control Enable When this bit is set in full-duplex mode the MAC enables the flow control operation to Tx Pause packets. When this bit is reset the flow control operation in the MAC is disabled and the MAC does not transmit any Pause.." "Transmit Flow Control is disabled,Transmit Flow Control is enabled"
newline
bitfld.long 0x10 0. "FCB_BPA,Flow Control Busy This bit initiates a PFC packet if the TFE bit is set. To initiate a PFC packet the application must set this bit to 1'b1. During Control packet transfer this bit continues to be set to indicate that a packet transmission is.." "Flow Control Busy or Backpressure Activate is..,Flow Control Busy or Backpressure Activate is.."
group.long 0x90++0x1F
line.long 0x0 "MAC_RX_FLOW_CTRL,The Receive Flow Control register controls the pausing of MAC Transmit based on the received Pause packet."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "PFCE,Priority Based Flow Control Enable When this bit is set it enables generation and reception of priority-based flow control (PFC) packets. When this bit is reset it enables generation and reception of 802.3x Pause control packets. 0x0: Priority.." "Priority Based Flow Control is disabled,Priority Based Flow Control is enabled"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "UP,Unicast Pause Packet Detect A pause packet is processed when it has the unique multicast address specified in the IEEE 802.3. When this bit is set the MAC can also detect Pause packets with unicast address of the station. This unicast address should.." "Unicast Pause Packet Detect disabled,Unicast Pause Packet Detect enabled"
newline
bitfld.long 0x0 0. "RFE,Receive Flow Control Enable When this bit is set and the MAC is operating in full-duplex mode the MAC decodes the received Pause packet and disables its transmitter for a specified (Pause) time. When this bit is reset or the MAC is operating in.." "Receive Flow Control is disabled,Receive Flow Control is enabled"
line.long 0x4 "MAC_RXQ_CTRL4,The Receive Queue Control 4 register controls the routing of unicast and multicast packets that fail the Destination or Source address filter to the Rx queues."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_Y,Reserved."
newline
bitfld.long 0x4 17.--19. "VFFQ,VLAN Tag Filter Fail Packets Queue This field holds the Rx queue number to which the tagged packets failing the Destination or Source Address filter (and UFFQE/MFFQE not enabled) or failing the VLAN tag filter must be routed to. This field is valid.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 16. "VFFQE,VLAN Tag Filter Fail Packets Queuing Enable When this bit is set the tagged packets which fail the Destination or Source address filter or fail the VLAN tag filter are routed to the Rx Queue Number programmed in the VFFQ. When this bit is reset.." "VLAN tag Filter Fail Packets Queuing is disabled,VLAN tag Filter Fail Packets Queuing is enabled"
newline
hexmask.long.byte 0x4 12.--15. 1. "RESERVED_15_Y,Reserved."
newline
bitfld.long 0x4 9.--11. "MFFQ,Multicast Address Filter Fail Packets Queue. This field holds the Rx queue number to which the Multicast packets failing the Destination or Source Address filter are routed to. This field is valid only when the MFFQE bit is set." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 8. "MFFQE,Multicast Address Filter Fail Packets Queuing Enable. When this bit is set the Multicast packets which fail the Destination or Source address filter is routed to the Rx Queue Number programmed in the MFFQ. When this bit is reset the Multicast.." "Multicast Address Filter Fail Packets Queuing is..,Multicast Address Filter Fail Packets Queuing is.."
newline
hexmask.long.byte 0x4 4.--7. 1. "RESERVED_7_Y,Reserved."
newline
bitfld.long 0x4 1.--3. "UFFQ,Unicast Address Filter Fail Packets Queue. This field holds the Rx queue number to which the Unicast packets failing the Destination or Source Address filter are routed to. This field is valid only when the UFFQE bit is set." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "UFFQE,Unicast Address Filter Fail Packets Queuing Enable. When this bit is set the Unicast packets which fail the Destination or Source address filter is routed to the Rx Queue Number programmed in the UFFQ. When this bit is reset the Unicast packets.." "Unicast Address Filter Fail Packets Queuing is..,Unicast Address Filter Fail Packets Queuing is.."
line.long 0x8 "MAC_TXQ_PRTY_MAP0,The Transmit Queue Priority Mapping 0 register contains the priority values assigned to Tx Queue 0 through Tx Queue 3."
hexmask.long.byte 0x8 24.--31. 1. "PSTQ3,Priorities Selected in Transmit Queue 3 This bit is similar to the PSTQ0 bit."
newline
hexmask.long.byte 0x8 16.--23. 1. "PSTQ2,Priorities Selected in Transmit Queue 2 This bit is similar to the PSTQ0 bit."
newline
hexmask.long.byte 0x8 8.--15. 1. "PSTQ1,Priorities Selected in Transmit Queue 1 This bit is similar to the PSTQ0 bit."
newline
hexmask.long.byte 0x8 0.--7. 1. "PSTQ0,Priorities Selected in Transmit Queue 0 This field holds the priorities assigned to Tx Queue 0 by the software. This field determines if Tx Queue 0 should be blocked from transmitting specified pause time when a PFC packet is received with.."
line.long 0xC "MAC_TXQ_PRTY_MAP1,The Transmit Queue Priority Mapping 1 register contains the priority values assigned to Tx Queue 4 through Tx Queue 7."
hexmask.long.byte 0xC 24.--31. 1. "RESERVED_PSTQ7,Reserved."
newline
hexmask.long.byte 0xC 16.--23. 1. "RESERVED_PSTQ6,Reserved."
newline
hexmask.long.byte 0xC 8.--15. 1. "RESERVED_PSTQ5,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "PSTQ4,Priorities Selected in Transmit Queue 4 This field holds the priorities assigned to Tx Queue 4 by the software. This field determines if Tx Queue 4 should be blocked from transmitting specified pause time when a PFC packet is received with.."
line.long 0x10 "MAC_RXQ_CTRL0,The Receive Queue Control 0 register controls the queue management in the MAC Receiver. Note: In multiple Rx queues configuration. all the queues are disabled by default. Enable the Rx queue by programming the corresponding field in this.."
hexmask.long.word 0x10 16.--31. 1. "RESERVED_31_16,Reserved."
newline
rbitfld.long 0x10 14.--15. "RESERVED_RXQ7EN,Reserved." "0,1,2,3"
newline
rbitfld.long 0x10 12.--13. "RESERVED_RXQ6EN,Reserved." "0,1,2,3"
newline
rbitfld.long 0x10 10.--11. "RESERVED_RXQ5EN,Reserved." "0,1,2,3"
newline
bitfld.long 0x10 8.--9. "RXQ4EN,Receive Queue 4 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 6.--7. "RXQ3EN,Receive Queue 3 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 4.--5. "RXQ2EN,Receive Queue 2 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 2.--3. "RXQ1EN,Receive Queue 1 Enable This field is similar to the RXQ0EN field. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
newline
bitfld.long 0x10 0.--1. "RXQ0EN,Receive Queue 0 Enable This field indicates whether Rx Queue 0 is enabled for AV or DCB. 0x0: Queue not enabled 0x1: Queue enabled for AV 0x2: Queue enabled for DCB/Generic 0x3: Reserved" "Queue not enabled,Queue enabled for AV,Queue enabled for DCB/Generic,Reserved"
line.long 0x14 "MAC_RXQ_CTRL1,The Receive Queue Control 1 register controls the routing of multicast. broadcast. AV. DCB. and untagged packets to the Rx queues."
hexmask.long.byte 0x14 27.--31. 1. "RESERVED_31_Y,Reserved."
newline
bitfld.long 0x14 24.--26. "FPRQ,Frame Preemption Residue Queue This field holds the Rx queue number to which the residual preemption frames must be forwarded. Preemption frames that are tagged and pass the SA/DA/VLAN filtering are routed based on PSRQ and all other frames are.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x14 22.--23. "TPQC,Tagged PTP over Ethernet Packets Queuing Control. This field controls the routing of the VLAN Tagged PTPoE packets. If DWC_EQOS_AV_ENABLE is selected in the configuration the following programmable options are allowed. - 2'b00: VLAN Tagged PTPoE.." "VLAN Tagged PTPoE packets are routed as generic..,VLAN Tagged PTPoE packets are routed to Rx..,VLAN Tagged PTPoE packets are routed to only AV..,Reserved"
newline
bitfld.long 0x14 21. "TACPQE,Tagged AV Control Packets Queuing Enable. When set the MAC routes the received Tagged AV Control packets to the Rx queue specified by AVCPQ field. When reset the MAC routes the received Tagged AV Control packets based on the tag priority.." "Tagged AV Control Packets Queuing is disabled,Tagged AV Control Packets Queuing is enabled"
newline
bitfld.long 0x14 20. "MCBCQEN,Multicast and Broadcast Queue Enable This bit specifies that Multicast or Broadcast packets routing to the Rx Queue is enabled and the Multicast or Broadcast packets must be routed to Rx Queue specified in MCBCQ field. 0x0: Multicast and.." "Multicast and Broadcast Queue is disabled,Multicast and Broadcast Queue is enabled"
newline
rbitfld.long 0x14 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x14 16.--18. "MCBCQ,Multicast and Broadcast Queue This field specifies the Rx Queue onto which Multicast or Broadcast Packets are routed. Any Rx Queue enabled for Generic/DCB/AV traffic can be used to route the Multicast or Broadcast Packets. 0x0: Receive Queue 0 0x1:.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x14 12.--14. "UPQ,Untagged Packet Queue This field indicates the Rx Queue to which Untagged Packets are to be routed. Any Rx Queue enabled for Generic/DCB/AV traffic can be used to route the Untagged Packets. 0x0: Receive Queue 0 0x1: Receive Queue 1 0x2: Receive.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 11. "RESERVED_11,Reserved." "0,1"
newline
bitfld.long 0x14 8.--10. "DCBCPQ,DCB Control Packets Queue This field specifies the Rx queue on which the received DCB control packets are routed. The DCB data packets are routed based on the PSRQ field of the Transmit Flow Control Register of corresponding queue. 0x0: Receive.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x14 4.--6. "PTPQ,PTP Packets Queue This field specifies the Rx queue on which the PTP packets sent over the Ethernet payload (not over IPv4 or IPv6) are routed. When the AV8021ASMEN bit of MAC_Timestamp_Control register is set only untagged PTP over Ethernet.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
newline
rbitfld.long 0x14 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x14 0.--2. "AVCPQ,AV Untagged Control Packets Queue This field specifies the Receive queue on which the received AV tagged and untagged control packets are routed. The AV tagged (when TACPQE bit is set) and untagged control packets are routed to Receive queue.." "Receive Queue 0,Receive Queue 1,Receive Queue 2,Receive Queue 3,Receive Queue 4,Receive Queue 5,Receive Queue 6,Receive Queue 7"
line.long 0x18 "MAC_RXQ_CTRL2,This register controls the routing of tagged packets based on the USP (user Priority) field of the received packets to the RxQueues 0 to 3."
hexmask.long.byte 0x18 24.--31. 1. "PSRQ3,Priorities Selected in the Receive Queue 3 This field decides the priorities assigned to Rx Queue 3. All packets with priorities that match the values set in this field are routed to Rx Queue 3. For example if PSRQ3[6 3] are set packets with USP.."
newline
hexmask.long.byte 0x18 16.--23. 1. "PSRQ2,Priorities Selected in the Receive Queue 2 This field decides the priorities assigned to Rx Queue 2. All packets with priorities that match the values set in this field are routed to Rx Queue 2. For example if PSRQ2[1 0] are set packets with USP.."
newline
hexmask.long.byte 0x18 8.--15. 1. "PSRQ1,Priorities Selected in the Receive Queue 1 This field decides the priorities assigned to Rx Queue 1. All packets with priorities that match the values set in this field are routed to Rx Queue 1. For example if PSRQ1[4] is set packets with USP.."
newline
hexmask.long.byte 0x18 0.--7. 1. "PSRQ0,Priorities Selected in the Receive Queue 0 This field decides the priorities assigned to Rx Queue 0. All packets with priorities that match the values set in this field are routed to Rx Queue 0. For example if PSRQ0[5] is set packets with USP.."
line.long 0x1C "MAC_RXQ_CTRL3,This register controls the routing of tagged packets based on the USP (user Priority) field of the received packets to the RxQueues 4 to 7."
hexmask.long.byte 0x1C 24.--31. 1. "RESERVED_PSRQ7,Reserved."
newline
hexmask.long.byte 0x1C 16.--23. 1. "RESERVED_PSRQ6,Reserved."
newline
hexmask.long.byte 0x1C 8.--15. 1. "RESERVED_PSRQ5,Reserved."
newline
hexmask.long.byte 0x1C 0.--7. 1. "PSRQ4,Priorities Selected in the Receive Queue 4 This field decides the priorities assigned to Rx Queue 4. All packets with priorities that match the values set in this field are routed to Rx Queue 4. For example if PSRQ4[7:4] is set packets with USP.."
rgroup.long 0xB0++0x3
line.long 0x0 "MAC_INTERRUPT_STATUS,The Interrupt Status register contains the status of interrupts."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
bitfld.long 0x0 20. "MFRIS,MMC FPE Receive Interrupt Status This bit is set high when an interrupt is generated in the MMC FPE Receive Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the.." "MMC FPE Receive Interrupt status not active,MMC FPE Receive Interrupt status active"
newline
bitfld.long 0x0 19. "MFTIS,MMC FPE Transmit Interrupt Status This bit is set high when an interrupt is generated in the MMC FPE Transmit Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the.." "MMC FPE Transmit Interrupt status not active,MMC FPE Transmit Interrupt status active"
newline
bitfld.long 0x0 18. "MDIOIS,MDIO Interrupt Status This bit indicates an interrupt event after the completion of MDIO operation. To reset this bit the application has to read this bit/Write 1 to this bit when RCWE bit of MAC_CSR_SW_Ctrl register is set. Access restriction.." "MDIO Interrupt status not active,MDIO Interrupt status active"
newline
bitfld.long 0x0 17. "FPEIS,Frame Preemption Interrupt Status This bit indicates an interrupt event during the operation of Frame Preemption (Bits[19:16] of MAC_FPE_CTRL_STS register is set). To reset this bit the application must clear the event in MAC_FPE_CTRL_STS that has.." "Frame Preemption Interrupt status not active,Frame Preemption Interrupt status active"
newline
bitfld.long 0x0 16. "RESERVED_16,Reserved." "0,1"
newline
bitfld.long 0x0 15. "RESERVED_GPIIS,Reserved." "0,1"
newline
bitfld.long 0x0 14. "RXSTSIS,Receive Status Interrupt This bit indicates the status of received packets. This bit is set when the RWT bit is set in the MAC_Rx_Tx_Status register. This bit is cleared when the corresponding interrupt source bit is read (or corresponding.." "Receive Interrupt status not active,Receive Interrupt status active"
newline
bitfld.long 0x0 13. "TXSTSIS,Transmit Status Interrupt This bit indicates the status of transmitted packets. This bit is set when any of the following bits is set in the MAC_Rx_Tx_Status register: - Excessive Collision (EXCOL) - Late Collision (LCOL) - Excessive Deferral.." "Transmit Interrupt status not active,Transmit Interrupt status active"
newline
bitfld.long 0x0 12. "TSIS,Timestamp Interrupt Status If the Timestamp feature is enabled this bit is set when any of the following conditions is true: - The system time value is equal to or exceeds the value specified in the Target Time High and Low registers. - There is an.." "Timestamp Interrupt status not active,Timestamp Interrupt status active"
newline
bitfld.long 0x0 11. "MMCRXIPIS,MMC Receive Checksum Offload Interrupt Status This bit is set high when an interrupt is generated in the MMC Receive Checksum Offload Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is.." "MMC Receive Checksum Offload Interrupt status..,MMC Receive Checksum Offload Interrupt status.."
newline
bitfld.long 0x0 10. "MMCTXIS,MMC Transmit Interrupt Status This bit is set high when an interrupt is generated in the MMC Transmit Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the Enable.." "MMC Transmit Interrupt status not active,MMC Transmit Interrupt status active"
newline
bitfld.long 0x0 9. "MMCRXIS,MMC Receive Interrupt Status This bit is set high when an interrupt is generated in the MMC Receive Interrupt Register. This bit is cleared when all bits in this interrupt register are cleared. This bit is valid only when you select the Enable.." "MMC Receive Interrupt status not active,MMC Receive Interrupt status active"
newline
bitfld.long 0x0 8. "MMCIS,MMC Interrupt Status This bit is set high when Bit 11 Bit 10 or Bit 9 is set high. This bit is cleared only when all these bits are low. This bit is valid only when you select the Enable MAC Management Counters (MMC) option. 0x1: MMC Interrupt.." "MMC Interrupt status not active,MMC Interrupt status active"
newline
bitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 5. "LPIIS,LPI Interrupt Status When the Energy Efficient Ethernet feature is enabled this bit is set for any LPI state entry or exit in the MAC Transmitter or Receiver. This bit is cleared when the corresponding interrupt source bit of.." "LPI Interrupt status not active,LPI Interrupt status active"
newline
bitfld.long 0x0 4. "PMTIS,PMT Interrupt Status This bit is set when a Magic packet or Wake-on-LAN packet is received in the power-down mode (RWKPRCVD and MGKPRCVD bits in MAC_PMT_Control_Status register). This bit is cleared when corresponding interrupt source bit are.." "PMT Interrupt status not active,PMT Interrupt status active"
newline
bitfld.long 0x0 3. "PHYIS,PHY Interrupt This bit is set when rising edge is detected on the phy_intr_i input. This bit is cleared when this register is read (or this bit is written to 1 when RCWE bit of MAC_CSR_SW_Ctrl register is set). 0x1: PHY Interrupt detected 0x0: PHY.." "PHY Interrupt not detected,PHY Interrupt detected"
newline
bitfld.long 0x0 2. "RESERVED_PCSANCIS,Reserved." "0,1"
newline
bitfld.long 0x0 1. "RESERVED_PCSLCHGIS,Reserved." "0,1"
newline
bitfld.long 0x0 0. "RGSMIIIS,RGMII or SMII Interrupt Status This bit is set because of any change in value of the Link Status of RGMII or SMII interface (LNKSTS bit in MAC_PHYIF_Control_Status register). This bit is cleared when the MAC_PHYIF_Control_Status register is read.." "RGMII or SMII Interrupt Status is not active,RGMII or SMII Interrupt Status is active"
group.long 0xB4++0x3
line.long 0x0 "MAC_INTERRUPT_ENABLE,The Interrupt Enable register contains the masks for generating the interrupts."
hexmask.long.word 0x0 19.--31. 1. "RESERVED_31_19,Reserved."
newline
bitfld.long 0x0 18. "MDIOIE,MDIO Interrupt Enable When this bit is set it enables the assertion of the interrupt when MDIOIS field is set in the MAC_Interrupt_Status register. 0x0: MDIO Interrupt is disabled 0x1: MDIO Interrupt is enabled" "MDIO Interrupt is disabled,MDIO Interrupt is enabled"
newline
bitfld.long 0x0 17. "FPEIE,Frame Preemption Interrupt Enable When this bit is set it enables the assertion of the interrupt when FPEIS field is set in the MAC_Interrupt_Status register. 0x0: Frame Preemption Interrupt is disabled 0x1: Frame Preemption Interrupt is enabled" "Frame Preemption Interrupt is disabled,Frame Preemption Interrupt is enabled"
newline
rbitfld.long 0x0 16. "RESERVED_16,Reserved." "0,1"
newline
rbitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x0 14. "RXSTSIE,Receive Status Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of RXSTSIS bit in the MAC_Interrupt_Status register. 0x0: Receive Status Interrupt is disabled 0x1: Receive Status.." "Receive Status Interrupt is disabled,Receive Status Interrupt is enabled"
newline
bitfld.long 0x0 13. "TXSTSIE,Transmit Status Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of TXSTSIS bit in the MAC_Interrupt_Status register. 0x0: Timestamp Status Interrupt is disabled 0x1: Timestamp Status.." "Timestamp Status Interrupt is disabled,Timestamp Status Interrupt is enabled"
newline
bitfld.long 0x0 12. "TSIE,Timestamp Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of TSIS bit in MAC_Interrupt_Status register. 0x0: Timestamp Interrupt is disabled 0x1: Timestamp Interrupt is enabled" "Timestamp Interrupt is disabled,Timestamp Interrupt is enabled"
newline
hexmask.long.byte 0x0 6.--11. 1. "RESERVED_11_6,Reserved."
newline
bitfld.long 0x0 5. "LPIIE,LPI Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of LPIIS bit in MAC_Interrupt_Status register. 0x0: LPI Interrupt is disabled 0x1: LPI Interrupt is enabled" "LPI Interrupt is disabled,LPI Interrupt is enabled"
newline
bitfld.long 0x0 4. "PMTIE,PMT Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of PMTIS bit in MAC_Interrupt_Status register. 0x0: PMT Interrupt is disabled 0x1: PMT Interrupt is enabled" "PMT Interrupt is disabled,PMT Interrupt is enabled"
newline
bitfld.long 0x0 3. "PHYIE,PHY Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of PHYIS bit in MAC_Interrupt_Status register. 0x0: PHY Interrupt is disabled 0x1: PHY Interrupt is enabled" "PHY Interrupt is disabled,PHY Interrupt is enabled"
newline
rbitfld.long 0x0 2. "RESERVED_PCSANCIE,Reserved." "0,1"
newline
rbitfld.long 0x0 1. "RESERVED_PCSLCHGIE,Reserved." "0,1"
newline
bitfld.long 0x0 0. "RGSMIIIE,RGMII or SMII Interrupt Enable When this bit is set it enables the assertion of the interrupt signal because of the setting of RGSMIIIS bit in MAC_Interrupt_Status register. 0x0: RGMII or SMII Interrupt is disabled 0x1: RGMII or SMII Interrupt.." "RGMII or SMII Interrupt is disabled,RGMII or SMII Interrupt is enabled"
rgroup.long 0xB8++0x3
line.long 0x0 "MAC_RX_TX_STATUS,The Receive Transmit Status register contains the Receive and Transmit Error status."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "RWT,Receive Watchdog Timeout This bit is set when a packet with length greater than 2 048 bytes is received (10 240 bytes when Jumbo Packet mode is enabled) and the WD bit is reset in the MAC_Configuration register. This bit is set when a packet with.." "No receive watchdog timeout,Receive watchdog timed out"
newline
bitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 5. "EXCOL,Excessive Collisions When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the transmission aborted after 16 successive collisions while attempting to transmit the current packet. If the DR bit is set in the.." "No collision,Excessive collision is sensed"
newline
bitfld.long 0x0 4. "LCOL,Late Collision When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the packet transmission aborted because a collision occurred after the collision window (64 bytes including Preamble in MII mode; 512 bytes.." "No collision,Late collision is sensed"
newline
bitfld.long 0x0 3. "EXDEF,Excessive Deferral When the DTXSTS bit is set in the MTL_Operation_Mode register and the DC bit is set in the MAC_Configuration register this bit indicates that the transmission ended because of excessive deferral of over 24 288 bit times (155 680.." "No Excessive deferral,Excessive deferral"
newline
bitfld.long 0x0 2. "LCARR,Loss of Carrier When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the loss of carrier occurred during packet transmission that is the phy_crs_i signal was inactive for one or more transmission clock periods.." "Carrier is present,Loss of carrier"
newline
bitfld.long 0x0 1. "NCARR,No Carrier When the DTXSTS bit is set in the MTL_Operation_Mode register this bit indicates that the carrier signal from the PHY is not present at the end of preamble transmission. Access restriction applies. Clears on read (or write of 1 when.." "Carrier is present,No carrier"
newline
bitfld.long 0x0 0. "TJT,Transmit Jabber Timeout This bit indicates that the Transmit Jabber Timer expired which happens when the packet size exceeds 2 048 bytes (10 240 bytes when the Jumbo packet is enabled) and JD bit is reset in the MAC_Configuration register. This bit.." "No Transmit Jabber Timeout,Transmit Jabber Timeout occurred"
group.long 0xC0++0x7
line.long 0x0 "MAC_PMT_CONTROL_STATUS,The PMT Control and Status Register."
bitfld.long 0x0 31. "RWKFILTRST,Remote Wake-Up Packet Filter Register Pointer Reset When this bit is set the remote wake-up packet filter register pointer is reset to 3'b000. It is automatically cleared after 1 clock cycle. Access restriction applies. Setting 1 sets." "Remote Wake-Up Packet Filter Register Pointer is..,Remote Wake-Up Packet Filter Register Pointer is.."
newline
rbitfld.long 0x0 29.--30. "RESERVED_30_29,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x0 24.--28. 1. "RWKPTR,Remote Wake-up FIFO Pointer This field gives the current value (0 to 7 15 or 31 when 4 8 or 16 Remote Wake-up Packet Filters are selected) of the Remote Wake-up Packet Filter register pointer. When the value of this pointer is equal to maximum.."
newline
hexmask.long.word 0x0 11.--23. 1. "RESERVED_23_11,Reserved."
newline
bitfld.long 0x0 10. "RWKPFE,Remote Wake-up Packet Forwarding Enable When this bit is set along with RWKPKTEN the MAC receiver drops all received frames until it receives the expected Wake-up frame. All frames after that event including the received wake-up frame are.." "Remote Wake-up Packet Forwarding is disabled,Remote Wake-up Packet Forwarding is enabled"
newline
bitfld.long 0x0 9. "GLBLUCAST,Global Unicast When this bit set any unicast packet filtered by the MAC (DAF) address recognition is detected as a remote wake-up packet. 0x0: Global unicast is disabled 0x1: Global unicast is enabled" "Global unicast is disabled,Global unicast is enabled"
newline
rbitfld.long 0x0 7.--8. "RESERVED_8_7,Reserved." "0,1,2,3"
newline
rbitfld.long 0x0 6. "RWKPRCVD,Remote Wake-Up Packet Received When this bit is set it indicates that the power management event is generated because of the reception of a remote wake-up packet. This bit is cleared when this register is read. Access restriction applies." "Remote wake-up packet is received,Remote wake-up packet is received"
newline
rbitfld.long 0x0 5. "MGKPRCVD,Magic Packet Received When this bit is set it indicates that the power management event is generated because of the reception of a magic packet. This bit is cleared when this register is read. Access restriction applies. Clears on read (or.." "No Magic packet is received,Magic packet is received"
newline
rbitfld.long 0x0 3.--4. "RESERVED_4_3,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 2. "RWKPKTEN,Remote Wake-Up Packet Enable When this bit is set a power management event is generated when the MAC receives a remote wake-up packet. 0x0: Remote wake-up packet is disabled 0x1: Remote wake-up packet is enabled" "Remote wake-up packet is disabled,Remote wake-up packet is enabled"
newline
bitfld.long 0x0 1. "MGKPKTEN,Magic Packet Enable When this bit is set a power management event is generated when the MAC receives a magic packet. 0x0: Magic Packet is disabled 0x1: Magic Packet is enabled" "Magic Packet is disabled,Magic Packet is enabled"
newline
bitfld.long 0x0 0. "PWRDWN,Power Down When this bit is set the MAC receiver drops all received packets until it receives the expected magic packet or remote wake-up packet. This bit is then self-cleared and the power-down mode is disabled. The software can clear this bit.." "Power down is disabled,Power down is enabled"
line.long 0x4 "MAC_RWK_PACKET_FILTER,The Remote Wakeup Filter registers are implemented as 8. 16. or 32 indirect access registers (wkuppktfilter_reg#i) based on whether 4. 8. or 16 Remote Wakeup Filters are selected in the configuration and accessed by application.."
hexmask.long 0x4 0.--31. 1. "WKUPFRMFTR,RWK Packet Filter This field contains the various controls of RWK Packet filter."
group.long 0xD0++0xF
line.long 0x0 "MAC_LPI_CONTROL_STATUS,The LPI Control and Status Register controls the LPI functions and provides the LPI interrupt status. The status bits are cleared when this register is read."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
bitfld.long 0x0 21. "LPITCSE,LPI Tx Clock Stop Enable When this bit is set the MAC asserts sbd_tx_clk_gating_ctrl_o signal high after it enters Tx LPI mode to indicate that the Tx clock to MAC can be stopped. When this bit is reset the MAC does not assert.." "LPI Tx Clock Stop is disabled,LPI Tx Clock Stop is enabled"
newline
bitfld.long 0x0 20. "LPIATE,LPI Timer Enable This bit controls the automatic entry of the MAC Transmitter into and exit out of the LPI state. When LPIATE LPITXA and LPIEN bits are set the MAC Transmitter enters LPI state only when the complete MAC TX data path is IDLE for.." "LPI Timer is disabled,LPI Timer is enabled"
newline
bitfld.long 0x0 19. "LPITXA,LPI Tx Automate This bit controls the behavior of the MAC when it is entering or coming out of the LPI mode on the Transmit side. This bit is not functional in the EQOS-CORE configurations in which the Tx clock gating is done during the LPI mode." "LPI Tx Automate is disabled,LPI Tx Automate is enabled"
newline
bitfld.long 0x0 18. "PLSEN,PHY Link Status Enable This bit enables the link status received on the RGMII Receive paths to be used for activating the LPI LS TIMER. When this bit is set the MAC uses the link-status bits of the MAC_PHYIF_Control_Status register and the PLS bit.." "PHY Link Status is disabled,PHY Link Status is enabled"
newline
bitfld.long 0x0 17. "PLS,PHY Link Status This bit indicates the link status of the PHY. The MAC Transmitter asserts the LPI pattern only when the link status is up (OKAY) at least for the time indicated by the LPI LS TIMER. When this bit is set the link is considered to be.." "link is down,link is okay"
newline
bitfld.long 0x0 16. "LPIEN,LPI Enable When this bit is set it instructs the MAC Transmitter to enter the LPI state. When this bit is reset it instructs the MAC to exit the LPI state and resume normal transmission. This bit is cleared when the LPITXA bit is set and the MAC.." "LPI state is disabled,LPI state is enabled"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
rbitfld.long 0x0 9. "RLPIST,Receive LPI State When this bit is set it indicates that the MAC is receiving the LPI pattern on the GMII or MII interface. 0x1: Receive LPI state detected 0x0: Receive LPI state not detected" "Receive LPI state not detected,Receive LPI state detected"
newline
rbitfld.long 0x0 8. "TLPIST,Transmit LPI State When this bit is set it indicates that the MAC is transmitting the LPI pattern on the GMII or MII interface. 0x1: Transmit LPI state detected 0x0: Transmit LPI state not detected" "Transmit LPI state not detected,Transmit LPI state detected"
newline
hexmask.long.byte 0x0 4.--7. 1. "RESERVED_7_4,Reserved."
newline
rbitfld.long 0x0 3. "RLPIEX,Receive LPI Exit When this bit is set it indicates that the MAC Receiver has stopped receiving the LPI pattern on the GMII or MII interface exited the LPI state and resumed the normal reception. This bit is cleared by a read into this register.." "Receive LPI exit not detected,Receive LPI exit detected"
newline
rbitfld.long 0x0 2. "RLPIEN,Receive LPI Entry When this bit is set it indicates that the MAC Receiver has received an LPI pattern and entered the LPI state. This bit is cleared by a read into this register (or this bit is written to 1 when RCWE bit in MAC_CSR_SW_Ctrl.." "Receive LPI entry not detected,Receive LPI entry detected"
newline
rbitfld.long 0x0 1. "TLPIEX,Transmit LPI Exit When this bit is set it indicates that the MAC transmitter exited the LPI state after the application cleared the LPIEN bit and the LPI TW Timer has expired. This bit is cleared by a read into this register (or this bit is.." "Transmit LPI exit not detected,Transmit LPI exit detected"
newline
rbitfld.long 0x0 0. "TLPIEN,Transmit LPI Entry When this bit is set it indicates that the MAC Transmitter has entered the LPI state because of the setting of the LPIEN bit. This bit is cleared by a read into this register (or this bit is written to 1 when RCWE bit in.." "Transmit LPI entry not detected,Transmit LPI entry detected"
line.long 0x4 "MAC_LPI_TIMERS_CONTROL,The LPI Timers Control register controls the timeout values in the LPI states. It specifies the time for which the MAC transmits the LPI pattern and also the time for which the MAC waits before resuming the normal transmission."
hexmask.long.byte 0x4 26.--31. 1. "RESERVED_31_26,Reserved."
newline
hexmask.long.word 0x4 16.--25. 1. "LST,LPI LS Timer This field specifies the minimum time (in milliseconds) for which the link status from the PHY should be up (OKAY) before the LPI pattern can be transmitted to the PHY. The MAC does not transmit the LPI pattern even when the LPIEN bit is.."
newline
hexmask.long.word 0x4 0.--15. 1. "TWT,LPI TW Timer This field specifies the minimum time (in microseconds) for which the MAC waits after it stops transmitting the LPI pattern to the PHY and before it resumes the normal transmission. The TLPIEX status bit is set after the expiry of this.."
line.long 0x8 "MAC_LPI_ENTRY_TIMER,This register controls the Tx LPI entry timer. This counter is enabled only when bit[20](LPITE) bit of MAC_LPI_Control_Status is set to 1."
hexmask.long.word 0x8 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.tbyte 0x8 3.--19. 1. "LPIET,LPI Entry Timer This field specifies the time in microseconds the MAC waits to enter LPI mode after it has transmitted all the frames. This field is valid and used only when LPITE and LPITXA are set to 1. Bits [2:0] are read-only so that the.."
newline
rbitfld.long 0x8 0.--2. "RESERVED_2_0,Reserved." "0,1,2,3,4,5,6,7"
line.long 0xC "MAC_1US_TIC_COUNTER,This register controls the generation of the Reference time (1 microsecond tic) for all the LPI timers. This timer has to be programmed by the software initially."
hexmask.long.tbyte 0xC 12.--31. 1. "RESERVED_31_12,Reserved."
newline
hexmask.long.word 0xC 0.--11. 1. "TIC_1US_CNTR,1US TIC Counter The application must program this counter so that the number of clock cycles of CSR clock is 1us. (Subtract 1 from the value before programming). For example if the CSR clock is 100MHz then this field needs to be programmed.."
group.long 0xF8++0x3
line.long 0x0 "MAC_PHYIF_CONTROL_STATUS,The PHY Interface Control and Status register indicates the status signals received by the SGMII. RGMII. or SMII interface (selected at reset) from the PHY. This register is optional."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 21. "RESERVED_FALSCARDET,Reserved." "0,1"
newline
rbitfld.long 0x0 20. "RESERVED_JABTO,Reserved." "0,1"
newline
rbitfld.long 0x0 19. "LNKSTS,Link Status This bit indicates whether the link is up (1'b1) or down (1'b0). 0x1: Link up 0x0: Link down" "Link down,Link up"
newline
rbitfld.long 0x0 17.--18. "LNKSPEED,Link Speed This bit indicates the current speed of the link. 0x2: 125 MHz 0x0: 2.5 MHz 0x1: 25 MHz 0x3: Reserved" "?,?,?,Reserved"
newline
rbitfld.long 0x0 16. "LNKMOD,Link Mode This bit indicates the current mode of operation of the link. 0x1: Full-duplex mode 0x0: Half-duplex mode" "Half-duplex mode,Full-duplex mode"
newline
hexmask.long.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved."
newline
rbitfld.long 0x0 4. "RESERVED_SMIDRXS,Reserved." "0,1"
newline
rbitfld.long 0x0 3. "RESERVED_3,Reserved." "0,1"
newline
rbitfld.long 0x0 2. "RESERVED_SFTERR,Reserved." "0,1"
newline
bitfld.long 0x0 1. "LUD,Link Up or Down This bit indicates whether the link is up or down during transmission of configuration in the RGMII interface. 0x0: Link down 0x1: Link up" "Link down,Link up"
newline
bitfld.long 0x0 0. "TC,Transmit Configuration in RGMII. When set this bit enables the transmission of duplex mode link speed and link up or down information to the PHY in the RGMII port. When this bit is reset no such information is driven to the PHY. The details of.." "Disable Transmit Configuration in RGMII,Enable Transmit Configuration in RGMII"
rgroup.long 0x110++0x7
line.long 0x0 "MAC_VERSION,The version register identifies the version of the DWC_ether_qos. This register contains two bytes: one that Synopsys uses to identify the core release number. and the other that you set while configuring the core."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x0 8.--15. 1. "USERVER,User-defined Version (configured with coreConsultant)"
newline
hexmask.long.byte 0x0 0.--7. 1. "SNPSVER,Synopsys-defined Version"
line.long 0x4 "MAC_DEBUG,The Debug register provides the debug status of various MAC blocks."
hexmask.long.word 0x4 19.--31. 1. "RESERVED_31_19,Reserved."
newline
bitfld.long 0x4 17.--18. "TFCSTS,MAC Transmit Packet Controller Status This field indicates the state of the MAC Transmit Packet Controller module. 0x2: Generating and transmitting a Pause control packet (in full-duplex mode) 0x0: Idle state 0x3: Transferring input packet for.." "Idle state,Waiting for one of the following: Status of the..,Generating and transmitting a Pause control..,Transferring input packet for transmission"
newline
bitfld.long 0x4 16. "TPESTS,MAC GMII or MII Transmit Protocol Engine Status When this bit is set it indicates that the MAC GMII or MII transmit protocol engine is actively transmitting data and it is not in the Idle state. 0x1: MAC GMII or MII Transmit Protocol Engine.." "MAC GMII or MII Transmit Protocol Engine Status..,MAC GMII or MII Transmit Protocol Engine Status.."
newline
hexmask.long.word 0x4 3.--15. 1. "RESERVED_15_3,Reserved."
newline
bitfld.long 0x4 1.--2. "RFCFCSTS,MAC Receive Packet Controller FIFO Status When this bit is set this field indicates the active state of the small FIFO Read and Write controllers of the MAC Receive Packet Controller module." "0,1,2,3"
newline
bitfld.long 0x4 0. "RPESTS,MAC GMII or MII Receive Protocol Engine Status When this bit is set it indicates that the MAC GMII or MII receive protocol engine is actively receiving data and it is not in the Idle state. 0x1: MAC GMII or MII Receive Protocol Engine Status.." "MAC GMII or MII Receive Protocol Engine Status..,MAC GMII or MII Receive Protocol Engine Status.."
rgroup.long 0x11C++0xF
line.long 0x0 "MAC_HW_FEATURE0,This register indicates the presence of first set of the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks. Note:.."
bitfld.long 0x0 31. "RESERVED_31,Reserved." "0,1"
newline
bitfld.long 0x0 28.--30. "ACTPHYSEL,Active PHY Selected When you have multiple PHY interfaces in your configuration this field indicates the sampled value of phy_intf_sel_i during reset de-assertion. 0x0: Reserved 0x7: Reserved 0x1: RGMII 0x4: RMII 0x5: Reserved 0x2: Reserved.." "Reserved,RGMII,Reserved,Reserved,RMII,Reserved,Reserved,Reserved"
newline
bitfld.long 0x0 27. "SAVLANINS,Source Address or VLAN Insertion Enable This bit is set to 1 when the Enable SA and VLAN Insertion on Tx option is selected 0x1: Source Address or VLAN Insertion Enable option is selected 0x0: Source Address or VLAN Insertion Enable option is.." "Source Address or VLAN Insertion Enable option..,Source Address or VLAN Insertion Enable option.."
newline
bitfld.long 0x0 25.--26. "TSSTSSEL,Timestamp System Time Source This bit indicates the source of the Timestamp system time: This bit is set to 1 when the Enable IEEE 1588 Timestamp Support option is selected 0x2: Both 0x1: External 0x0: Internal 0x3: Reserved" "Internal,External,Both,Reserved"
newline
bitfld.long 0x0 24. "MACADR64SEL,MAC Addresses 64-127 Selected This bit is set to 1 when the Enable Additional 64 MAC Address Registers (64-127) option is selected 0x1: MAC Addresses 64-127 Select option is selected 0x0: MAC Addresses 64-127 Select option is not selected" "MAC Addresses 64-127 Select option is not selected,MAC Addresses 64-127 Select option is selected"
newline
bitfld.long 0x0 23. "MACADR32SEL,MAC Addresses 32-63 Selected This bit is set to 1 when the Enable Additional 32 MAC Address Registers (32-63) option is selected 0x1: MAC Addresses 32-63 Select option is selected 0x0: MAC Addresses 32-63 Select option is not selected" "MAC Addresses 32-63 Select option is not selected,MAC Addresses 32-63 Select option is selected"
newline
hexmask.long.byte 0x0 18.--22. 1. "ADDMACADRSEL,MAC Addresses 1-31 Selected This bit is set to 1 when the non-zero value is selected for Enable Additional 1-31 MAC Address Registers option"
newline
bitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "RXCOESEL,Receive Checksum Offload Enabled This bit is set to 1 when the Enable Receive TCP/IP Checksum Check option is selected 0x1: Receive Checksum Offload Enable option is selected 0x0: Receive Checksum Offload Enable option is not selected" "Receive Checksum Offload Enable option is not..,Receive Checksum Offload Enable option is selected"
newline
bitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x0 14. "TXCOESEL,Transmit Checksum Offload Enabled This bit is set to 1 when the Enable Transmit TCP/IP Checksum Insertion option is selected 0x1: Transmit Checksum Offload Enable option is selected 0x0: Transmit Checksum Offload Enable option is not selected" "Transmit Checksum Offload Enable option is not..,Transmit Checksum Offload Enable option is.."
newline
bitfld.long 0x0 13. "EEESEL,Energy Efficient Ethernet Enabled This bit is set to 1 when the Enable Energy Efficient Ethernet (EEE) option is selected 0x1: Energy Efficient Ethernet Enable option is selected 0x0: Energy Efficient Ethernet Enable option is not selected" "Energy Efficient Ethernet Enable option is not..,Energy Efficient Ethernet Enable option is.."
newline
bitfld.long 0x0 12. "TSSEL,IEEE 1588-2008 Timestamp Enabled This bit is set to 1 when the Enable IEEE 1588 Timestamp Support option is selected 0x1: IEEE 1588-2008 Timestamp Enable option is selected 0x0: IEEE 1588-2008 Timestamp Enable option is not selected" "IEEE 1588-2008 Timestamp Enable option is not..,IEEE 1588-2008 Timestamp Enable option is selected"
newline
bitfld.long 0x0 10.--11. "RESERVED_11_10,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 9. "ARPOFFSEL,ARP Offload Enabled This bit is set to 1 when the Enable IPv4 ARP Offload option is selected 0x1: ARP Offload Enable option is selected 0x0: ARP Offload Enable option is not selected" "ARP Offload Enable option is not selected,ARP Offload Enable option is selected"
newline
bitfld.long 0x0 8. "MMCSEL,RMON Module Enable This bit is set to 1 when the Enable MAC Management Counters (MMC) option is selected 0x1: RMON Module Enable option is selected 0x0: RMON Module Enable option is not selected" "RMON Module Enable option is not selected,RMON Module Enable option is selected"
newline
bitfld.long 0x0 7. "MGKSEL,PMT Magic Packet Enable This bit is set to 1 when the Enable Magic Packet Detection option is selected 0x1: PMT Magic Packet Enable option is selected 0x0: PMT Magic Packet Enable option is not selected" "PMT Magic Packet Enable option is not selected,PMT Magic Packet Enable option is selected"
newline
bitfld.long 0x0 6. "RWKSEL,PMT Remote Wake-up Packet Enable This bit is set to 1 when the Enable Remote Wake-Up Packet Detection option is selected 0x1: PMT Remote Wake-up Packet Enable option is selected 0x0: PMT Remote Wake-up Packet Enable option is not selected" "PMT Remote Wake-up Packet Enable option is not..,PMT Remote Wake-up Packet Enable option is.."
newline
bitfld.long 0x0 5. "SMASEL,SMA (MDIO) Interface This bit is set to 1 when the Enable Station Management (MDIO Interface) option is selected 0x1: SMA (MDIO) Interface selected 0x0: SMA (MDIO) Interface not selected" "SMA,SMA"
newline
bitfld.long 0x0 4. "VLHASH,VLAN Hash Filter Selected This bit is set to 1 when the Enable VLAN Hash Table Based Filtering option is selected 0x1: VLAN Hash Filter selected 0x0: VLAN Hash Filter not selected" "VLAN Hash Filter not selected,VLAN Hash Filter selected"
newline
bitfld.long 0x0 3. "PCSSEL,Reserved" "0,1"
newline
bitfld.long 0x0 2. "HDSEL,Half-duplex Support This bit is set to 1 when the half-duplex mode is selected 0x1: Half-duplex support 0x0: No Half-duplex support" "No Half-duplex support,Half-duplex support"
newline
bitfld.long 0x0 1. "GMIISEL,1000 Mbps Support This bit is set to 1 when 1000 Mbps is selected as the Mode of Operation 0x1: 1000 Mbps support 0x0: No 1000 Mbps support" "No 1000 Mbps support,?"
newline
bitfld.long 0x0 0. "MIISEL,10 or 100 Mbps Support This bit is set to 1 when 10/100 Mbps is selected as the Mode of Operation 0x1: 10 or 100 Mbps support 0x0: No 10 or 100 Mbps support" "No 10 or 100 Mbps support,?"
line.long 0x4 "MAC_HW_FEATURE1,This register indicates the presence of second set of the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks. Note:.."
bitfld.long 0x4 31. "RESERVED_31,Reserved." "0,1"
newline
hexmask.long.byte 0x4 27.--30. 1. "L3L4FNUM,Total number of L3 or L4 Filters This field indicates the total number of L3 or L4 filters: 0x1: 1 L3 or L4 Filter 0x2: 2 L3 or L4 Filters 0x3: 3 L3 or L4 Filters 0x4: 4 L3 or L4 Filters 0x5: 5 L3 or L4 Filters 0x6: 6 L3 or L4 Filters 0x7: 7 L3.."
newline
bitfld.long 0x4 26. "RESERVED_26,Reserved." "0,1"
newline
bitfld.long 0x4 24.--25. "HASHTBLSZ,Hash Table Size This field indicates the size of the hash table: 0x2: 128 0x3: 256 0x1: 64 0x0: No hash table" "No hash table,?,?,?"
newline
bitfld.long 0x4 23. "POUOST,One Step for PTP over UDP/IP Feature Enable This bit is set to 1 when the Enable One step timestamp for PTP over UDP/IP feature is selected. 0x1: One Step for PTP over UDP/IP Feature is selected 0x0: One Step for PTP over UDP/IP Feature is not.." "One Step for PTP over UDP/IP Feature is not..,One Step for PTP over UDP/IP Feature is selected"
newline
bitfld.long 0x4 22. "RESERVED_22,Reserved." "0,1"
newline
bitfld.long 0x4 21. "RAVSEL,Rx Side Only AV Feature Enable This bit is set to 1 when the Enable Audio Video Bridging option on Rx Side Only is selected. 0x1: Rx Side Only AV Feature is selected 0x0: Rx Side Only AV Feature is not selected" "Rx Side Only AV Feature is not selected,Rx Side Only AV Feature is selected"
newline
bitfld.long 0x4 20. "AVSEL,AV Feature Enable This bit is set to 1 when the Enable Audio Video Bridging option is selected. 0x1: AV Feature is selected 0x0: AV Feature is not selected" "AV Feature is not selected,AV Feature is selected"
newline
bitfld.long 0x4 19. "DBGMEMA,DMA Debug Registers Enable This bit is set to 1 when the Debug Mode Enable option is selected 0x1: DMA Debug Registers option is selected 0x0: DMA Debug Registers option is not selected" "DMA Debug Registers option is not selected,DMA Debug Registers option is selected"
newline
bitfld.long 0x4 18. "TSOEN,TCP Segmentation Offload Enable This bit is set to 1 when the Enable TCP Segmentation Offloading for TCP/IP Packets option is selected 0x1: TCP Segmentation Offload Feature is selected 0x0: TCP Segmentation Offload Feature is not selected" "TCP Segmentation Offload Feature is not selected,TCP Segmentation Offload Feature is selected"
newline
bitfld.long 0x4 17. "SPHEN,Split Header Feature Enable This bit is set to 1 when the Enable Split Header Structure option is selected 0x1: Split Header Feature is selected 0x0: Split Header Feature is not selected" "Split Header Feature is not selected,Split Header Feature is selected"
newline
bitfld.long 0x4 16. "DCBEN,DCB Feature Enable This bit is set to 1 when the Enable Data Center Bridging option is selected 0x1: DCB Feature is selected 0x0: DCB Feature is not selected" "DCB Feature is not selected,DCB Feature is selected"
newline
bitfld.long 0x4 14.--15. "ADDR64,Address Width. This field indicates the configured address width: 0x0: 32 0x1: 40 0x2: 48 0x3: Reserved" "?,?,?,Reserved"
newline
bitfld.long 0x4 13. "ADVTHWORD,IEEE 1588 High Word Register Enable This bit is set to 1 when the Add IEEE 1588 Higher Word Register option is selected 0x1: IEEE 1588 High Word Register option is selected 0x0: IEEE 1588 High Word Register option is not selected" "IEEE 1588 High Word Register option is not..,IEEE 1588 High Word Register option is selected"
newline
bitfld.long 0x4 12. "PTOEN,PTP Offload Enable This bit is set to 1 when the Enable PTP Timestamp Offload Feature is selected. 0x1: PTP Offload feature is selected 0x0: PTP Offload feature is not selected" "PTP Offload feature is not selected,PTP Offload feature is selected"
newline
bitfld.long 0x4 11. "OSTEN,One-Step Timestamping Enable This bit is set to 1 when the Enable One-Step Timestamp Feature is selected. 0x1: One-Step Timestamping feature is selected 0x0: One-Step Timestamping feature is not selected" "One-Step Timestamping feature is not selected,One-Step Timestamping feature is selected"
newline
hexmask.long.byte 0x4 6.--10. 1. "TXFIFOSIZE,MTL Transmit FIFO Size This field contains the configured value of MTL Tx FIFO in bytes expressed as Log to base 2 minus 7 that is Log2(TXFIFO_SIZE) -7: 0x3: 1024 bytes 0x0: 128 bytes 0xa: 128 KB 0x7: 16384 bytes 0x4: 2048 bytes 0x1: 256.."
newline
bitfld.long 0x4 5. "SPRAM,Single Port RAM Enable This bit is set to 1 when the Use single port RAM Feature is selected. 0x1: Single Port RAM feature is selected 0x0: Single Port RAM feature is not selected" "Single Port RAM feature is not selected,Single Port RAM feature is selected"
newline
hexmask.long.byte 0x4 0.--4. 1. "RXFIFOSIZE,MTL Receive FIFO Size This field contains the configured value of MTL Rx FIFO in bytes expressed as Log to base 2 minus 7 that is Log2(RXFIFO_SIZE) -7: 0x3: 1024 bytes 0x0: 128 bytes 0xa: 128 KB 0x7: 16384 bytes 0x4: 2048 bytes 0x1: 256.."
line.long 0x8 "MAC_HW_FEATURE2,This register indicates the presence of third set of the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks."
bitfld.long 0x8 31. "RESERVED_31,Reserved." "0,1"
newline
bitfld.long 0x8 28.--30. "AUXSNAPNUM,Number of Auxiliary Snapshot Inputs This field indicates the number of auxiliary snapshot inputs: 0x1: 1 auxiliary input 0x2: 2 auxiliary input 0x3: 3 auxiliary input 0x4: 4 auxiliary input 0x0: No auxiliary input 0x5: Reserved" "No auxiliary input,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x8 27. "RESERVED_27,Reserved." "0,1"
newline
bitfld.long 0x8 24.--26. "PPSOUTNUM,Number of PPS Outputs This field indicates the number of PPS outputs: 0x1: 1 PPS output 0x2: 2 PPS output 0x3: 3 PPS output 0x4: 4 PPS output 0x0: No PPS output 0x5: Reserved" "No PPS output,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x8 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 18.--21. 1. "TXCHCNT,Number of DMA Transmit Channels This field indicates the number of DMA Transmit channels: 0x0: 1 MTL Tx Channel 0x1: 2 MTL Tx Channels 0x2: 3 MTL Tx Channels 0x3: 4 MTL Tx Channels 0x4: 5 MTL Tx Channels 0x5: 6 MTL Tx Channels 0x6: 7 MTL Tx.."
newline
bitfld.long 0x8 16.--17. "RESERVED_17_16,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 12.--15. 1. "RXCHCNT,Number of DMA Receive Channels This field indicates the number of DMA Receive channels: 0x0: 1 MTL Rx Channel 0x1: 2 MTL Rx Channels 0x2: 3 MTL Rx Channels 0x3: 4 MTL Rx Channels 0x4: 5 MTL Rx Channels 0x5: 6 MTL Rx Channels 0x6: 7 MTL Rx.."
newline
bitfld.long 0x8 10.--11. "RESERVED_11_10,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 6.--9. 1. "TXQCNT,Number of MTL Transmit Queues This field indicates the number of MTL Transmit queues: 0x0: 1 MTL Tx Queue 0x1: 2 MTL Tx Queues 0x2: 3 MTL Tx Queues 0x3: 4 MTL Tx Queues 0x4: 5 MTL Tx Queues 0x5: 6 MTL Tx Queues 0x6: 7 MTL Tx Queues 0x7: 8 MTL Tx.."
newline
bitfld.long 0x8 4.--5. "RESERVED_5_4,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--3. 1. "RXQCNT,Number of MTL Receive Queues This field indicates the number of MTL Receive queues: 0x0: 1 MTL Rx Queue 0x1: 2 MTL Rx Queues 0x2: 3 MTL Rx Queues 0x3: 4 MTL Rx Queues 0x4: 5 MTL Rx Queues 0x5: 6 MTL Rx Queues 0x6: 7 MTL Rx Queues 0x7: 8 MTL Rx.."
line.long 0xC "MAC_HW_FEATURE3,This register indicates the presence of fourth set the optional features or functions of the DWC_ether_qos. The software driver can use this register to dynamically enable or disable the programs related to the optional blocks."
bitfld.long 0xC 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0xC 28.--29. "ASP,Automotive Safety Package Following are the encoding for the different Safety features 0x2: All the Automotive Safety features are selected without the 'Parity Port Enable for external interface' feature 0x3: All the Automotive Safety features are.." "No Safety features selected,Only 'ECC protection for external memory'..,All the Automotive Safety features are selected..,All the Automotive Safety features are selected.."
newline
bitfld.long 0xC 27. "TBSSEL,Time Based Scheduling Enable This bit is set to 1 when the Time Based Scheduling feature is selected. 0x1: Time Based Scheduling Enable feature is selected 0x0: Time Based Scheduling Enable feature is not selected" "Time Based Scheduling Enable feature is not..,Time Based Scheduling Enable feature is selected"
newline
bitfld.long 0xC 26. "FPESEL,Frame Preemption Enable This bit is set to 1 when the Enable Frame preemption feature is selected. 0x1: Frame Preemption Enable feature is selected 0x0: Frame Preemption Enable feature is not selected" "Frame Preemption Enable feature is not selected,Frame Preemption Enable feature is selected"
newline
hexmask.long.byte 0xC 22.--25. 1. "RESERVED_25_22,Reserved."
newline
bitfld.long 0xC 20.--21. "ESTWID,Width of the Time Interval field in the Gate Control List This field indicates the width of the Configured Time Interval Field 0x0: Width not configured 0x1: 16 0x2: 20 0x3: 24" "Width not configured,?,?,?"
newline
bitfld.long 0xC 17.--19. "ESTDEP,Depth of the Gate Control List This field indicates the depth of Gate Control list expressed as Log2(DWC_EQOS_EST_DEP)-5 0x5: 1024 0x2: 128 0x3: 256 0x4: 512 0x1: 64 0x0: No Depth configured 0x6: Reserved" "No Depth configured,?,?,?,?,?,Reserved,?"
newline
bitfld.long 0xC 16. "ESTSEL,Enhancements to Scheduling Traffic Enable This bit is set to 1 when the Enable Enhancements to Scheduling Traffic feature is selected. 0x1: Enable Enhancements to Scheduling Traffic feature is selected 0x0: Enable Enhancements to Scheduling.." "Enable Enhancements to Scheduling Traffic..,Enable Enhancements to Scheduling Traffic.."
newline
bitfld.long 0xC 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0xC 13.--14. "FRPES,Flexible Receive Parser Table Entries size This field indicates the Max Number of Parser Entries supported by Flexible Receive Parser. 0x1: 128 Entries 0x2: 256 Entries 0x0: 64 Entries 0x3: Reserved" "?,?,?,Reserved"
newline
bitfld.long 0xC 11.--12. "FRPBS,Flexible Receive Parser Buffer size This field indicates the supported Max Number of bytes of the packet data to be Parsed by Flexible Receive Parser. 0x1: 128 Bytes 0x2: 256 Bytes 0x0: 64 Bytes 0x3: Reserved" "?,?,?,Reserved"
newline
bitfld.long 0xC 10. "FRPSEL,Flexible Receive Parser Selected This bit is set to 1 when the Enable Flexible Programmable Receive Parser option is selected. 0x1: Flexible Receive Parser feature is selected 0x0: Flexible Receive Parser feature is not selected" "Flexible Receive Parser feature is not selected,Flexible Receive Parser feature is selected"
newline
bitfld.long 0xC 9. "PDUPSEL,Broadcast/Multicast Packet Duplication This bit is set to 1 when the Broadcast/Multicast Packet Duplication feature is selected. 0x1: Broadcast/Multicast Packet Duplication feature is selected 0x0: Broadcast/Multicast Packet Duplication feature.." "Broadcast/Multicast Packet Duplication feature..,Broadcast/Multicast Packet Duplication feature.."
newline
bitfld.long 0xC 6.--8. "RESERVED_7_6,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 5. "DVLAN,Double VLAN Tag Processing Selected This bit is set to 1 when the Enable Double VLAN Processing Feature is selected. 0x1: Double VLAN option is selected 0x0: Double VLAN option is not selected" "Double VLAN option is not selected,Double VLAN option is selected"
newline
bitfld.long 0xC 4. "CBTISEL,Queue/Channel based VLAN tag insertion on Tx Enable This bit is set to 1 when the Enable Queue/Channel based VLAN tag insertion on Tx Feature is selected. 0x1: Enable Queue/Channel based VLAN tag insertion on Tx feature is selected 0x0: Enable.." "Enable Queue/Channel based VLAN tag insertion on..,Enable Queue/Channel based VLAN tag insertion on.."
newline
bitfld.long 0xC 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0xC 0.--2. "NRVF,Number of Extended VLAN Tag Filters Enabled This field indicates the Number of Extended VLAN Tag Filters selected: 0x3: 16 Extended Rx VLAN Filters 0x4: 24 Extended Rx VLAN Filters 0x5: 32 Extended Rx VLAN Filters 0x1: 4 Extended Rx VLAN Filters.." "No Extended Rx VLAN Filters,?,?,?,?,?,Reserved,?"
group.long 0x140++0x3
line.long 0x0 "MAC_DPP_FSM_INTERRUPT_STATUS,This register contains the status of Automotive Safety related Data Path Parity Errors. Interface Timeout Errors. FSM State Parity Errors and FSM State Timeout Errors."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "FSMPES,FSM State Parity Error Status This field when set indicates one of the FSMs State registers has a parity error detected. 0x1: FSM State Parity Error Status detected 0x0: FSM State Parity Error Status not detected" "FSM State Parity Error Status not detected,FSM State Parity Error Status detected"
newline
hexmask.long.byte 0x0 18.--23. 1. "RESERVED_23_18,Reserved."
newline
rbitfld.long 0x0 17. "RESERVED_SLVTES,Reserved." "0,1"
newline
bitfld.long 0x0 16. "MSTTES,Master Read/Write Timeout Error Status This field when set indicates that an Application/CSR Timeout has occurred on the master (AXI/AHB/ARI/ATI) interface. 0x1: Master Read/Write Timeout Error Status detected 0x0: Master Read/Write Timeout Error.." "Master Read/Write Timeout Error Status not..,Master Read/Write Timeout Error Status detected"
newline
rbitfld.long 0x0 15. "RESERVED_RVCTES,Reserved." "0,1"
newline
rbitfld.long 0x0 14. "RESERVED_R125ES,Reserved." "0,1"
newline
rbitfld.long 0x0 13. "RESERVED_T125ES,Reserved." "0,1"
newline
bitfld.long 0x0 12. "PTES,PTP FSM Timeout Error Status This field when set indicates that one of the PTP FSM Timeout has occurred. 0x1: PTP FSM Timeout Error Status detected 0x0: PTP FSM Timeout Error Status not detected" "PTP FSM Timeout Error Status not detected,PTP FSM Timeout Error Status detected"
newline
bitfld.long 0x0 11. "ATES,APP FSM Timeout Error Status This field when set indicates that one of the APP FSM Timeout has occurred. 0x1: APP FSM Timeout Error Status detected 0x0: APP FSM Timeout Error Status not detected" "APP FSM Timeout Error Status not detected,APP FSM Timeout Error Status detected"
newline
bitfld.long 0x0 10. "CTES,CSR FSM Timeout Error Status This field when set indicates that one of the CSR FSM Timeout has occurred. 0x1: CSR FSM Timeout Error Status detected 0x0: CSR FSM Timeout Error Status not detected" "CSR FSM Timeout Error Status not detected,CSR FSM Timeout Error Status detected"
newline
bitfld.long 0x0 9. "RTES,Rx FSM Timeout Error Status This field when set indicates that one of the Rx FSM Timeout has occurred. 0x1: Rx FSM Timeout Error Status detected 0x0: Rx FSM Timeout Error Status not detected" "Rx FSM Timeout Error Status not detected,Rx FSM Timeout Error Status detected"
newline
bitfld.long 0x0 8. "TTES,Tx FSM Timeout Error Status This field when set indicates that one of the Tx FSM Timeout has occurred. 0x1: Tx FSM Timeout Error Status detected 0x0: Tx FSM Timeout Error Status not detected" "Tx FSM Timeout Error Status not detected,Tx FSM Timeout Error Status detected"
newline
rbitfld.long 0x0 7. "RESERVED_ASRPES,Reserved." "0,1"
newline
rbitfld.long 0x0 6. "RESERVED_CWPES,Reserved." "0,1"
newline
bitfld.long 0x0 5. "ARPES,Application Receive interface data path Parity Error Status This bit when set indicates that a parity error is detected at following checkers based on the system configuration as described below - In MTL configuration (DWC_EQOS_SYS=1) parity.." "Application Receive interface data path Parity..,Application Receive interface data path Parity.."
newline
bitfld.long 0x0 4. "MTSPES,MTL TX Status data path Parity checker Error Status This filed when set indicates that parity error is detected on the MTL TX Status data on ati interface (or at PC5 as shown in Fig.Transmit data path parity protection). 0x1: MTL TX Status data.." "MTL TX Status data path Parity checker Error..,MTL TX Status data path Parity checker Error.."
newline
bitfld.long 0x0 3. "MPES,MTL data path Parity checker Error Status This bit when set indicates that a parity error is detected at the MTL transmit write controller parity checker (or at PC4 as shown in Fig.Transmit data path parity protection). 0x1: MTL data path Parity.." "MTL data path Parity checker Error Status not..,MTL data path Parity checker Error Status detected"
newline
bitfld.long 0x0 2. "RDPES,Read Descriptor Parity checker Error Status This bit when set indicates that a parity error is detected at the DMA Read descriptor parity checker (or at PC3 as shown in Fig.Transmit data path parity protection). 0x1: Read Descriptor Parity checker.." "Read Descriptor Parity checker Error Status not..,Read Descriptor Parity checker Error Status.."
newline
rbitfld.long 0x0 1. "RESERVED_TPES,Reserved." "0,1"
newline
bitfld.long 0x0 0. "ATPES,Application Transmit Interface Parity checker Error Status This bit when set indicates that a parity error is detected on the AXI/AHB Master read data parity checker. This bit when set indicates that a parity error is detected on the interface port.." "Application Transmit Interface Parity checker..,Application Transmit Interface Parity checker.."
group.long 0x148++0xB
line.long 0x0 "MAC_FSM_CONTROL,This register is used to control the FSM State parity and timeout error injection in Debug mode."
rbitfld.long 0x0 31. "RESERVED_RVCLGRNML,Reserved." "0,1"
newline
rbitfld.long 0x0 30. "RESERVED_R125LGRNML,Reserved." "0,1"
newline
rbitfld.long 0x0 29. "RESERVED_T125LGRNML,Reserved." "0,1"
newline
bitfld.long 0x0 28. "PLGRNML,PTP Large/Normal Mode Select This field when set indicates that large mode tic generation is used for PTP domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for PTP domain 0x1: large mode tic generation is.." "normal mode tic generation is used for PTP domain,large mode tic generation is used for PTP domain"
newline
bitfld.long 0x0 27. "ALGRNML,APP Large/Normal Mode Select This field when set indicates that large mode tic generation is used for APP domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for APP domain 0x1: large mode tic generation is.." "normal mode tic generation is used for APP domain,large mode tic generation is used for APP domain"
newline
bitfld.long 0x0 26. "CLGRNML,CSR Large/Normal Mode Select This field when set indicates that large mode tic generation is used for CSR domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for CSR domain 0x1: large mode tic generation is.." "normal mode tic generation is used for CSR domain,large mode tic generation is used for CSR domain"
newline
bitfld.long 0x0 25. "RLGRNML,Rx Large/Normal Mode Select This field when set indicates that large mode tic generation is used for Rx domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for Rx domain 0x1: large mode tic generation is used.." "normal mode tic generation is used for Rx domain,large mode tic generation is used for Rx domain"
newline
bitfld.long 0x0 24. "TLGRNML,Tx Large/Normal Mode Select This field when set indicates that large mode tic generation is used for Tx domain else normal mode tic generation is used. 0x0: normal mode tic generation is used for Tx domain 0x1: large mode tic generation is used.." "normal mode tic generation is used for Tx domain,large mode tic generation is used for Tx domain"
newline
rbitfld.long 0x0 23. "RESERVED_RVCPEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 22. "RESERVED_R125PEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 21. "RESERVED_T125PEIN,Reserved." "0,1"
newline
bitfld.long 0x0 20. "PPEIN,PTP FSM Parity Error Injection This field when set indicates that Error Injection for PTP FSM Parity is enabled. 0x0: PTP FSM Parity Error Injection is disabled 0x1: PTP FSM Parity Error Injection is enabled" "PTP FSM Parity Error Injection is disabled,PTP FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 19. "APEIN,APP FSM Parity Error Injection This field when set indicates that Error Injection for APP FSM Parity is enabled. 0x0: APP FSM Parity Error Injection is disabled 0x1: APP FSM Parity Error Injection is enabled" "APP FSM Parity Error Injection is disabled,APP FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 18. "CPEIN,CSR FSM Parity Error Injection This field when set indicates that Error Injection for CSR Parity is enabled. 0x0: CSR FSM Parity Error Injection is disabled 0x1: CSR FSM Parity Error Injection is enabled" "CSR FSM Parity Error Injection is disabled,CSR FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 17. "RPEIN,Rx FSM Parity Error Injection This field when set indicates that Error Injection for RX FSM Parity is enabled. 0x0: Rx FSM Parity Error Injection is disabled 0x1: Rx FSM Parity Error Injection is enabled" "Rx FSM Parity Error Injection is disabled,Rx FSM Parity Error Injection is enabled"
newline
bitfld.long 0x0 16. "TPEIN,Tx FSM Parity Error Injection This field when set indicates that Error Injection for TX FSM Parity is enabled. 0x0: Tx FSM Parity Error Injection is disabled 0x1: Tx FSM Parity Error Injection is enabled" "Tx FSM Parity Error Injection is disabled,Tx FSM Parity Error Injection is enabled"
newline
rbitfld.long 0x0 15. "RESERVED_RVCTEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 14. "RESERVED_R125TEIN,Reserved." "0,1"
newline
rbitfld.long 0x0 13. "RESERVED_T125TEIN,Reserved." "0,1"
newline
bitfld.long 0x0 12. "PTEIN,PTP FSM Timeout Error Injection This field when set indicates that Error Injection for PTP FSM timeout is enabled. 0x0: PTP FSM Timeout Error Injection is disabled 0x1: PTP FSM Timeout Error Injection is enabled" "PTP FSM Timeout Error Injection is disabled,PTP FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 11. "ATEIN,APP FSM Timeout Error Injection This field when set indicates that Error Injection for APP FSM timeout is enabled. 0x0: APP FSM Timeout Error Injection is disabled 0x1: APP FSM Timeout Error Injection is enabled" "APP FSM Timeout Error Injection is disabled,APP FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 10. "CTEIN,CSR FSM Timeout Error Injection This field when set indicates that Error Injection for CSR timeout is enabled. 0x0: CSR FSM Timeout Error Injection is disabled 0x1: CSR FSM Timeout Error Injection is enabled" "CSR FSM Timeout Error Injection is disabled,CSR FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 9. "RTEIN,Rx FSM Timeout Error Injection This field when set indicates that Error Injection for RX FSM timeout is enabled. 0x0: Rx FSM Timeout Error Injection is disabled 0x1: Rx FSM Timeout Error Injection is enabled" "Rx FSM Timeout Error Injection is disabled,Rx FSM Timeout Error Injection is enabled"
newline
bitfld.long 0x0 8. "TTEIN,Tx FSM Timeout Error Injection This field when set indicates that Error Injection for TX FSM timeout is enabled. 0x0: Tx FSM Timeout Error Injection is disabled 0x1: Tx FSM Timeout Error Injection is enabled" "Tx FSM Timeout Error Injection is disabled,Tx FSM Timeout Error Injection is enabled"
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,"
newline
bitfld.long 0x0 1. "PRTYEN,This bit when set indicates that the FSM parity feature is enabled. 0x0: FSM Parity feature is disabled 0x1: FSM Parity feature is enabled" "FSM Parity feature is disabled,FSM Parity feature is enabled"
newline
bitfld.long 0x0 0. "TMOUTEN,This bit when set indicates that the FSM timeout feature is enabled. 0x0: FSM timeout feature is disabled 0x1: FSM timeout feature is enabled" "FSM timeout feature is disabled,FSM timeout feature is enabled"
line.long 0x4 "MAC_FSM_ACT_TIMER,This register is used to select the FSM and Interface Timeout values."
hexmask.long.byte 0x4 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.byte 0x4 20.--23. 1. "LTMRMD,This field provides the mode value to be used for large mode FSM and other interface time outs. The timeout duration based on the mode value is given below 0x3: 16.384ms (~16ms) 0x8: 16.777sec (~16sec) 0x1: 1us 0x6: 1.048sec (~1sec) 0x5: 262.144ms.."
newline
hexmask.long.byte 0x4 16.--19. 1. "NTMRMD,This field provides the value to be used for normal mode FSM and other interface time outs. The timeout duration based on the mode value is given below 0x3: 16.384ms (~16ms) 0x8: 16.777sec (~16sec) 0x1: 1us 0x6: 1.048sec (~1sec) 0x5: 262.144ms.."
newline
hexmask.long.byte 0x4 10.--15. 1. "RESERVED_15_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TMR,This field indicates the number of CSR clocks required to generate 1us tic."
line.long 0x8 "SNPS_SCS_REG1,Synopsys Reserved Register"
hexmask.long 0x8 0.--31. 1. "MAC_SCS1,Synopsys Reserved All the bits must be set to '0'. This field is reserved for Synopsys Internal use and must always be set to '0' unless instructed by Synopsys. Setting any bit to '1' might cause unexpected behavior in the IP."
group.long 0x200++0x7
line.long 0x0 "MAC_MDIO_ADDRESS,The MDIO Address register controls the management cycles to external PHY through a management interface."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "PSE,Preamble Suppression Enable When this bit is set the SMA suppresses the 32-bit preamble and transmits MDIO frames with only 1 preamble bit. When this bit is 0 the MDIO frame always has 32 bits of preamble as defined in the IEEE specifications. 0x0:.." "Preamble Suppression disabled,Preamble Suppression enabled"
newline
bitfld.long 0x0 26. "BTB,Back to Back transactions When this bit is set and the NTC has value greater than 0 then the MAC informs the completion of a read or write command at the end of frame transfer (before the trailing clocks are transmitted). The software can thus.." "Back to Back transactions disabled,Back to Back transactions enabled"
newline
hexmask.long.byte 0x0 21.--25. 1. "PA,Physical Layer Address This field indicates which Clause 22 PHY devices (out of 32 devices) the MAC is accessing. This field indicates which Clause 45 capable PHYs (out of 32 PHYs) the MAC is accessing."
newline
hexmask.long.byte 0x0 16.--20. 1. "RDA,Register/Device Address These bits select the PHY register in selected Clause 22 PHY device. These bits select the Device (MMD) in selected Clause 45 capable PHY."
newline
rbitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
bitfld.long 0x0 12.--14. "NTC,Number of Trailing Clocks This field controls the number of trailing clock cycles generated on gmii_mdc_o (MDC) after the end of transmission of MDIO frame. The valid values can be from 0 to 7. Programming the value to 3'h3 indicates that there are.." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 8.--11. 1. "CR,CSR Clock Range The CSR Clock Range selection determines the frequency of the MDC clock according to the CSR clock frequency used in your design: - 0000: CSR clock = 60-100 MHz; MDC clock = CSR clock/42 - 0001: CSR clock = 100-150 MHz; MDC clock = CSR.."
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "SKAP,Skip Address Packet When this bit is set the SMA does not send the address packets before read write or post-read increment address packets. This bit is valid only when C45E is set. 0x0: Skip Address Packet is disabled 0x1: Skip Address Packet is.." "Skip Address Packet is disabled,Skip Address Packet is enabled"
newline
bitfld.long 0x0 3. "GOC_1,GMII Operation Command 1 This bit is higher bit of the operation command to the PHY GOC_1 and GOC_O is encoded as follows: - 00: Reserved - 01: Write - 10: Post Read Increment Address for Clause 45 PHY - 11: Read When Clause 22 PHY is enabled.." "GMII Operation Command 1 is disabled,GMII Operation Command 1 is enabled"
newline
bitfld.long 0x0 2. "GOC_0,GMII Operation Command 0 This is the lower bit of the operation command to the PHY. When in SMA mode (MDIO master) this bit along with GOC_1 determines the operation to be performed to the PHY. 0x0: GMII Operation Command 0 is disabled 0x1: GMII.." "GMII Operation Command 0 is disabled,GMII Operation Command 0 is enabled"
newline
bitfld.long 0x0 1. "C45E,Clause 45 PHY Enable When this bit is set Clause 45 capable PHY is connected to MDIO. When this bit is reset Clause 22 capable PHY is connected to MDIO. 0x0: Clause 45 PHY is disabled 0x1: Clause 45 PHY is enabled" "Clause 45 PHY is disabled,Clause 45 PHY is enabled"
newline
bitfld.long 0x0 0. "GB,GMII Busy The application sets this bit to instruct the SMA to initiate a Read or Write access to the MDIO slave. The MAC clears this bit after the MDIO frame transfer is completed. Hence the software must not write or change any of the fields in.." "GMII Busy is disabled,GMII Busy is enabled"
line.long 0x4 "MAC_MDIO_DATA,The MDIO Data register stores the Write data to be written to the PHY register located at the address specified in MAC_MDIO_Address. This register also stores the Read data from the PHY register located at the address specified by MDIO.."
hexmask.long.word 0x4 16.--31. 1. "RA,Register Address This field is valid only when C45E is set. It contains the Register Address in the PHY to which the MDIO frame is intended for."
newline
hexmask.long.word 0x4 0.--15. 1. "GD,GMII Data This field contains the 16-bit data value read from the PHY after a Management Read operation or the 16-bit data value to be written to the PHY before a Management Write operation."
group.long 0x230++0x7
line.long 0x0 "MAC_CSR_SW_CTRL,This register contains SW programmable controls for changing the CSR access response and status bits clearing."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "SEEN,Slave Error Response Enable When this bit is set the MAC responds with Slave Error for accesses to reserved registers in CSR space. When this bit is reset the MAC responds with Okay response to any register accessed from CSR space. 0x0: Slave.." "Slave Error Response is disabled,Slave Error Response is enabled"
newline
hexmask.long.byte 0x0 1.--7. 1. "RESERVED_7_1,Reserved."
newline
bitfld.long 0x0 0. "RCWE,Register Clear on Write 1 Enable When this bit is set the access mode of some register fields changes to Clear on Write 1 the application needs to set that respective bit to 1 to clear it. When this bit is reset the access mode of these register.." "Register Clear on Write 1 is disabled,Register Clear on Write 1 is enabled"
line.long 0x4 "MAC_FPE_CTRL_STS,This register controls the operation of Frame Preemption."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_20,Reserved."
newline
bitfld.long 0x4 19. "TRSP,Transmitted Respond Frame Set when a Respond mPacket is transmitted (triggered by setting SRSP field). An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of.." "Not transmitted Respond Frame,transmitted Respond Frame"
newline
bitfld.long 0x4 18. "TVER,Transmitted Verify Frame Set when a Verify mPacket is transmitted (triggered by setting SVER field). An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of 1.." "Not transmitted Verify Frame,transmitted Verify Frame"
newline
bitfld.long 0x4 17. "RRSP,Received Respond Frame Set when a Respond mPacket is received. An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of 1 when RCWE bit in MAC_CSR_SW_Ctrl.." "Not received Respond Frame,Received Respond Frame"
newline
bitfld.long 0x4 16. "RVER,Received Verify Frame Set when a Verify mPacket is received. An interrupt can be generated for this event if FPEIE bit of MAC_Interrupt_Enable is set. Access restriction applies. Clears on read (or write of 1 when RCWE bit in MAC_CSR_SW_Ctrl.." "Not received Verify Frame,Received Verify Frame"
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED_15_4,Reserved."
newline
bitfld.long 0x4 3. "S1_SET_0,Synopsys Reserved Must be set to '0'. This field is reserved for Synopsys Internal use and must always be set to '0' unless instructed by Synopsys. Setting to '1' might cause unexpected behavior in the IP." "0,1"
newline
bitfld.long 0x4 2. "SRSP,Send Respond mPacket When set indicates hardware to send a Respond mPacket. Reset by hardware after sending the Respond mPacket. Access restriction applies. Setting 1 sets. Self-cleared. Setting 0 has no effect. 0x0: Send Respond mPacket is disabled.." "Send Respond mPacket is disabled,Send Respond mPacket is enabled"
newline
bitfld.long 0x4 1. "SVER,Send Verify mPacket When set indicates hardware to send a verify mPacket. Reset by hardware after sending the Verify mPacket. Access restriction applies. Setting 1 sets. Self-cleared. Setting 0 has no effect. 0x0: Send Verify mPacket is disabled.." "Send Verify mPacket is disabled,Send Verify mPacket is enabled"
newline
bitfld.long 0x4 0. "EFPE,Enable Tx Frame Preemption When set Frame Preemption Tx functionality is enabled. 0x0: Tx Frame Preemption is disabled 0x1: Tx Frame Preemption is enabled" "Tx Frame Preemption is disabled,Tx Frame Preemption is enabled"
rgroup.long 0x240++0x3
line.long 0x0 "MAC_PRESN_TIME_NS,This register contains the 32-bit binary rollover equivalent time of the PTP System Time in ns Exists when DWC_EQOS_FLEXI_PPS_OUT_EN is configured"
hexmask.long 0x0 0.--31. 1. "MPTN,MAC 1722 Presentation Time in ns These bits indicate the value of the 32-bit binary rollover equivalent time of the PTP System Time in ns"
group.long 0x244++0x3
line.long 0x0 "MAC_PRESN_TIME_UPDT,This field holds the 32-bit value of MAC 1722 Presentation Time in ns. that should be added to the Current Presentation Time Counter value. Init happens when TSINIT is set. and update happens when the TSUPDT bit is set (TSINIT and.."
hexmask.long 0x0 0.--31. 1. "MPTU,MAC 1722 Presentation Time Update This field holds the init value or the update value for the presentation time. When used for update this field holds the 32-bit value in ns that should be added to the Current Presentation Time Counter value. Init.."
group.long 0x300++0x403
line.long 0x0 "MAC_ADDRESS0_HIGH,The MAC Address0 High register holds the upper 16 bits of the first 6-byte MAC address of the station. The first DA byte that is received on the (G)MII interface corresponds to the LS byte (Bits [7:0]) of the MAC Address Low register."
rbitfld.long 0x0 31. "AE,Address Enable This bit is always set to 1. 0x0: INVALID : This bit must be always set to 1 0x1: This bit is always set to 1" "INVALID : This bit must be always set to 1,This bit is always set to 1"
newline
hexmask.long.word 0x0 21.--30. 1. "RESERVED_30_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address0 content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x0 0.--15. 1. "ADDRHI,MAC Address0[47:32] This field contains the upper 16 bits [47:32] of the first 6-byte MAC address. The MAC uses this field for filtering the received packets and inserting the MAC address in the Transmit Flow Control (Pause) Packets."
line.long 0x4 "MAC_ADDRESS0_LOW,The MAC Address0 Low register holds the lower 32 bits of the 6-byte first MAC address of the station."
hexmask.long 0x4 0.--31. 1. "ADDRLO,MAC Address0[31:0] This field contains the lower 32 bits of the first 6-byte MAC address. The MAC uses this field for filtering the received packets and inserting the MAC address in the Transmit Flow Control (Pause) Packets."
line.long 0x8 "MAC_ADDRESS1_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xC "MAC_ADDRESS1_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x10 "MAC_ADDRESS2_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x10 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x10 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x10 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x10 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x10 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x10 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x14 "MAC_ADDRESS2_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x14 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x18 "MAC_ADDRESS3_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x18 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x18 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x18 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x18 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x18 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x18 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x1C "MAC_ADDRESS3_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x1C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x20 "MAC_ADDRESS4_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x20 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x20 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x20 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x20 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x20 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x20 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x24 "MAC_ADDRESS4_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x24 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x28 "MAC_ADDRESS5_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x28 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x28 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x28 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x28 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x28 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x28 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x2C "MAC_ADDRESS5_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x2C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x30 "MAC_ADDRESS6_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x30 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x30 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x30 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x30 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x30 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x30 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x34 "MAC_ADDRESS6_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x34 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x38 "MAC_ADDRESS7_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x38 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x38 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x38 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x38 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x38 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x38 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x3C "MAC_ADDRESS7_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x3C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x40 "MAC_ADDRESS8_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x40 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x40 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x40 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x40 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x40 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x40 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x44 "MAC_ADDRESS8_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x44 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x48 "MAC_ADDRESS9_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x48 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x48 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x48 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x48 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x48 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x48 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x4C "MAC_ADDRESS9_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x4C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x50 "MAC_ADDRESS10_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x50 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x50 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x50 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x50 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x50 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x50 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x54 "MAC_ADDRESS10_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x54 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x58 "MAC_ADDRESS11_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x58 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x58 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x58 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x58 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x58 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x58 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x5C "MAC_ADDRESS11_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x5C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x60 "MAC_ADDRESS12_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x60 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x60 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x60 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x60 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x60 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x60 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x64 "MAC_ADDRESS12_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x64 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x68 "MAC_ADDRESS13_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x68 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x68 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x68 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x68 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x68 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x68 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x6C "MAC_ADDRESS13_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x6C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x70 "MAC_ADDRESS14_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x70 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x70 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x70 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x70 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x70 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x70 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x74 "MAC_ADDRESS14_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x74 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x78 "MAC_ADDRESS15_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x78 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x78 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x78 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x78 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x78 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x78 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x7C "MAC_ADDRESS15_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x7C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x80 "MAC_ADDRESS16_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x80 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x80 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x80 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x80 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x80 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x80 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x84 "MAC_ADDRESS16_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x84 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x88 "MAC_ADDRESS17_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x88 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x88 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x88 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x88 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x88 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x88 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x8C "MAC_ADDRESS17_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x8C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x90 "MAC_ADDRESS18_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x90 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x90 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x90 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x90 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x90 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x90 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x94 "MAC_ADDRESS18_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x94 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x98 "MAC_ADDRESS19_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x98 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0x98 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0x98 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0x98 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x98 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0x98 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0x9C "MAC_ADDRESS19_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0x9C 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xA0 "MAC_ADDRESS20_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xA0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xA0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xA0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xA0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xA0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xA0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xA4 "MAC_ADDRESS20_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xA4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xA8 "MAC_ADDRESS21_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xA8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xA8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xA8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xA8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xA8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xA8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xAC "MAC_ADDRESS21_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xAC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xB0 "MAC_ADDRESS22_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xB0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xB0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xB0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xB0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xB0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xB0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xB4 "MAC_ADDRESS22_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xB4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xB8 "MAC_ADDRESS23_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xB8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xB8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xB8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xB8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xB8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xB8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xBC "MAC_ADDRESS23_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xBC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xC0 "MAC_ADDRESS24_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xC0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xC0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xC0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xC0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xC0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xC4 "MAC_ADDRESS24_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xC4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xC8 "MAC_ADDRESS25_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xC8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xC8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xC8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xC8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xC8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xC8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xCC "MAC_ADDRESS25_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xCC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xD0 "MAC_ADDRESS26_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xD0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xD0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xD0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xD0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xD0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xD0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xD4 "MAC_ADDRESS26_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xD4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xD8 "MAC_ADDRESS27_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xD8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xD8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xD8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xD8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xD8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xD8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xDC "MAC_ADDRESS27_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xDC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xE0 "MAC_ADDRESS28_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xE0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xE0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xE0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xE0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xE0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xE0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xE4 "MAC_ADDRESS28_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xE4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xE8 "MAC_ADDRESS29_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xE8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xE8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xE8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xE8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xE8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xE8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xEC "MAC_ADDRESS29_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xEC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xF0 "MAC_ADDRESS30_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xF0 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xF0 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xF0 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xF0 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xF0 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xF0 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xF4 "MAC_ADDRESS30_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xF4 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0xF8 "MAC_ADDRESS31_HIGH,The MAC Address1 High register holds the upper 16 bits of the second 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0xF8 31. "AE,Address Enable When this bit is set the address filter module uses the second MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
bitfld.long 0xF8 30. "SA,Source Address When this bit is set the MAC Address1[47:0] is used to compare with the SA fields of the received packet. When this bit is reset the MAC Address1[47:0] is used to compare with the DA fields of the received packet. 0x0: Compare with.." "Compare with Destination Address,Compare with Source Address"
newline
hexmask.long.byte 0xF8 24.--29. 1. "MBC,Mask Byte Control These bits are mask control bits for comparing each of the MAC Address bytes. When set high the MAC does not compare the corresponding byte of received DA or SA with the contents of MAC Address1 registers. Each bit controls the.."
newline
rbitfld.long 0xF8 21.--23. "RESERVED_23_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0xF8 16.--20. 1. "DCS,DMA Channel Select If the PDC bit of MAC_Ext_Configuration register is not set: This field contains the binary representation of the DMA Channel number to which an Rx packet whose DA matches the MAC Address(#i) content is routed. If the PDC bit of.."
newline
hexmask.long.word 0xF8 0.--15. 1. "ADDRHI,MAC Address1 [47:32] This field contains the upper 16 bits[47:32] of the second 6-byte MAC address."
line.long 0xFC "MAC_ADDRESS31_LOW,The MAC Address1 Low register holds the lower 32 bits of the second 6-byte MAC address of the station."
hexmask.long 0xFC 0.--31. 1. "ADDRLO,MAC Address1 [31:0] This field contains the lower 32 bits of second 6-byte MAC address. The content of this field is undefined until loaded by the application after the initialization process."
line.long 0x100 "MAC_ADDRESS32_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x100 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x100 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x100 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x100 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x104 "MAC_ADDRESS32_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x104 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x108 "MAC_ADDRESS33_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x108 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x108 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x108 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x108 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x10C "MAC_ADDRESS33_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x10C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x110 "MAC_ADDRESS34_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x110 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x110 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x110 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x110 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x114 "MAC_ADDRESS34_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x114 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x118 "MAC_ADDRESS35_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x118 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x118 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x118 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x118 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x11C "MAC_ADDRESS35_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x11C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x120 "MAC_ADDRESS36_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x120 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x120 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x120 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x120 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x124 "MAC_ADDRESS36_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x124 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x128 "MAC_ADDRESS37_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x128 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x128 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x128 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x128 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x12C "MAC_ADDRESS37_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x12C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x130 "MAC_ADDRESS38_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x130 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x130 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x130 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x130 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x134 "MAC_ADDRESS38_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x134 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x138 "MAC_ADDRESS39_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x138 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x138 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x138 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x138 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x13C "MAC_ADDRESS39_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x13C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x140 "MAC_ADDRESS40_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x140 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x140 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x140 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x140 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x144 "MAC_ADDRESS40_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x144 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x148 "MAC_ADDRESS41_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x148 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x148 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x148 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x148 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x14C "MAC_ADDRESS41_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x14C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x150 "MAC_ADDRESS42_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x150 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x150 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x150 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x150 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x154 "MAC_ADDRESS42_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x154 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x158 "MAC_ADDRESS43_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x158 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x158 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x158 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x158 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x15C "MAC_ADDRESS43_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x15C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x160 "MAC_ADDRESS44_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x160 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x160 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x160 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x160 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x164 "MAC_ADDRESS44_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x164 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x168 "MAC_ADDRESS45_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x168 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x168 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x168 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x168 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x16C "MAC_ADDRESS45_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x16C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x170 "MAC_ADDRESS46_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x170 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x170 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x170 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x170 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x174 "MAC_ADDRESS46_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x174 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x178 "MAC_ADDRESS47_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x178 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x178 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x178 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x178 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x17C "MAC_ADDRESS47_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x17C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x180 "MAC_ADDRESS48_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x180 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x180 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x180 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x180 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x184 "MAC_ADDRESS48_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x184 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x188 "MAC_ADDRESS49_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x188 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x188 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x188 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x188 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x18C "MAC_ADDRESS49_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x18C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x190 "MAC_ADDRESS50_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x190 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x190 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x190 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x190 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x194 "MAC_ADDRESS50_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x194 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x198 "MAC_ADDRESS51_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x198 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x198 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x198 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x198 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x19C "MAC_ADDRESS51_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x19C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1A0 "MAC_ADDRESS52_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1A0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1A0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1A0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1A0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1A4 "MAC_ADDRESS52_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1A4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1A8 "MAC_ADDRESS53_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1A8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1A8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1A8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1A8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1AC "MAC_ADDRESS53_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1AC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1B0 "MAC_ADDRESS54_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1B0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1B0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1B0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1B0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1B4 "MAC_ADDRESS54_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1B4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1B8 "MAC_ADDRESS55_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1B8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1B8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1B8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1B8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1BC "MAC_ADDRESS55_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1BC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1C0 "MAC_ADDRESS56_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1C0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1C0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1C0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1C0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1C4 "MAC_ADDRESS56_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1C4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1C8 "MAC_ADDRESS57_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1C8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1C8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1C8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1C8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1CC "MAC_ADDRESS57_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1CC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1D0 "MAC_ADDRESS58_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1D0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1D0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1D0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1D0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1D4 "MAC_ADDRESS58_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1D4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1D8 "MAC_ADDRESS59_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1D8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1D8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1D8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1D8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1DC "MAC_ADDRESS59_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1DC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1E0 "MAC_ADDRESS60_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1E0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1E0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1E0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1E0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1E4 "MAC_ADDRESS60_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1E4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1E8 "MAC_ADDRESS61_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1E8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1E8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1E8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1E8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1EC "MAC_ADDRESS61_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1EC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1F0 "MAC_ADDRESS62_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1F0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1F0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1F0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1F0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1F4 "MAC_ADDRESS62_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1F4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x1F8 "MAC_ADDRESS63_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x1F8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x1F8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x1F8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x1F8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x1FC "MAC_ADDRESS63_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x1FC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x200 "MAC_ADDRESS64_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x200 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x200 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x200 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x200 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x204 "MAC_ADDRESS64_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x204 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x208 "MAC_ADDRESS65_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x208 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x208 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x208 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x208 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x20C "MAC_ADDRESS65_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x20C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x210 "MAC_ADDRESS66_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x210 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x210 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x210 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x210 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x214 "MAC_ADDRESS66_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x214 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x218 "MAC_ADDRESS67_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x218 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x218 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x218 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x218 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x21C "MAC_ADDRESS67_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x21C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x220 "MAC_ADDRESS68_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x220 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x220 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x220 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x220 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x224 "MAC_ADDRESS68_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x224 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x228 "MAC_ADDRESS69_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x228 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x228 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x228 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x228 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x22C "MAC_ADDRESS69_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x22C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x230 "MAC_ADDRESS70_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x230 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x230 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x230 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x230 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x234 "MAC_ADDRESS70_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x234 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x238 "MAC_ADDRESS71_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x238 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x238 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x238 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x238 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x23C "MAC_ADDRESS71_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x23C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x240 "MAC_ADDRESS72_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x240 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x240 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x240 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x240 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x244 "MAC_ADDRESS72_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x244 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x248 "MAC_ADDRESS73_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x248 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x248 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x248 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x248 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x24C "MAC_ADDRESS73_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x24C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x250 "MAC_ADDRESS74_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x250 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x250 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x250 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x250 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x254 "MAC_ADDRESS74_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x254 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x258 "MAC_ADDRESS75_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x258 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x258 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x258 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x258 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x25C "MAC_ADDRESS75_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x25C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x260 "MAC_ADDRESS76_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x260 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x260 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x260 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x260 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x264 "MAC_ADDRESS76_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x264 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x268 "MAC_ADDRESS77_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x268 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x268 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x268 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x268 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x26C "MAC_ADDRESS77_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x26C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x270 "MAC_ADDRESS78_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x270 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x270 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x270 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x270 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x274 "MAC_ADDRESS78_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x274 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x278 "MAC_ADDRESS79_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x278 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x278 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x278 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x278 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x27C "MAC_ADDRESS79_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x27C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x280 "MAC_ADDRESS80_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x280 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x280 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x280 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x280 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x284 "MAC_ADDRESS80_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x284 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x288 "MAC_ADDRESS81_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x288 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x288 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x288 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x288 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x28C "MAC_ADDRESS81_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x28C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x290 "MAC_ADDRESS82_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x290 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x290 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x290 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x290 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x294 "MAC_ADDRESS82_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x294 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x298 "MAC_ADDRESS83_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x298 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x298 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x298 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x298 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x29C "MAC_ADDRESS83_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x29C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2A0 "MAC_ADDRESS84_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2A0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2A0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2A0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2A0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2A4 "MAC_ADDRESS84_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2A4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2A8 "MAC_ADDRESS85_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2A8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2A8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2A8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2A8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2AC "MAC_ADDRESS85_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2AC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2B0 "MAC_ADDRESS86_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2B0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2B0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2B0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2B0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2B4 "MAC_ADDRESS86_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2B4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2B8 "MAC_ADDRESS87_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2B8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2B8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2B8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2B8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2BC "MAC_ADDRESS87_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2BC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2C0 "MAC_ADDRESS88_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2C0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2C0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2C0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2C0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2C4 "MAC_ADDRESS88_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2C4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2C8 "MAC_ADDRESS89_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2C8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2C8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2C8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2C8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2CC "MAC_ADDRESS89_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2CC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2D0 "MAC_ADDRESS90_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2D0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2D0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2D0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2D0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2D4 "MAC_ADDRESS90_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2D4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2D8 "MAC_ADDRESS91_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2D8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2D8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2D8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2D8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2DC "MAC_ADDRESS91_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2DC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2E0 "MAC_ADDRESS92_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2E0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2E0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2E0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2E0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2E4 "MAC_ADDRESS92_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2E4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2E8 "MAC_ADDRESS93_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2E8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2E8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2E8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2E8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2EC "MAC_ADDRESS93_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2EC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2F0 "MAC_ADDRESS94_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2F0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2F0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2F0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2F0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2F4 "MAC_ADDRESS94_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2F4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x2F8 "MAC_ADDRESS95_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x2F8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x2F8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x2F8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x2F8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x2FC "MAC_ADDRESS95_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x2FC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x300 "MAC_ADDRESS96_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x300 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x300 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x300 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x300 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x304 "MAC_ADDRESS96_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x304 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x308 "MAC_ADDRESS97_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x308 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x308 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x308 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x308 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x30C "MAC_ADDRESS97_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x30C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x310 "MAC_ADDRESS98_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x310 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x310 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x310 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x310 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x314 "MAC_ADDRESS98_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x314 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x318 "MAC_ADDRESS99_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x318 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x318 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x318 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x318 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x31C "MAC_ADDRESS99_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x31C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x320 "MAC_ADDRESS100_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x320 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x320 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x320 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x320 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x324 "MAC_ADDRESS100_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x324 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x328 "MAC_ADDRESS101_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x328 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x328 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x328 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x328 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x32C "MAC_ADDRESS101_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x32C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x330 "MAC_ADDRESS102_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x330 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x330 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x330 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x330 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x334 "MAC_ADDRESS102_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x334 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x338 "MAC_ADDRESS103_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x338 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x338 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x338 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x338 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x33C "MAC_ADDRESS103_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x33C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x340 "MAC_ADDRESS104_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x340 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x340 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x340 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x340 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x344 "MAC_ADDRESS104_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x344 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x348 "MAC_ADDRESS105_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x348 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x348 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x348 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x348 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x34C "MAC_ADDRESS105_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x34C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x350 "MAC_ADDRESS106_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x350 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x350 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x350 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x350 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x354 "MAC_ADDRESS106_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x354 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x358 "MAC_ADDRESS107_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x358 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x358 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x358 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x358 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x35C "MAC_ADDRESS107_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x35C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x360 "MAC_ADDRESS108_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x360 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x360 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x360 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x360 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x364 "MAC_ADDRESS108_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x364 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x368 "MAC_ADDRESS109_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x368 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x368 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x368 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x368 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x36C "MAC_ADDRESS109_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x36C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x370 "MAC_ADDRESS110_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x370 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x370 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x370 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x370 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x374 "MAC_ADDRESS110_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x374 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x378 "MAC_ADDRESS111_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x378 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x378 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x378 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x378 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x37C "MAC_ADDRESS111_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x37C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x380 "MAC_ADDRESS112_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x380 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x380 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x380 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x380 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x384 "MAC_ADDRESS112_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x384 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x388 "MAC_ADDRESS113_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x388 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x388 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x388 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x388 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x38C "MAC_ADDRESS113_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x38C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x390 "MAC_ADDRESS114_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x390 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x390 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x390 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x390 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x394 "MAC_ADDRESS114_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x394 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x398 "MAC_ADDRESS115_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x398 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x398 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x398 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x398 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x39C "MAC_ADDRESS115_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x39C 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3A0 "MAC_ADDRESS116_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3A0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3A0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3A0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3A0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3A4 "MAC_ADDRESS116_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3A4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3A8 "MAC_ADDRESS117_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3A8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3A8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3A8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3A8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3AC "MAC_ADDRESS117_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3AC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3B0 "MAC_ADDRESS118_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3B0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3B0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3B0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3B0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3B4 "MAC_ADDRESS118_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3B4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3B8 "MAC_ADDRESS119_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3B8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3B8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3B8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3B8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3BC "MAC_ADDRESS119_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3BC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3C0 "MAC_ADDRESS120_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3C0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3C0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3C0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3C0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3C4 "MAC_ADDRESS120_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3C4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3C8 "MAC_ADDRESS121_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3C8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3C8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3C8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3C8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3CC "MAC_ADDRESS121_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3CC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3D0 "MAC_ADDRESS122_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3D0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3D0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3D0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3D0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3D4 "MAC_ADDRESS122_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3D4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3D8 "MAC_ADDRESS123_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3D8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3D8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3D8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3D8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3DC "MAC_ADDRESS123_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3DC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3E0 "MAC_ADDRESS124_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3E0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3E0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3E0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3E0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3E4 "MAC_ADDRESS124_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3E4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3E8 "MAC_ADDRESS125_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3E8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3E8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3E8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3E8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3EC "MAC_ADDRESS125_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3EC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3F0 "MAC_ADDRESS126_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3F0 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3F0 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3F0 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3F0 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3F4 "MAC_ADDRESS126_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3F4 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x3F8 "MAC_ADDRESS127_HIGH,The MAC Address32 High register holds the upper 16 bits of the 33rd 6-byte MAC address of the station. If the MAC address registers are configured to be double-synchronized to the (G)MII clock domains. the synchronization is triggered.."
bitfld.long 0x3F8 31. "AE,Address Enable When this bit is set the Address filter module uses the 33rd MAC address for perfect filtering. When this bit is reset the address filter module ignores the address for filtering. 0x0: Address is ignored 0x1: Address is enabled" "Address is ignored,Address is enabled"
newline
hexmask.long.word 0x3F8 19.--30. 1. "RESERVED_30_Y,Reserved."
newline
bitfld.long 0x3F8 16.--18. "DCS,DMA Channel Select This field contains the DMA Channel number to which an Rx packet whose DA matches the MAC Address32 content is routed." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x3F8 0.--15. 1. "ADDRHI,MAC Address32 [47:32] This field contains the upper 16 bits (47:32) of the 33rd 6-byte MAC address."
line.long 0x3FC "MAC_ADDRESS127_LOW,The MAC Address32 Low register holds the lower 16 bits of the 33rd 6-byte MAC address of the station."
hexmask.long 0x3FC 0.--31. 1. "ADDRLO,MAC Address32 [31:0] This field contains the lower 32 bits of the 33rd 6-byte MAC address. The content of this field is undefined until loaded by the Application after the initialization process."
line.long 0x400 "MMC_CONTROL,This register establishes the operating mode of MMC."
hexmask.long.tbyte 0x400 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x400 8. "UCDBC,Update MMC Counters for Dropped Broadcast Packets Note: The CNTRST bit has a higher priority than the CNTPRST bit. Therefore when the software tries to set both bits in the same write cycle all counters are cleared and the CNTPRST bit is not set." "Update MMC Counters for Dropped Broadcast..,Update MMC Counters for Dropped Broadcast.."
newline
rbitfld.long 0x400 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x400 5. "CNTPRSTLVL,Full-Half Preset When this bit is low and the CNTPRST bit is set all MMC counters get preset to almost-half value. All octet counters get preset to 0x7FFF_F800 (Half 2KBytes) and all packet-counters gets preset to 0x7FFF_FFF0 (Half 16). When.." "Full-Half Preset is disabled,Full-Half Preset is enabled"
newline
bitfld.long 0x400 4. "CNTPRST,Counters Preset When this bit is set all counters are initialized or preset to almost full or almost half according to the CNTPRSTLVL bit. This bit is cleared automatically after 1 clock cycle. This bit along with the CNTPRSTLVL bit is useful.." "Counters Preset is disabled,Counters Preset is enabled"
newline
bitfld.long 0x400 3. "CNTFREEZ,MMC Counter Freeze When this bit is set it freezes all MMC counters to their current value. Until this bit is reset to 0 no MMC counter is updated because of any transmitted or received packet. If any MMC counter is read with the Reset on Read.." "MMC Counter Freeze is disabled,MMC Counter Freeze is enabled"
newline
bitfld.long 0x400 2. "RSTONRD,Reset on Read When this bit is set the MMC counters are reset to zero after Read (self-clearing after reset). The counters are cleared when the least significant byte lane (Bits[7:0]) is read. 0x0: Reset on Read is disabled 0x1: Reset on Read is.." "Reset on Read is disabled,Reset on Read is enabled"
newline
bitfld.long 0x400 1. "CNTSTOPRO,Counter Stop Rollover When this bit is set the counter does not roll over to zero after reaching the maximum value. 0x0: Counter Stop Rollover is disabled 0x1: Counter Stop Rollover is enabled" "Counter Stop Rollover is disabled,Counter Stop Rollover is enabled"
newline
bitfld.long 0x400 0. "CNTRST,Counters Reset When this bit is set all counters are reset. This bit is cleared automatically after 1 clock cycle. Access restriction applies. Self-cleared. Setting 0 clears. Setting 1 sets. 0x0: Counters are not reset 0x1: All counters are reset" "Counters are not reset,All counters are reset"
rgroup.long 0x704++0x7
line.long 0x0 "MMC_RX_INTERRUPT,This register maintains the interrupts generated from all Receive statistics counters. The MMC Receive Interrupt register maintains the interrupts that are generated when the following occur: - Receive statistic counters reach half of.."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "RXLPITRCIS,MMC Receive LPI transition counter interrupt status This bit is set when the Rx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive LPI transition Counter Interrupt..,MMC Receive LPI transition Counter Interrupt.."
newline
bitfld.long 0x0 26. "RXLPIUSCIS,MMC Receive LPI microsecond counter interrupt status This bit is set when the Rx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive LPI microsecond Counter Interrupt..,MMC Receive LPI microsecond Counter Interrupt.."
newline
bitfld.long 0x0 25. "RXCTRLPIS,MMC Receive Control Packet Counter Interrupt Status This bit is set when the rxctrlpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Control Packet Counter Interrupt..,MMC Receive Control Packet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXRCVERRPIS,MMC Receive Error Packet Counter Interrupt Status This bit is set when the rxrcverror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Error Packet Counter Interrupt..,MMC Receive Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 23. "RXWDOGPIS,MMC Receive Watchdog Error Packet Counter Interrupt Status This bit is set when the rxwatchdog error counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Watchdog Error Packet Counter..,MMC Receive Watchdog Error Packet Counter.."
newline
bitfld.long 0x0 22. "RXVLANGBPIS,MMC Receive VLAN Good Bad Packet Counter Interrupt Status This bit is set when the rxvlanpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive VLAN Good Bad Packet Counter..,MMC Receive VLAN Good Bad Packet Counter.."
newline
bitfld.long 0x0 21. "RXFOVPIS,MMC Receive FIFO Overflow Packet Counter Interrupt Status This bit is set when the rxfifooverflow counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive FIFO Overflow Packet Counter..,MMC Receive FIFO Overflow Packet Counter.."
newline
bitfld.long 0x0 20. "RXPAUSPIS,MMC Receive Pause Packet Counter Interrupt Status This bit is set when the rxpausepackets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Pause Packet Counter Interrupt..,MMC Receive Pause Packet Counter Interrupt.."
newline
bitfld.long 0x0 19. "RXORANGEPIS,MMC Receive Out Of Range Error Packet Counter Interrupt Status. This bit is set when the rxoutofrangetype counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive Out Of Range Error Packet Counter..,MMC Receive Out Of Range Error Packet Counter.."
newline
bitfld.long 0x0 18. "RXLENERPIS,MMC Receive Length Error Packet Counter Interrupt Status This bit is set when the rxlengtherror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive Length Error Packet Counter..,MMC Receive Length Error Packet Counter.."
newline
bitfld.long 0x0 17. "RXUCGPIS,MMC Receive Unicast Good Packet Counter Interrupt Status This bit is set when the rxunicastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Unicast Good Packet Counter..,MMC Receive Unicast Good Packet Counter.."
newline
bitfld.long 0x0 16. "RX1024TMAXOCTGBPIS,MMC Receive 1024 to Maximum Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read." "MMC Receive 1024 to Maximum Octet Good Bad..,MMC Receive 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x0 15. "RX512T1023OCTGBPIS,MMC Receive 512 to 1023 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx512to1023octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set.." "MMC Receive 512 to 1023 Octet Good Bad Packet..,MMC Receive 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x0 14. "RX256T511OCTGBPIS,MMC Receive 256 to 511 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx256to511octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1.." "MMC Receive 256 to 511 Octet Good Bad Packet..,MMC Receive 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x0 13. "RX128T255OCTGBPIS,MMC Receive 128 to 255 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx128to255octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1.." "MMC Receive 128 to 255 Octet Good Bad Packet..,MMC Receive 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x0 12. "RX65T127OCTGBPIS,MMC Receive 65 to 127 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx65to127octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on.." "MMC Receive 65 to 127 Octet Good Bad Packet..,MMC Receive 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x0 11. "RX64OCTGBPIS,MMC Receive 64 Octet Good Bad Packet Counter Interrupt Status This bit is set when the rx64octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive 64 Octet Good Bad Packet Counter..,MMC Receive 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x0 10. "RXOSIZEGPIS,MMC Receive Oversize Good Packet Counter Interrupt Status This bit is set when the rxoversize_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive Oversize Good Packet Counter..,MMC Receive Oversize Good Packet Counter.."
newline
bitfld.long 0x0 9. "RXUSIZEGPIS,MMC Receive Undersize Good Packet Counter Interrupt Status This bit is set when the rxundersize_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Undersize Good Packet Counter..,MMC Receive Undersize Good Packet Counter.."
newline
bitfld.long 0x0 8. "RXJABERPIS,MMC Receive Jabber Error Packet Counter Interrupt Status This bit is set when the rxjabbererror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive Jabber Error Packet Counter..,MMC Receive Jabber Error Packet Counter.."
newline
bitfld.long 0x0 7. "RXRUNTPIS,MMC Receive Runt Packet Counter Interrupt Status This bit is set when the rxrunterror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC Receive.." "MMC Receive Runt Packet Counter Interrupt Status..,MMC Receive Runt Packet Counter Interrupt Status.."
newline
bitfld.long 0x0 6. "RXALGNERPIS,MMC Receive Alignment Error Packet Counter Interrupt Status This bit is set when the rxalignmenterror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Alignment Error Packet Counter..,MMC Receive Alignment Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXCRCERPIS,MMC Receive CRC Error Packet Counter Interrupt Status This bit is set when the rxcrcerror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive CRC Error Packet Counter Interrupt..,MMC Receive CRC Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXMCGPIS,MMC Receive Multicast Good Packet Counter Interrupt Status This bit is set when the rxmulticastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Multicast Good Packet Counter..,MMC Receive Multicast Good Packet Counter.."
newline
bitfld.long 0x0 3. "RXBCGPIS,MMC Receive Broadcast Good Packet Counter Interrupt Status This bit is set when the rxbroadcastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Receive Broadcast Good Packet Counter..,MMC Receive Broadcast Good Packet Counter.."
newline
bitfld.long 0x0 2. "RXGOCTIS,MMC Receive Good Octet Counter Interrupt Status This bit is set when the rxoctetcount_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC Receive.." "MMC Receive Good Octet Counter Interrupt Status..,MMC Receive Good Octet Counter Interrupt Status.."
newline
bitfld.long 0x0 1. "RXGBOCTIS,MMC Receive Good Bad Octet Counter Interrupt Status This bit is set when the rxoctetcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Good Bad Octet Counter Interrupt..,MMC Receive Good Bad Octet Counter Interrupt.."
newline
bitfld.long 0x0 0. "RXGBPKTIS,MMC Receive Good Bad Packet Counter Interrupt Status This bit is set when the rxpacketcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive Good Bad Packet Counter Interrupt..,MMC Receive Good Bad Packet Counter Interrupt.."
line.long 0x4 "MMC_TX_INTERRUPT,This register maintains the interrupts generated from all Transmit statistics counters. The MMC Transmit Interrupt register maintains the interrupts generated when transmit statistic counters reach half their maximum values (0x8000_0000.."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x4 27. "TXLPITRCIS,MMC Transmit LPI transition counter interrupt status This bit is set when the Tx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit LPI transition Counter Interrupt..,MMC Transmit LPI transition Counter Interrupt.."
newline
bitfld.long 0x4 26. "TXLPIUSCIS,MMC Transmit LPI microsecond counter interrupt status This bit is set when the Tx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit LPI microsecond Counter Interrupt..,MMC Transmit LPI microsecond Counter Interrupt.."
newline
bitfld.long 0x4 25. "TXOSIZEGPIS,MMC Transmit Oversize Good Packet Counter Interrupt Status This bit is set when the txoversize_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Oversize Good Packet Counter..,MMC Transmit Oversize Good Packet Counter.."
newline
bitfld.long 0x4 24. "TXVLANGPIS,MMC Transmit VLAN Good Packet Counter Interrupt Status This bit is set when the txvlanpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit VLAN Good Packet Counter Interrupt..,MMC Transmit VLAN Good Packet Counter Interrupt.."
newline
bitfld.long 0x4 23. "TXPAUSPIS,MMC Transmit Pause Packet Counter Interrupt Status This bit is set when the txpausepacketserror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Pause Packet Counter Interrupt..,MMC Transmit Pause Packet Counter Interrupt.."
newline
bitfld.long 0x4 22. "TXEXDEFPIS,MMC Transmit Excessive Deferral Packet Counter Interrupt Status This bit is set when the txexcessdef counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Transmit Excessive Deferral Packet Counter..,MMC Transmit Excessive Deferral Packet Counter.."
newline
bitfld.long 0x4 21. "TXGPKTIS,MMC Transmit Good Packet Counter Interrupt Status This bit is set when the txpacketcount_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Good Packet Counter Interrupt..,MMC Transmit Good Packet Counter Interrupt.."
newline
bitfld.long 0x4 20. "TXGOCTIS,MMC Transmit Good Octet Counter Interrupt Status This bit is set when the txoctetcount_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Good Octet Counter Interrupt Status..,MMC Transmit Good Octet Counter Interrupt Status.."
newline
bitfld.long 0x4 19. "TXCARERPIS,MMC Transmit Carrier Error Packet Counter Interrupt Status This bit is set when the txcarriererror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Transmit Carrier Error Packet Counter..,MMC Transmit Carrier Error Packet Counter.."
newline
bitfld.long 0x4 18. "TXEXCOLPIS,MMC Transmit Excessive Collision Packet Counter Interrupt Status This bit is set when the txexesscol counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Transmit Excessive Collision Packet Counter..,MMC Transmit Excessive Collision Packet Counter.."
newline
bitfld.long 0x4 17. "TXLATCOLPIS,MMC Transmit Late Collision Packet Counter Interrupt Status This bit is set when the txlatecol counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Late Collision Packet Counter..,MMC Transmit Late Collision Packet Counter.."
newline
bitfld.long 0x4 16. "TXDEFPIS,MMC Transmit Deferred Packet Counter Interrupt Status This bit is set when the txdeferred counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Deferred Packet Counter Interrupt..,MMC Transmit Deferred Packet Counter Interrupt.."
newline
bitfld.long 0x4 15. "TXMCOLGPIS,MMC Transmit Multiple Collision Good Packet Counter Interrupt Status This bit is set when the txmulticol_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Multiple Collision Good Packet..,MMC Transmit Multiple Collision Good Packet.."
newline
bitfld.long 0x4 14. "TXSCOLGPIS,MMC Transmit Single Collision Good Packet Counter Interrupt Status This bit is set when the txsinglecol_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Single Collision Good Packet..,MMC Transmit Single Collision Good Packet.."
newline
bitfld.long 0x4 13. "TXUFLOWERPIS,MMC Transmit Underflow Error Packet Counter Interrupt Status This bit is set when the txunderflowerror counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Underflow Error Packet Counter..,MMC Transmit Underflow Error Packet Counter.."
newline
bitfld.long 0x4 12. "TXBCGBPIS,MMC Transmit Broadcast Good Bad Packet Counter Interrupt Status This bit is set when the txbroadcastpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Broadcast Good Bad Packet Counter..,MMC Transmit Broadcast Good Bad Packet Counter.."
newline
bitfld.long 0x4 11. "TXMCGBPIS,MMC Transmit Multicast Good Bad Packet Counter Interrupt Status The bit is set when the txmulticastpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Multicast Good Bad Packet Counter..,MMC Transmit Multicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 10. "TXUCGBPIS,MMC Transmit Unicast Good Bad Packet Counter Interrupt Status This bit is set when the txunicastpackets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Unicast Good Bad Packet Counter..,MMC Transmit Unicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 9. "TX1024TMAXOCTGBPIS,MMC Transmit 1024 to Maximum Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read." "MMC Transmit 1024 to Maximum Octet Good Bad..,MMC Transmit 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x4 8. "TX512T1023OCTGBPIS,MMC Transmit 512 to 1023 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx512to1023octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set.." "MMC Transmit 512 to 1023 Octet Good Bad Packet..,MMC Transmit 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x4 7. "TX256T511OCTGBPIS,MMC Transmit 256 to 511 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx256to511octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to.." "MMC Transmit 256 to 511 Octet Good Bad Packet..,MMC Transmit 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x4 6. "TX128T255OCTGBPIS,MMC Transmit 128 to 255 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx128to255octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to.." "MMC Transmit 128 to 255 Octet Good Bad Packet..,MMC Transmit 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x4 5. "TX65T127OCTGBPIS,MMC Transmit 65 to 127 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx65to127octets_gb counter reaches half the maximum value and also when it reaches the maximum value. Access restriction applies. Clears on.." "MMC Transmit 65 to 127 Octet Good Bad Packet..,MMC Transmit 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x4 4. "TX64OCTGBPIS,MMC Transmit 64 Octet Good Bad Packet Counter Interrupt Status This bit is set when the tx64octets_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit 64 Octet Good Bad Packet Counter..,MMC Transmit 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x4 3. "TXMCGPIS,MMC Transmit Multicast Good Packet Counter Interrupt Status This bit is set when the txmulticastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Multicast Good Packet Counter..,MMC Transmit Multicast Good Packet Counter.."
newline
bitfld.long 0x4 2. "TXBCGPIS,MMC Transmit Broadcast Good Packet Counter Interrupt Status This bit is set when the txbroadcastpackets_g counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Transmit Broadcast Good Packet Counter..,MMC Transmit Broadcast Good Packet Counter.."
newline
bitfld.long 0x4 1. "TXGBPKTIS,MMC Transmit Good Bad Packet Counter Interrupt Status This bit is set when the txpacketcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Transmit Good Bad Packet Counter Interrupt..,MMC Transmit Good Bad Packet Counter Interrupt.."
newline
bitfld.long 0x4 0. "TXGBOCTIS,MMC Transmit Good Bad Octet Counter Interrupt Status This bit is set when the txoctetcount_gb counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Transmit Good Bad Octet Counter Interrupt..,MMC Transmit Good Bad Octet Counter Interrupt.."
group.long 0x70C++0x7
line.long 0x0 "MMC_RX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all Receive statistics counters. The MMC Receive Interrupt Mask register maintains the masks for the interrupts generated when receive statistic counters reach half of.."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "RXLPITRCIM,MMC Receive LPI transition counter interrupt Mask Setting this bit masks the interrupt when the Rx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive LPI transition counter interrupt Mask is disabled.." "MMC Receive LPI transition counter interrupt..,MMC Receive LPI transition counter interrupt.."
newline
bitfld.long 0x0 26. "RXLPIUSCIM,MMC Receive LPI microsecond counter interrupt Mask Setting this bit masks the interrupt when the Rx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive LPI microsecond counter interrupt Mask is.." "MMC Receive LPI microsecond counter interrupt..,MMC Receive LPI microsecond counter interrupt.."
newline
bitfld.long 0x0 25. "RXCTRLPIM,MMC Receive Control Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxctrlpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Control Packet Counter Interrupt Mask is disabled.." "MMC Receive Control Packet Counter Interrupt..,MMC Receive Control Packet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXRCVERRPIM,MMC Receive Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxrcverror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Error Packet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Receive Error Packet Counter Interrupt Mask..,MMC Receive Error Packet Counter Interrupt Mask.."
newline
bitfld.long 0x0 23. "RXWDOGPIM,MMC Receive Watchdog Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxwatchdog counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Watchdog Error Packet Counter Interrupt Mask is.." "MMC Receive Watchdog Error Packet Counter..,MMC Receive Watchdog Error Packet Counter.."
newline
bitfld.long 0x0 22. "RXVLANGBPIM,MMC Receive VLAN Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxvlanpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive VLAN Good Bad Packet Counter Interrupt.." "MMC Receive VLAN Good Bad Packet Counter..,MMC Receive VLAN Good Bad Packet Counter.."
newline
bitfld.long 0x0 21. "RXFOVPIM,MMC Receive FIFO Overflow Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxfifooverflow counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive FIFO Overflow Packet Counter Interrupt Mask is.." "MMC Receive FIFO Overflow Packet Counter..,MMC Receive FIFO Overflow Packet Counter.."
newline
bitfld.long 0x0 20. "RXPAUSPIM,MMC Receive Pause Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxpausepackets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Pause Packet Counter Interrupt Mask is disabled 0x1:.." "MMC Receive Pause Packet Counter Interrupt Mask..,MMC Receive Pause Packet Counter Interrupt Mask.."
newline
bitfld.long 0x0 19. "RXORANGEPIM,MMC Receive Out Of Range Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoutofrangetype counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Out Of Range Error Packet Counter.." "MMC Receive Out Of Range Error Packet Counter..,MMC Receive Out Of Range Error Packet Counter.."
newline
bitfld.long 0x0 18. "RXLENERPIM,MMC Receive Length Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxlengtherror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Length Error Packet Counter Interrupt Mask is.." "MMC Receive Length Error Packet Counter..,MMC Receive Length Error Packet Counter.."
newline
bitfld.long 0x0 17. "RXUCGPIM,MMC Receive Unicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxunicastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Unicast Good Packet Counter Interrupt Mask.." "MMC Receive Unicast Good Packet Counter..,MMC Receive Unicast Good Packet Counter.."
newline
bitfld.long 0x0 16. "RX1024TMAXOCTGBPIM,MMC Receive 1024 to Maximum Octet Good Bad Packet Counter Interrupt Mask. Setting this bit masks the interrupt when the rx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 1024 to.." "MMC Receive 1024 to Maximum Octet Good Bad..,MMC Receive 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x0 15. "RX512T1023OCTGBPIM,MMC Receive 512 to 1023 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx512to1023octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 512 to 1023 Octet.." "MMC Receive 512 to 1023 Octet Good Bad Packet..,MMC Receive 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x0 14. "RX256T511OCTGBPIM,MMC Receive 256 to 511 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx256to511octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 256 to 511 Octet Good.." "MMC Receive 256 to 511 Octet Good Bad Packet..,MMC Receive 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x0 13. "RX128T255OCTGBPIM,MMC Receive 128 to 255 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx128to255octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 128 to 255 Octet Good.." "MMC Receive 128 to 255 Octet Good Bad Packet..,MMC Receive 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x0 12. "RX65T127OCTGBPIM,MMC Receive 65 to 127 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx65to127octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 65 to 127 Octet Good Bad.." "MMC Receive 65 to 127 Octet Good Bad Packet..,MMC Receive 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x0 11. "RX64OCTGBPIM,MMC Receive 64 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rx64octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive 64 Octet Good Bad Packet Counter.." "MMC Receive 64 Octet Good Bad Packet Counter..,MMC Receive 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x0 10. "RXOSIZEGPIM,MMC Receive Oversize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoversize_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Oversize Good Packet Counter Interrupt Mask is.." "MMC Receive Oversize Good Packet Counter..,MMC Receive Oversize Good Packet Counter.."
newline
bitfld.long 0x0 9. "RXUSIZEGPIM,MMC Receive Undersize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxundersize_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Undersize Good Packet Counter Interrupt Mask.." "MMC Receive Undersize Good Packet Counter..,MMC Receive Undersize Good Packet Counter.."
newline
bitfld.long 0x0 8. "RXJABERPIM,MMC Receive Jabber Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxjabbererror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Jabber Error Packet Counter Interrupt Mask is.." "MMC Receive Jabber Error Packet Counter..,MMC Receive Jabber Error Packet Counter.."
newline
bitfld.long 0x0 7. "RXRUNTPIM,MMC Receive Runt Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxrunterror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Runt Packet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Receive Runt Packet Counter Interrupt Mask..,MMC Receive Runt Packet Counter Interrupt Mask.."
newline
bitfld.long 0x0 6. "RXALGNERPIM,MMC Receive Alignment Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxalignmenterror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Alignment Error Packet Counter Interrupt.." "MMC Receive Alignment Error Packet Counter..,MMC Receive Alignment Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXCRCERPIM,MMC Receive CRC Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxcrcerror counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive CRC Error Packet Counter Interrupt Mask is disabled.." "MMC Receive CRC Error Packet Counter Interrupt..,MMC Receive CRC Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXMCGPIM,MMC Receive Multicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxmulticastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Multicast Good Packet Counter Interrupt.." "MMC Receive Multicast Good Packet Counter..,MMC Receive Multicast Good Packet Counter.."
newline
bitfld.long 0x0 3. "RXBCGPIM,MMC Receive Broadcast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxbroadcastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Broadcast Good Packet Counter Interrupt.." "MMC Receive Broadcast Good Packet Counter..,MMC Receive Broadcast Good Packet Counter.."
newline
bitfld.long 0x0 2. "RXGOCTIM,MMC Receive Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoctetcount_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Good Octet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Receive Good Octet Counter Interrupt Mask is..,MMC Receive Good Octet Counter Interrupt Mask is.."
newline
bitfld.long 0x0 1. "RXGBOCTIM,MMC Receive Good Bad Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxoctetcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Good Bad Octet Counter Interrupt Mask is disabled.." "MMC Receive Good Bad Octet Counter Interrupt..,MMC Receive Good Bad Octet Counter Interrupt.."
newline
bitfld.long 0x0 0. "RXGBPKTIM,MMC Receive Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxpacketcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive Good Bad Packet Counter Interrupt Mask is.." "MMC Receive Good Bad Packet Counter Interrupt..,MMC Receive Good Bad Packet Counter Interrupt.."
line.long 0x4 "MMC_TX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all Transmit statistics counters. The MMC Transmit Interrupt Mask register maintains the masks for the interrupts generated when the transmit statistic counters reach.."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x4 27. "TXLPITRCIM,MMC Transmit LPI transition counter interrupt Mask Setting this bit masks the interrupt when the Tx_LPI_Tran_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit LPI transition counter interrupt Mask is.." "MMC Transmit LPI transition counter interrupt..,MMC Transmit LPI transition counter interrupt.."
newline
bitfld.long 0x4 26. "TXLPIUSCIM,MMC Transmit LPI microsecond counter interrupt Mask Setting this bit masks the interrupt when the Tx_LPI_USEC_Cntr counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit LPI microsecond counter interrupt Mask is.." "MMC Transmit LPI microsecond counter interrupt..,MMC Transmit LPI microsecond counter interrupt.."
newline
bitfld.long 0x4 25. "TXOSIZEGPIM,MMC Transmit Oversize Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txoversize_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Oversize Good Packet Counter Interrupt Mask.." "MMC Transmit Oversize Good Packet Counter..,MMC Transmit Oversize Good Packet Counter.."
newline
bitfld.long 0x4 24. "TXVLANGPIM,MMC Transmit VLAN Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txvlanpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit VLAN Good Packet Counter Interrupt Mask is.." "MMC Transmit VLAN Good Packet Counter Interrupt..,MMC Transmit VLAN Good Packet Counter Interrupt.."
newline
bitfld.long 0x4 23. "TXPAUSPIM,MMC Transmit Pause Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpausepackets counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Pause Packet Counter Interrupt Mask is disabled 0x1:.." "MMC Transmit Pause Packet Counter Interrupt Mask..,MMC Transmit Pause Packet Counter Interrupt Mask.."
newline
bitfld.long 0x4 22. "TXEXDEFPIM,MMC Transmit Excessive Deferral Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txexcessdef counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Excessive Deferral Packet Counter.." "MMC Transmit Excessive Deferral Packet Counter..,MMC Transmit Excessive Deferral Packet Counter.."
newline
bitfld.long 0x4 21. "TXGPKTIM,MMC Transmit Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpacketcount_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Packet Counter Interrupt Mask is disabled 0x1:.." "MMC Transmit Good Packet Counter Interrupt Mask..,MMC Transmit Good Packet Counter Interrupt Mask.."
newline
bitfld.long 0x4 20. "TXGOCTIM,MMC Transmit Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the txoctetcount_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Octet Counter Interrupt Mask is disabled 0x1: MMC.." "MMC Transmit Good Octet Counter Interrupt Mask..,MMC Transmit Good Octet Counter Interrupt Mask.."
newline
bitfld.long 0x4 19. "TXCARERPIM,MMC Transmit Carrier Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txcarriererror counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Carrier Error Packet Counter Interrupt Mask.." "MMC Transmit Carrier Error Packet Counter..,MMC Transmit Carrier Error Packet Counter.."
newline
bitfld.long 0x4 18. "TXEXCOLPIM,MMC Transmit Excessive Collision Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txexcesscol counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Excessive Collision Packet Counter.." "MMC Transmit Excessive Collision Packet Counter..,MMC Transmit Excessive Collision Packet Counter.."
newline
bitfld.long 0x4 17. "TXLATCOLPIM,MMC Transmit Late Collision Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txlatecol counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Late Collision Packet Counter Interrupt Mask.." "MMC Transmit Late Collision Packet Counter..,MMC Transmit Late Collision Packet Counter.."
newline
bitfld.long 0x4 16. "TXDEFPIM,MMC Transmit Deferred Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txdeferred counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Deferred Packet Counter Interrupt Mask is disabled.." "MMC Transmit Deferred Packet Counter Interrupt..,MMC Transmit Deferred Packet Counter Interrupt.."
newline
bitfld.long 0x4 15. "TXMCOLGPIM,MMC Transmit Multiple Collision Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticol_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Multiple Collision Good Packet.." "MMC Transmit Multiple Collision Good Packet..,MMC Transmit Multiple Collision Good Packet.."
newline
bitfld.long 0x4 14. "TXSCOLGPIM,MMC Transmit Single Collision Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txsinglecol_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Single Collision Good Packet Counter.." "MMC Transmit Single Collision Good Packet..,MMC Transmit Single Collision Good Packet.."
newline
bitfld.long 0x4 13. "TXUFLOWERPIM,MMC Transmit Underflow Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txunderflowerror counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Underflow Error Packet Counter.." "MMC Transmit Underflow Error Packet Counter..,MMC Transmit Underflow Error Packet Counter.."
newline
bitfld.long 0x4 12. "TXBCGBPIM,MMC Transmit Broadcast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txbroadcastpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Broadcast Good Bad Packet.." "MMC Transmit Broadcast Good Bad Packet Counter..,MMC Transmit Broadcast Good Bad Packet Counter.."
newline
bitfld.long 0x4 11. "TXMCGBPIM,MMC Transmit Multicast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticastpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Multicast Good Bad Packet.." "MMC Transmit Multicast Good Bad Packet Counter..,MMC Transmit Multicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 10. "TXUCGBPIM,MMC Transmit Unicast Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txunicastpackets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Unicast Good Bad Packet Counter.." "MMC Transmit Unicast Good Bad Packet Counter..,MMC Transmit Unicast Good Bad Packet Counter.."
newline
bitfld.long 0x4 9. "TX1024TMAXOCTGBPIM,MMC Transmit 1024 to Maximum Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx1024tomaxoctets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 1024 to.." "MMC Transmit 1024 to Maximum Octet Good Bad..,MMC Transmit 1024 to Maximum Octet Good Bad.."
newline
bitfld.long 0x4 8. "TX512T1023OCTGBPIM,MMC Transmit 512 to 1023 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx512to1023octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 512 to 1023.." "MMC Transmit 512 to 1023 Octet Good Bad Packet..,MMC Transmit 512 to 1023 Octet Good Bad Packet.."
newline
bitfld.long 0x4 7. "TX256T511OCTGBPIM,MMC Transmit 256 to 511 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx256to511octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 256 to 511 Octet.." "MMC Transmit 256 to 511 Octet Good Bad Packet..,MMC Transmit 256 to 511 Octet Good Bad Packet.."
newline
bitfld.long 0x4 6. "TX128T255OCTGBPIM,MMC Transmit 128 to 255 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx128to255octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 128 to 255 Octet.." "MMC Transmit 128 to 255 Octet Good Bad Packet..,MMC Transmit 128 to 255 Octet Good Bad Packet.."
newline
bitfld.long 0x4 5. "TX65T127OCTGBPIM,MMC Transmit 65 to 127 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx65to127octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 65 to 127 Octet Good.." "MMC Transmit 65 to 127 Octet Good Bad Packet..,MMC Transmit 65 to 127 Octet Good Bad Packet.."
newline
bitfld.long 0x4 4. "TX64OCTGBPIM,MMC Transmit 64 Octet Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the tx64octets_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit 64 Octet Good Bad Packet Counter.." "MMC Transmit 64 Octet Good Bad Packet Counter..,MMC Transmit 64 Octet Good Bad Packet Counter.."
newline
bitfld.long 0x4 3. "TXMCGPIM,MMC Transmit Multicast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txmulticastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Multicast Good Packet Counter.." "MMC Transmit Multicast Good Packet Counter..,MMC Transmit Multicast Good Packet Counter.."
newline
bitfld.long 0x4 2. "TXBCGPIM,MMC Transmit Broadcast Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txbroadcastpackets_g counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Broadcast Good Packet Counter.." "MMC Transmit Broadcast Good Packet Counter..,MMC Transmit Broadcast Good Packet Counter.."
newline
bitfld.long 0x4 1. "TXGBPKTIM,MMC Transmit Good Bad Packet Counter Interrupt Mask Setting this bit masks the interrupt when the txpacketcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Bad Packet Counter Interrupt Mask is.." "MMC Transmit Good Bad Packet Counter Interrupt..,MMC Transmit Good Bad Packet Counter Interrupt.."
newline
bitfld.long 0x4 0. "TXGBOCTIM,MMC Transmit Good Bad Octet Counter Interrupt Mask Setting this bit masks the interrupt when the txoctetcount_gb counter reaches half of the maximum value or the maximum value. 0x0: MMC Transmit Good Bad Octet Counter Interrupt Mask is disabled.." "MMC Transmit Good Bad Octet Counter Interrupt..,MMC Transmit Good Bad Octet Counter Interrupt.."
rgroup.long 0x714++0x67
line.long 0x0 "TX_OCTET_COUNT_GOOD_BAD,This register provides the number of bytes transmitted by the DWC_ether_qos. exclusive of preamble and retried bytes. in good and bad packets."
hexmask.long 0x0 0.--31. 1. "TXOCTGB,Tx Octet Count Good Bad This field indicates the number of bytes transmitted exclusive of preamble and retried bytes in good and bad packets."
line.long 0x4 "TX_PACKET_COUNT_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos. exclusive of retried packets."
hexmask.long 0x4 0.--31. 1. "TXPKTGB,Tx Packet Count Good Bad This field indicates the number of good and bad packets transmitted exclusive of retried packets."
line.long 0x8 "TX_BROADCAST_PACKETS_GOOD,This register provides the number of good broadcast packets transmitted by DWC_ether_qos."
hexmask.long 0x8 0.--31. 1. "TXBCASTG,Tx Broadcast Packets Good This field indicates the number of good broadcast packets transmitted."
line.long 0xC "TX_MULTICAST_PACKETS_GOOD,This register provides the number of good multicast packets transmitted by DWC_ether_qos."
hexmask.long 0xC 0.--31. 1. "TXMCASTG,Tx Multicast Packets Good This field indicates the number of good multicast packets transmitted."
line.long 0x10 "TX_64OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length 64 bytes. exclusive of preamble and retried packets."
hexmask.long 0x10 0.--31. 1. "TX64OCTGB,Tx 64Octets Packets Good_Bad This field indicates the number of good and bad packets transmitted with length 64 bytes exclusive of preamble and retried packets."
line.long 0x14 "TX_65TO127OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length between 65 and 127 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x14 0.--31. 1. "TX65_127OCTGB,Tx 65To127Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 65 and 127 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x18 "TX_128TO255OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length between 128 to 255 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x18 0.--31. 1. "TX128_255OCTGB,Tx 128To255Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 128 and 255 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x1C "TX_256TO511OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length between 256 to 511 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x1C 0.--31. 1. "TX256_511OCTGB,Tx 256To511Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 256 and 511 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x20 "TX_512TO1023OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length 512 to 1023 (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x20 0.--31. 1. "TX512_1023OCTGB,Tx 512To1023Octets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 512 and 1023 (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x24 "TX_1024TOMAXOCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets transmitted by DWC_ether_qos with length 1024 to maxsize (inclusive) bytes. exclusive of preamble and retried packets."
hexmask.long 0x24 0.--31. 1. "TX1024_MAXOCTGB,Tx 1024ToMaxOctets Packets Good Bad This field indicates the number of good and bad packets transmitted with length between 1024 and maxsize (inclusive) bytes exclusive of preamble and retried packets."
line.long 0x28 "TX_UNICAST_PACKETS_GOOD_BAD,This register provides the number of good and bad unicast packets transmitted by DWC_ether_qos."
hexmask.long 0x28 0.--31. 1. "TXUCASTGB,Tx Unicast Packets Good Bad This field indicates the number of good and bad unicast packets transmitted."
line.long 0x2C "TX_MULTICAST_PACKETS_GOOD_BAD,This register provides the number of good and bad multicast packets transmitted by DWC_ether_qos."
hexmask.long 0x2C 0.--31. 1. "TXMCASTGB,Tx Multicast Packets Good Bad This field indicates the number of good and bad multicast packets transmitted."
line.long 0x30 "TX_BROADCAST_PACKETS_GOOD_BAD,This register provides the number of good and bad broadcast packets transmitted by DWC_ether_qos."
hexmask.long 0x30 0.--31. 1. "TXBCASTGB,Tx Broadcast Packets Good Bad This field indicates the number of good and bad broadcast packets transmitted."
line.long 0x34 "TX_UNDERFLOW_ERROR_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of packets underflow error."
hexmask.long 0x34 0.--31. 1. "TXUNDRFLW,Tx Underflow Error Packets This field indicates the number of packets aborted because of packets underflow error."
line.long 0x38 "TX_SINGLE_COLLISION_GOOD_PACKETS,This register provides the number of successfully transmitted packets by DWC_ether_qos after a single collision in the half-duplex mode."
hexmask.long 0x38 0.--31. 1. "TXSNGLCOLG,Tx Single Collision Good Packets This field indicates the number of successfully transmitted packets after a single collision in the half-duplex mode."
line.long 0x3C "TX_MULTIPLE_COLLISION_GOOD_PACKETS,This register provides the number of successfully transmitted packets by DWC_ether_qos after multiple collisions in the half-duplex mode."
hexmask.long 0x3C 0.--31. 1. "TXMULTCOLG,Tx Multiple Collision Good Packets This field indicates the number of successfully transmitted packets after multiple collisions in the half-duplex mode."
line.long 0x40 "TX_DEFERRED_PACKETS,This register provides the number of successfully transmitted by DWC_ether_qos after a deferral in the half-duplex mode."
hexmask.long 0x40 0.--31. 1. "TXDEFRD,Tx Deferred Packets This field indicates the number of successfully transmitted after a deferral in the half-duplex mode."
line.long 0x44 "TX_LATE_COLLISION_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of late collision error."
hexmask.long 0x44 0.--31. 1. "TXLATECOL,Tx Late Collision Packets This field indicates the number of packets aborted because of late collision error."
line.long 0x48 "TX_EXCESSIVE_COLLISION_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of excessive (16) collision errors."
hexmask.long 0x48 0.--31. 1. "TXEXSCOL,Tx Excessive Collision Packets This field indicates the number of packets aborted because of excessive (16) collision errors."
line.long 0x4C "TX_CARRIER_ERROR_PACKETS,This register provides the number of packets aborted by DWC_ether_qos because of carrier sense error (no carrier or loss of carrier)."
hexmask.long 0x4C 0.--31. 1. "TXCARR,Tx Carrier Error Packets This field indicates the number of packets aborted because of carrier sense error (no carrier or loss of carrier)."
line.long 0x50 "TX_OCTET_COUNT_GOOD,This register provides the number of bytes transmitted by DWC_ether_qos. exclusive of preamble. only in good packets."
hexmask.long 0x50 0.--31. 1. "TXOCTG,Tx Octet Count Good This field indicates the number of bytes transmitted exclusive of preamble only in good packets."
line.long 0x54 "TX_PACKET_COUNT_GOOD,This register provides the number of good packets transmitted by DWC_ether_qos."
hexmask.long 0x54 0.--31. 1. "TXPKTG,Tx Packet Count Good This field indicates the number of good packets transmitted."
line.long 0x58 "TX_EXCESSIVE_DEFERRAL_ERROR,This register provides the number of packets aborted by DWC_ether_qos because of excessive deferral error (deferred for more than two max-sized packet times)."
hexmask.long 0x58 0.--31. 1. "TXEXSDEF,Tx Excessive Deferral Error This field indicates the number of packets aborted because of excessive deferral error (deferred for more than two max-sized packet times)."
line.long 0x5C "TX_PAUSE_PACKETS,This register provides the number of good Pause packets transmitted by DWC_ether_qos."
hexmask.long 0x5C 0.--31. 1. "TXPAUSE,Tx Pause Packets This field indicates the number of good Pause packets transmitted."
line.long 0x60 "TX_VLAN_PACKETS_GOOD,This register provides the number of good VLAN packets transmitted by DWC_ether_qos."
hexmask.long 0x60 0.--31. 1. "TXVLANG,Tx VLAN Packets Good This field provides the number of good VLAN packets transmitted."
line.long 0x64 "TX_OSIZE_PACKETS_GOOD,This register provides the number of packets transmitted by DWC_ether_qos without errors and with length greater than the maxsize (1.518 or 1.522 bytes for VLAN tagged packets; 2000 bytes if enabled in S2KP bit of the.."
hexmask.long 0x64 0.--31. 1. "TXOSIZG,Tx OSize Packets Good This field indicates the number of packets transmitted without errors and with length greater than the maxsize (1 518 or 1 522 bytes for VLAN tagged packets; 2000 bytes if enabled in S2KP bit of the MAC_Configuration.."
rgroup.long 0x780++0x67
line.long 0x0 "RX_PACKETS_COUNT_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos."
hexmask.long 0x0 0.--31. 1. "RXPKTGB,Rx Packets Count Good Bad This field indicates the number of good and bad packets received."
line.long 0x4 "RX_OCTET_COUNT_GOOD_BAD,This register provides the number of bytes received by DWC_ther_qos. exclusive of preamble. in good and bad packets."
hexmask.long 0x4 0.--31. 1. "RXOCTGB,Rx Octet Count Good Bad This field indicates the number of bytes received exclusive of preamble in good and bad packets."
line.long 0x8 "RX_OCTET_COUNT_GOOD,This register provides the number of bytes received by DWC_ether_qos. exclusive of preamble. only in good packets."
hexmask.long 0x8 0.--31. 1. "RXOCTG,Rx Octet Count Good This field indicates the number of bytes received exclusive of preamble only in good packets."
line.long 0xC "RX_BROADCAST_PACKETS_GOOD,This register provides the number of good broadcast packets received by DWC_ether_qos."
hexmask.long 0xC 0.--31. 1. "RXBCASTG,Rx Broadcast Packets Good This field indicates the number of good broadcast packets received."
line.long 0x10 "RX_MULTICAST_PACKETS_GOOD,This register provides the number of good multicast packets received by DWC_ether_qos."
hexmask.long 0x10 0.--31. 1. "RXMCASTG,Rx Multicast Packets Good This field indicates the number of good multicast packets received."
line.long 0x14 "RX_CRC_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with CRC error."
hexmask.long 0x14 0.--31. 1. "RXCRCERR,Rx CRC Error Packets This field indicates the number of packets received with CRC error."
line.long 0x18 "RX_ALIGNMENT_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with alignment (dribble) error. It is valid only in 10/100 mode."
hexmask.long 0x18 0.--31. 1. "RXALGNERR,Rx Alignment Error Packets This field indicates the number of packets received with alignment (dribble) error. It is valid only in 10/100 mode."
line.long 0x1C "RX_RUNT_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with runt (length less than 64 bytes and CRC error) error."
hexmask.long 0x1C 0.--31. 1. "RXRUNTERR,Rx Runt Error Packets This field indicates the number of packets received with runt (length less than 64 bytes and CRC error) error."
line.long 0x20 "RX_JABBER_ERROR_PACKETS,This register provides the number of giant packets received by DWC_ether_qos with length (including CRC) greater than 1.518 bytes (1.522 bytes for VLAN tagged) and with CRC error. If Jumbo Packet mode is enabled. packets of length.."
hexmask.long 0x20 0.--31. 1. "RXJABERR,Rx Jabber Error Packets This field indicates the number of giant packets received with length (including CRC) greater than 1 518 bytes (1 522 bytes for VLAN tagged) and with CRC error. If Jumbo Packet mode is enabled packets of length greater.."
line.long 0x24 "RX_UNDERSIZE_PACKETS_GOOD,This register provides the number of packets received by DWC_ether_qos with length less than 64 bytes. without any errors."
hexmask.long 0x24 0.--31. 1. "RXUNDERSZG,Rx Undersize Packets Good This field indicates the number of packets received with length less than 64 bytes without any errors."
line.long 0x28 "RX_OVERSIZE_PACKETS_GOOD,This register provides the number of packets received by DWC_ether_qos without errors. with length greater than the maxsize (1.518 bytes or 1.522 bytes for VLAN tagged packets; 2000 bytes if enabled in the S2KP bit of the.."
hexmask.long 0x28 0.--31. 1. "RXOVERSZG,Rx Oversize Packets Good This field indicates the number of packets received without errors with length greater than the maxsize (1 518 bytes or 1 522 bytes for VLAN tagged packets; 2000 bytes if enabled in the S2KP bit of the.."
line.long 0x2C "RX_64OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length 64 bytes. exclusive of the preamble."
hexmask.long 0x2C 0.--31. 1. "RX64OCTGB,Rx 64 Octets Packets Good Bad This field indicates the number of good and bad packets received with length 64 bytes exclusive of the preamble."
line.long 0x30 "RX_65TO127OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 65 and 127 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x30 0.--31. 1. "RX65_127OCTGB,Rx 65-127 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 65 and 127 (inclusive) bytes exclusive of the preamble."
line.long 0x34 "RX_128TO255OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 128 and 255 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x34 0.--31. 1. "RX128_255OCTGB,Rx 128-255 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 128 and 255 (inclusive) bytes exclusive of the preamble."
line.long 0x38 "RX_256TO511OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 256 and 511 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x38 0.--31. 1. "RX256_511OCTGB,Rx 256-511 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 256 and 511 (inclusive) bytes exclusive of the preamble."
line.long 0x3C "RX_512TO1023OCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 512 and 1023 (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x3C 0.--31. 1. "RX512_1023OCTGB,RX 512-1023 Octets Packets Good Bad This field indicates the number of good and bad packets received with length between 512 and 1023 (inclusive) bytes exclusive of the preamble."
line.long 0x40 "RX_1024TOMAXOCTETS_PACKETS_GOOD_BAD,This register provides the number of good and bad packets received by DWC_ether_qos with length between 1024 and maxsize (inclusive) bytes. exclusive of the preamble."
hexmask.long 0x40 0.--31. 1. "RX1024_MAXOCTGB,Rx 1024-Max Octets Good Bad This field indicates the number of good and bad packets received with length between 1024 and maxsize (inclusive) bytes exclusive of the preamble."
line.long 0x44 "RX_UNICAST_PACKETS_GOOD,This register provides the number of good unicast packets received by DWC_ether_qos."
hexmask.long 0x44 0.--31. 1. "RXUCASTG,Rx Unicast Packets Good This field indicates the number of good unicast packets received."
line.long 0x48 "RX_LENGTH_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with length error (Length Type field not equal to packet size). for all packets with valid length field."
hexmask.long 0x48 0.--31. 1. "RXLENERR,Rx Length Error Packets This field indicates the number of packets received with length error (Length Type field not equal to packet size) for all packets with valid length field."
line.long 0x4C "RX_OUT_OF_RANGE_TYPE_PACKETS,This register provides the number of packets received by DWC_ether_qos with length field not equal to the valid packet size (greater than 1.500 but less than 1.536)."
hexmask.long 0x4C 0.--31. 1. "RXOUTOFRNG,Rx Out of Range Type Packet This field indicates the number of packets received with length field not equal to the valid packet size (greater than 1 500 but less than 1 536)."
line.long 0x50 "RX_PAUSE_PACKETS,This register provides the number of good and valid Pause packets received by DWC_ether_qos."
hexmask.long 0x50 0.--31. 1. "RXPAUSEPKT,Rx Pause Packets This field indicates the number of good and valid Pause packets received."
line.long 0x54 "RX_FIFO_OVERFLOW_PACKETS,This register provides the number of missed received packets because of FIFO overflow in DWC_ether_qos."
hexmask.long 0x54 0.--31. 1. "RXFIFOOVFL,Rx FIFO Overflow Packets This field indicates the number of missed received packets because of FIFO overflow."
line.long 0x58 "RX_VLAN_PACKETS_GOOD_BAD,This register provides the number of good and bad VLAN packets received by DWC_ether_qos."
hexmask.long 0x58 0.--31. 1. "RXVLANPKTGB,Rx VLAN Packets Good Bad This field indicates the number of good and bad VLAN packets received."
line.long 0x5C "RX_WATCHDOG_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with error because of watchdog timeout error (packets with a data load larger than 2.048 bytes (when JE and WD bits are reset in MAC_Configuration register)."
hexmask.long 0x5C 0.--31. 1. "RXWDGERR,Rx Watchdog Error Packets This field indicates the number of packets received with error because of watchdog timeout error (packets with a data load larger than 2 048 bytes (when JE and WD bits are reset in MAC_Configuration register) 10 240.."
line.long 0x60 "RX_RECEIVE_ERROR_PACKETS,This register provides the number of packets received by DWC_ether_qos with Receive error or Packet Extension error on the GMII or MII interface."
hexmask.long 0x60 0.--31. 1. "RXRCVERR,Rx Receive Error Packets This field indicates the number of packets received with Receive error or Packet Extension error on the GMII or MII interface."
line.long 0x64 "RX_CONTROL_PACKETS_GOOD,This register provides the number of good control packets received by DWC_ether_qos."
hexmask.long 0x64 0.--31. 1. "RXCTRLG,Rx Control Packets Good This field indicates the number of good control packets received."
rgroup.long 0x7EC++0xF
line.long 0x0 "TX_LPI_USEC_CNTR,This register provides the number of microseconds Tx LPI is asserted by DWC_ether_qos."
hexmask.long 0x0 0.--31. 1. "TXLPIUSC,Tx LPI Microseconds Counter This field indicates the number of microseconds Tx LPI is asserted. For every Tx LPI Entry and Exit the Timer value can have an error of +/- 1 microsecond."
line.long 0x4 "TX_LPI_TRAN_CNTR,This register provides the number of times DWC_ether_qos has entered Tx LPI."
hexmask.long 0x4 0.--31. 1. "TXLPITRC,Tx LPI Transition counter This field indicates the number of times Tx LPI Entry has occurred. Even if Tx LPI Entry occurs in Automate Mode (because of LPITXA bit set in the LPI Control and Status register) the counter will increment."
line.long 0x8 "RX_LPI_USEC_CNTR,This register provides the number of microseconds Rx LPI is sampled by DWC_ether_qos."
hexmask.long 0x8 0.--31. 1. "RXLPIUSC,Rx LPI Microseconds Counter This field indicates the number of microseconds Rx LPI is asserted. For every Rx LPI Entry and Exit the Timer value can have an error of +/- 1 microsecond."
line.long 0xC "RX_LPI_TRAN_CNTR,This register provides the number of times DWC_ether_qos has entered Rx LPI."
hexmask.long 0xC 0.--31. 1. "RXLPITRC,Rx LPI Transition counter This field indicates the number of times Rx LPI Entry has occurred."
group.long 0x800++0x3
line.long 0x0 "MMC_IPC_RX_INTERRUPT_MASK,This register maintains the mask for the interrupt generated from the receive IPC statistic counters. The MMC Receive Checksum Off load Interrupt Mask register maintains the masks for the interrupts generated when the receive.."
rbitfld.long 0x0 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 29. "RXICMPEROIM,MMC Receive ICMP Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_err_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Error Octet Counter Interrupt Mask is.." "MMC Receive ICMP Error Octet Counter Interrupt..,MMC Receive ICMP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 28. "RXICMPGOIM,MMC Receive ICMP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Good Octet Counter Interrupt Mask is.." "MMC Receive ICMP Good Octet Counter Interrupt..,MMC Receive ICMP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 27. "RXTCPEROIM,MMC Receive TCP Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_err_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Error Octet Counter Interrupt Mask is.." "MMC Receive TCP Error Octet Counter Interrupt..,MMC Receive TCP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 26. "RXTCPGOIM,MMC Receive TCP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Good Octet Counter Interrupt Mask is disabled.." "MMC Receive TCP Good Octet Counter Interrupt..,MMC Receive TCP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 25. "RXUDPEROIM,MMC Receive UDP Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_err_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive UDP Good Octet Counter Interrupt Mask is disabled.." "MMC Receive UDP Good Octet Counter Interrupt..,MMC Receive UDP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXUDPGOIM,MMC Receive IPV6 No Payload Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 No Payload Octet Counter Interrupt Mask.." "MMC Receive IPV6 No Payload Octet Counter..,MMC Receive IPV6 No Payload Octet Counter.."
newline
bitfld.long 0x0 23. "RXIPV6NOPAYOIM,MMC Receive IPV6 Header Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_nopay_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Header Error Octet Counter.." "MMC Receive IPV6 Header Error Octet Counter..,MMC Receive IPV6 Header Error Octet Counter.."
newline
bitfld.long 0x0 22. "RXIPV6HEROIM,MMC Receive IPV6 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_hdrerr_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Good Octet Counter Interrupt Mask is.." "MMC Receive IPV6 Good Octet Counter Interrupt..,MMC Receive IPV6 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 21. "RXIPV6GOIM,MMC Receive IPV6 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Good Octet Counter Interrupt Mask is.." "MMC Receive IPV6 Good Octet Counter Interrupt..,MMC Receive IPV6 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 20. "RXIPV4UDSBLOIM,MMC Receive IPV4 UDP Checksum Disabled Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_udsbl_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 UDP Checksum.." "MMC Receive IPV4 UDP Checksum Disabled Octet..,MMC Receive IPV4 UDP Checksum Disabled Octet.."
newline
bitfld.long 0x0 19. "RXIPV4FRAGOIM,MMC Receive IPV4 Fragmented Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_frag_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Fragmented Octet Counter.." "MMC Receive IPV4 Fragmented Octet Counter..,MMC Receive IPV4 Fragmented Octet Counter.."
newline
bitfld.long 0x0 18. "RXIPV4NOPAYOIM,MMC Receive IPV4 No Payload Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_nopay_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 No Payload Octet Counter.." "MMC Receive IPV4 No Payload Octet Counter..,MMC Receive IPV4 No Payload Octet Counter.."
newline
bitfld.long 0x0 17. "RXIPV4HEROIM,MMC Receive IPV4 Header Error Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_hdrerr_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Header Error Octet Counter.." "MMC Receive IPV4 Header Error Octet Counter..,MMC Receive IPV4 Header Error Octet Counter.."
newline
bitfld.long 0x0 16. "RXIPV4GOIM,MMC Receive IPV4 Good Octet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_gd_octets counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Good Octet Counter Interrupt Mask is.." "MMC Receive IPV4 Good Octet Counter Interrupt..,MMC Receive IPV4 Good Octet Counter Interrupt.."
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 13. "RXICMPERPIM,MMC Receive ICMP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_err_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Error Packet Counter Interrupt Mask is.." "MMC Receive ICMP Error Packet Counter Interrupt..,MMC Receive ICMP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 12. "RXICMPGPIM,MMC Receive ICMP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxicmp_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive ICMP Good Packet Counter Interrupt Mask is.." "MMC Receive ICMP Good Packet Counter Interrupt..,MMC Receive ICMP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 11. "RXTCPERPIM,MMC Receive TCP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_err_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Error Packet Counter Interrupt Mask is.." "MMC Receive TCP Error Packet Counter Interrupt..,MMC Receive TCP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 10. "RXTCPGPIM,MMC Receive TCP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxtcp_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive TCP Good Packet Counter Interrupt Mask is disabled.." "MMC Receive TCP Good Packet Counter Interrupt..,MMC Receive TCP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 9. "RXUDPERPIM,MMC Receive UDP Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_err_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive UDP Error Packet Counter Interrupt Mask is.." "MMC Receive UDP Error Packet Counter Interrupt..,MMC Receive UDP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 8. "RXUDPGPIM,MMC Receive UDP Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxudp_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive UDP Good Packet Counter Interrupt Mask is disabled.." "MMC Receive UDP Good Packet Counter Interrupt..,MMC Receive UDP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 7. "RXIPV6NOPAYPIM,MMC Receive IPV6 No Payload Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_nopay_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 No Payload Packet Counter.." "MMC Receive IPV6 No Payload Packet Counter..,MMC Receive IPV6 No Payload Packet Counter.."
newline
bitfld.long 0x0 6. "RXIPV6HERPIM,MMC Receive IPV6 Header Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_hdrerr_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Header Error Packet Counter.." "MMC Receive IPV6 Header Error Packet Counter..,MMC Receive IPV6 Header Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXIPV6GPIM,MMC Receive IPV6 Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv6_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV6 Good Packet Counter Interrupt Mask is.." "MMC Receive IPV6 Good Packet Counter Interrupt..,MMC Receive IPV6 Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXIPV4UDSBLPIM,MMC Receive IPV4 UDP Checksum Disabled Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_udsbl_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 UDP Checksum.." "MMC Receive IPV4 UDP Checksum Disabled Packet..,MMC Receive IPV4 UDP Checksum Disabled Packet.."
newline
bitfld.long 0x0 3. "RXIPV4FRAGPIM,MMC Receive IPV4 Fragmented Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_frag_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Fragmented Packet Counter.." "MMC Receive IPV4 Fragmented Packet Counter..,MMC Receive IPV4 Fragmented Packet Counter.."
newline
bitfld.long 0x0 2. "RXIPV4NOPAYPIM,MMC Receive IPV4 No Payload Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_nopay_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 No Payload Packet Counter.." "MMC Receive IPV4 No Payload Packet Counter..,MMC Receive IPV4 No Payload Packet Counter.."
newline
bitfld.long 0x0 1. "RXIPV4HERPIM,MMC Receive IPV4 Header Error Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_hdrerr_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Header Error Packet Counter.." "MMC Receive IPV4 Header Error Packet Counter..,MMC Receive IPV4 Header Error Packet Counter.."
newline
bitfld.long 0x0 0. "RXIPV4GPIM,MMC Receive IPV4 Good Packet Counter Interrupt Mask Setting this bit masks the interrupt when the rxipv4_gd_pkts counter reaches half of the maximum value or the maximum value. 0x0: MMC Receive IPV4 Good Packet Counter Interrupt Mask is.." "MMC Receive IPV4 Good Packet Counter Interrupt..,MMC Receive IPV4 Good Packet Counter Interrupt.."
rgroup.long 0x808++0x3
line.long 0x0 "MMC_IPC_RX_INTERRUPT,This register maintains the interrupt that the receive IPC statistic counters generate. The MMC Receive Checksum Offload Interrupt register maintains the interrupts generated when receive IPC statistic counters reach half their.."
bitfld.long 0x0 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 29. "RXICMPEROIS,MMC Receive ICMP Error Octet Counter Interrupt Status This bit is set when the rxicmp_err_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive ICMP Error Octet Counter Interrupt..,MMC Receive ICMP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 28. "RXICMPGOIS,MMC Receive ICMP Good Octet Counter Interrupt Status This bit is set when the rxicmp_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive ICMP Good Octet Counter Interrupt..,MMC Receive ICMP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 27. "RXTCPEROIS,MMC Receive TCP Error Octet Counter Interrupt Status This bit is set when the rxtcp_err_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive TCP Error Octet Counter Interrupt..,MMC Receive TCP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 26. "RXTCPGOIS,MMC Receive TCP Good Octet Counter Interrupt Status This bit is set when the rxtcp_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive TCP Good Octet Counter Interrupt..,MMC Receive TCP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 25. "RXUDPEROIS,MMC Receive UDP Error Octet Counter Interrupt Status This bit is set when the rxudp_err_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive UDP Error Octet Counter Interrupt..,MMC Receive UDP Error Octet Counter Interrupt.."
newline
bitfld.long 0x0 24. "RXUDPGOIS,MMC Receive UDP Good Octet Counter Interrupt Status This bit is set when the rxudp_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive UDP Good Octet Counter Interrupt..,MMC Receive UDP Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 23. "RXIPV6NOPAYOIS,MMC Receive IPV6 No Payload Octet Counter Interrupt Status This bit is set when the rxipv6_nopay_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 No Payload Octet Counter..,MMC Receive IPV6 No Payload Octet Counter.."
newline
bitfld.long 0x0 22. "RXIPV6HEROIS,MMC Receive IPV6 Header Error Octet Counter Interrupt Status This bit is set when the rxipv6_hdrerr_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 Header Error Octet Counter..,MMC Receive IPV6 Header Error Octet Counter.."
newline
bitfld.long 0x0 21. "RXIPV6GOIS,MMC Receive IPV6 Good Octet Counter Interrupt Status This bit is set when the rxipv6_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive IPV6 Good Octet Counter Interrupt..,MMC Receive IPV6 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 20. "RXIPV4UDSBLOIS,MMC Receive IPV4 UDP Checksum Disabled Octet Counter Interrupt Status This bit is set when the rxipv4_udsbl_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on.." "MMC Receive IPV4 UDP Checksum Disabled Octet..,MMC Receive IPV4 UDP Checksum Disabled Octet.."
newline
bitfld.long 0x0 19. "RXIPV4FRAGOIS,MMC Receive IPV4 Fragmented Octet Counter Interrupt Status This bit is set when the rxipv4_frag_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Fragmented Octet Counter..,MMC Receive IPV4 Fragmented Octet Counter.."
newline
bitfld.long 0x0 18. "RXIPV4NOPAYOIS,MMC Receive IPV4 No Payload Octet Counter Interrupt Status This bit is set when the rxipv4_nopay_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 No Payload Octet Counter..,MMC Receive IPV4 No Payload Octet Counter.."
newline
bitfld.long 0x0 17. "RXIPV4HEROIS,MMC Receive IPV4 Header Error Octet Counter Interrupt Status This bit is set when the rxipv4_hdrerr_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Header Error Octet Counter..,MMC Receive IPV4 Header Error Octet Counter.."
newline
bitfld.long 0x0 16. "RXIPV4GOIS,MMC Receive IPV4 Good Octet Counter Interrupt Status This bit is set when the rxipv4_gd_octets counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive IPV4 Good Octet Counter Interrupt..,MMC Receive IPV4 Good Octet Counter Interrupt.."
newline
bitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 13. "RXICMPERPIS,MMC Receive ICMP Error Packet Counter Interrupt Status This bit is set when the rxicmp_err_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1:.." "MMC Receive ICMP Error Packet Counter Interrupt..,MMC Receive ICMP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 12. "RXICMPGPIS,MMC Receive ICMP Good Packet Counter Interrupt Status This bit is set when the rxicmp_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive ICMP Good Packet Counter Interrupt..,MMC Receive ICMP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 11. "RXTCPERPIS,MMC Receive TCP Error Packet Counter Interrupt Status This bit is set when the rxtcp_err_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive TCP Error Packet Counter Interrupt..,MMC Receive TCP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 10. "RXTCPGPIS,MMC Receive TCP Good Packet Counter Interrupt Status This bit is set when the rxtcp_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive TCP Good Packet Counter Interrupt..,MMC Receive TCP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 9. "RXUDPERPIS,MMC Receive UDP Error Packet Counter Interrupt Status This bit is set when the rxudp_err_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive UDP Error Packet Counter Interrupt..,MMC Receive UDP Error Packet Counter Interrupt.."
newline
bitfld.long 0x0 8. "RXUDPGPIS,MC Receive UDP Good Packet Counter Interrupt Status This bit is set when the rxudp_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive UDP Good Packet Counter Interrupt..,MMC Receive UDP Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 7. "RXIPV6NOPAYPIS,MMC Receive IPV6 No Payload Packet Counter Interrupt Status This bit is set when the rxipv6_nopay_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 No Payload Packet Counter..,MMC Receive IPV6 No Payload Packet Counter.."
newline
bitfld.long 0x0 6. "RXIPV6HERPIS,MMC Receive IPV6 Header Error Packet Counter Interrupt Status This bit is set when the rxipv6_hdrerr_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV6 Header Error Packet Counter..,MMC Receive IPV6 Header Error Packet Counter.."
newline
bitfld.long 0x0 5. "RXIPV6GPIS,MMC Receive IPV6 Good Packet Counter Interrupt Status This bit is set when the rxipv6_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive IPV6 Good Packet Counter Interrupt..,MMC Receive IPV6 Good Packet Counter Interrupt.."
newline
bitfld.long 0x0 4. "RXIPV4UDSBLPIS,MMC Receive IPV4 UDP Checksum Disabled Packet Counter Interrupt Status This bit is set when the rxipv4_udsbl_pkts counter reaches half of the maximum value or the maximum value. 0x1: MMC Receive IPV4 UDP Checksum Disabled Packet Counter.." "MMC Receive IPV4 UDP Checksum Disabled Packet..,MMC Receive IPV4 UDP Checksum Disabled Packet.."
newline
bitfld.long 0x0 3. "RXIPV4FRAGPIS,MMC Receive IPV4 Fragmented Packet Counter Interrupt Status This bit is set when the rxipv4_frag_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Fragmented Packet Counter..,MMC Receive IPV4 Fragmented Packet Counter.."
newline
bitfld.long 0x0 2. "RXIPV4NOPAYPIS,MMC Receive IPV4 No Payload Packet Counter Interrupt Status This bit is set when the rxipv4_nopay_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 No Payload Packet Counter..,MMC Receive IPV4 No Payload Packet Counter.."
newline
bitfld.long 0x0 1. "RXIPV4HERPIS,MMC Receive IPV4 Header Error Packet Counter Interrupt Status This bit is set when the rxipv4_hdrerr_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal.." "MMC Receive IPV4 Header Error Packet Counter..,MMC Receive IPV4 Header Error Packet Counter.."
newline
bitfld.long 0x0 0. "RXIPV4GPIS,MMC Receive IPV4 Good Packet Counter Interrupt Status This bit is set when the rxipv4_gd_pkts counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: MMC.." "MMC Receive IPV4 Good Packet Counter Interrupt..,MMC Receive IPV4 Good Packet Counter Interrupt.."
rgroup.long 0x810++0x37
line.long 0x0 "RXIPV4_GOOD_PACKETS,This register provides the number of good IPv4 datagrams received by DWC_ether_qos with the TCP. UDP. or ICMP payload."
hexmask.long 0x0 0.--31. 1. "RXIPV4GDPKT,RxIPv4 Good Packets This field indicates the number of good IPv4 datagrams received with the TCP UDP or ICMP payload."
line.long 0x4 "RXIPV4_HEADER_ERROR_PACKETS,RxIPv4 Header Error Packets This register provides the number of IPv4 datagrams received by DWC_ether_qos with header (checksum. length. or version mismatch) errors."
hexmask.long 0x4 0.--31. 1. "RXIPV4HDRERRPKT,RxIPv4 Header Error Packets This field indicates the number of IPv4 datagrams received with header (checksum length or version mismatch) errors."
line.long 0x8 "RXIPV4_NO_PAYLOAD_PACKETS,This register provides the number of IPv4 datagram packets received by DWC_ether_qos that did not have a TCP. UDP. or ICMP payload."
hexmask.long 0x8 0.--31. 1. "RXIPV4NOPAYPKT,RxIPv4 Payload Packets This field indicates the number of IPv4 datagram packets received that did not have a TCP UDP or ICMP payload."
line.long 0xC "RXIPV4_FRAGMENTED_PACKETS,This register provides the number of good IPv4 datagrams received by DWC_ether_qos with fragmentation."
hexmask.long 0xC 0.--31. 1. "RXIPV4FRAGPKT,RxIPv4 Fragmented Packets This field indicates the number of good IPv4 datagrams received with fragmentation."
line.long 0x10 "RXIPV4_UDP_CHECKSUM_DISABLED_PACKETS,This register provides the number of good IPv4 datagrams received by DWC_ether_qos that had a UDP payload with checksum disabled."
hexmask.long 0x10 0.--31. 1. "RXIPV4UDSBLPKT,RxIPv4 UDP Checksum Disabled Packets This field indicates the number of good IPv4 datagrams received that had a UDP payload with checksum disabled."
line.long 0x14 "RXIPV6_GOOD_PACKETS,This register provides the number of good IPv6 datagrams received by DWC_ether_qos with the TCP. UDP. or ICMP payload."
hexmask.long 0x14 0.--31. 1. "RXIPV6GDPKT,RxIPv6 Good Packets This field indicates the number of good IPv6 datagrams received with the TCP UDP or ICMP payload."
line.long 0x18 "RXIPV6_HEADER_ERROR_PACKETS,This register provides the number of IPv6 datagrams received by DWC_ether_qos with header (length or version mismatch) errors."
hexmask.long 0x18 0.--31. 1. "RXIPV6HDRERRPKT,RxIPv6 Header Error Packets This field indicates the number of IPv6 datagrams received with header (length or version mismatch) errors."
line.long 0x1C "RXIPV6_NO_PAYLOAD_PACKETS,This register provides the number of IPv6 datagram packets received by DWC_ether_qos that did not have a TCP. UDP. or ICMP payload. This includes all IPv6 datagrams with fragmentation or security extension headers."
hexmask.long 0x1C 0.--31. 1. "RXIPV6NOPAYPKT,RxIPv6 Payload Packets This field indicates the number of IPv6 datagram packets received that did not have a TCP UDP or ICMP payload. This includes all IPv6 datagrams with fragmentation or security extension headers."
line.long 0x20 "RXUDP_GOOD_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos with a good UDP payload. This counter is not updated when the RxIPv4_UDP_Checksum_Disabled_Packets counter is incremented."
hexmask.long 0x20 0.--31. 1. "RXUDPGDPKT,RxUDP Good Packets This field indicates the number of good IP datagrams received with a good UDP payload. This counter is not updated when the RxIPv4_UDP_Checksum_Disabled_Packets counter is incremented."
line.long 0x24 "RXUDP_ERROR_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos whose UDP payload has a checksum error."
hexmask.long 0x24 0.--31. 1. "RXUDPERRPKT,RxUDP Error Packets This field indicates the number of good IP datagrams received whose UDP payload has a checksum error."
line.long 0x28 "RXTCP_GOOD_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos with a good TCP payload."
hexmask.long 0x28 0.--31. 1. "RXTCPGDPKT,RxTCP Good Packets This field indicates the number of good IP datagrams received with a good TCP payload."
line.long 0x2C "RXTCP_ERROR_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos whose TCP payload has a checksum error."
hexmask.long 0x2C 0.--31. 1. "RXTCPERRPKT,RxTCP Error Packets This field indicates the number of good IP datagrams received whose TCP payload has a checksum error."
line.long 0x30 "RXICMP_GOOD_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos with a good ICMP payload."
hexmask.long 0x30 0.--31. 1. "RXICMPGDPKT,RxICMP Good Packets This field indicates the number of good IP datagrams received with a good ICMP payload."
line.long 0x34 "RXICMP_ERROR_PACKETS,This register provides the number of good IP datagrams received by DWC_ether_qos whose ICMP payload has a checksum error."
hexmask.long 0x34 0.--31. 1. "RXICMPERRPKT,RxICMP Error Packets This field indicates the number of good IP datagrams received whose ICMP payload has a checksum error."
rgroup.long 0x850++0x37
line.long 0x0 "RXIPV4_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in good IPv4 datagrams encapsulating TCP. UDP. or ICMP data. (Ethernet header. FCS. pad. or IP pad bytes are not included in this counter."
hexmask.long 0x0 0.--31. 1. "RXIPV4GDOCT,RxIPv4 Good Octets This field indicates the number of bytes received in good IPv4 datagrams encapsulating TCP UDP or ICMP data. (Ethernet header FCS pad or IP pad bytes are not included in this counter."
line.long 0x4 "RXIPV4_HEADER_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv4 datagrams with header errors (checksum. length. version mismatch). The value in the Length field of IPv4 header is used to update this counter."
hexmask.long 0x4 0.--31. 1. "RXIPV4HDRERROCT,RxIPv4 Header Error Octets This field indicates the number of bytes received in IPv4 datagrams with header errors (checksum length version mismatch). The value in the Length field of IPv4 header is used to update this counter. (Ethernet.."
line.long 0x8 "RXIPV4_NO_PAYLOAD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv4 datagrams that did not have a TCP. UDP. or ICMP payload. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header."
hexmask.long 0x8 0.--31. 1. "RXIPV4NOPAYOCT,RxIPv4 Payload Octets This field indicates the number of bytes received in IPv4 datagrams that did not have a TCP UDP or ICMP payload. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header FCS.."
line.long 0xC "RXIPV4_FRAGMENTED_OCTETS,This register provides the number of bytes received by DWC_ether_qos in fragmented IPv4 datagrams. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header. FCS. pad. or IP pad bytes are not.."
hexmask.long 0xC 0.--31. 1. "RXIPV4FRAGOCT,RxIPv4 Fragmented Octets This field indicates the number of bytes received in fragmented IPv4 datagrams. The value in the Length field of IPv4 header is used to update this counter. (Ethernet header FCS pad or IP pad bytes are not.."
line.long 0x10 "RXIPV4_UDP_CHECKSUM_DISABLE_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a UDP segment that had the UDP checksum disabled. This counter does not count IP Header bytes. (Ethernet header. FCS. pad. or IP pad bytes are not.."
hexmask.long 0x10 0.--31. 1. "RXIPV4UDSBLOCT,RxIPv4 UDP Checksum Disable Octets This field indicates the number of bytes received in a UDP segment that had the UDP checksum disabled. This counter does not count IP Header bytes. (Ethernet header FCS pad or IP pad bytes are not.."
line.long 0x14 "RXIPV6_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in good IPv6 datagrams encapsulating TCP. UDP. or ICMP data. (Ethernet header. FCS. pad. or IP pad bytes are not included in this counter."
hexmask.long 0x14 0.--31. 1. "RXIPV6GDOCT,RxIPv6 Good Octets This field indicates the number of bytes received in good IPv6 datagrams encapsulating TCP UDP or ICMP data. (Ethernet header FCS pad or IP pad bytes are not included in this counter."
line.long 0x18 "RXIPV6_HEADER_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv6 datagrams with header errors (length. version mismatch). The value in the Length field of IPv6 header is used to update this counter. (Ethernet.."
hexmask.long 0x18 0.--31. 1. "RXIPV6HDRERROCT,RxIPv6 Header Error Octets This field indicates the number of bytes received in IPv6 datagrams with header errors (length version mismatch). The value in the Length field of IPv6 header is used to update this counter. (Ethernet header.."
line.long 0x1C "RXIPV6_NO_PAYLOAD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in IPv6 datagrams that did not have a TCP. UDP. or ICMP payload. The value in the Length field of IPv6 header is used to update this counter. (Ethernet header."
hexmask.long 0x1C 0.--31. 1. "RXIPV6NOPAYOCT,RxIPv6 Payload Octets This field indicates the number of bytes received in IPv6 datagrams that did not have a TCP UDP or ICMP payload. The value in the Length field of IPv6 header is used to update this counter. (Ethernet header FCS.."
line.long 0x20 "RXUDP_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a good UDP segment. This counter does not count IP header bytes."
hexmask.long 0x20 0.--31. 1. "RXUDPGDOCT,RxUDP Good Octets This field indicates the number of bytes received in a good UDP segment. This counter does not count IP header bytes."
line.long 0x24 "RXUDP_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a UDP segment that had checksum errors. This counter does not count IP header bytes."
hexmask.long 0x24 0.--31. 1. "RXUDPERROCT,RxUDP Error Octets This field indicates the number of bytes received in a UDP segment that had checksum errors. This counter does not count IP header bytes."
line.long 0x28 "RXTCP_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a good TCP segment. This counter does not count IP header bytes."
hexmask.long 0x28 0.--31. 1. "RXTCPGDOCT,RxTCP Good Octets This field indicates the number of bytes received in a good TCP segment. This counter does not count IP header bytes."
line.long 0x2C "RXTCP_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a TCP segment that had checksum errors. This counter does not count IP header bytes."
hexmask.long 0x2C 0.--31. 1. "RXTCPERROCT,RxTCP Error Octets This field indicates the number of bytes received in a TCP segment that had checksum errors. This counter does not count IP header bytes."
line.long 0x30 "RXICMP_GOOD_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a good ICMP segment. This counter does not count IP header bytes."
hexmask.long 0x30 0.--31. 1. "RXICMPGDOCT,RxICMP Good Octets This field indicates the number of bytes received in a good ICMP segment. This counter does not count IP header bytes."
line.long 0x34 "RXICMP_ERROR_OCTETS,This register provides the number of bytes received by DWC_ether_qos in a ICMP segment that had checksum errors. This counter does not count IP header bytes."
hexmask.long 0x34 0.--31. 1. "RXICMPERROCT,RxICMP Error Octets This field indicates the number of bytes received in a ICMP segment that had checksum errors. This counter does not count IP header bytes."
rgroup.long 0x8A0++0x3
line.long 0x0 "MMC_FPE_TX_INTERRUPT,This register maintains the interrupts generated from all FPE related Transmit statistics counters. The MMC FPE Transmit Interrupt register maintains the interrupts generated when transmit statistic counters reach half their maximum.."
hexmask.long 0x0 2.--31. 1. "RESERVED_31_2,Reserved."
newline
bitfld.long 0x0 1. "HRCIS,MMC Tx Hold Request Counter Interrupt Status This bit is set when the Tx_Hold_Req_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists when any one.." "MMC Tx Hold Request Counter Interrupt Status not..,MMC Tx Hold Request Counter Interrupt Status.."
newline
bitfld.long 0x0 0. "FCIS,MMC Tx FPE Fragment Counter Interrupt status This bit is set when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists when any.." "MMC Tx FPE Fragment Counter Interrupt status not..,MMC Tx FPE Fragment Counter Interrupt status.."
group.long 0x8A4++0x3
line.long 0x0 "MMC_FPE_TX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all FPE related Transmit statistics counters. The MMC Receive Interrupt Mask register maintains the masks for the interrupts generated when FPE related receive.."
hexmask.long 0x0 2.--31. 1. "RESERVED_31_2,Reserved."
newline
bitfld.long 0x0 1. "HRCIM,MMC Transmit Hold Request Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_Hold_Req_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during FPE.." "MMC Transmit Hold Request Counter Interrupt Mask..,MMC Transmit Hold Request Counter Interrupt Mask.."
newline
bitfld.long 0x0 0. "FCIM,MMC Transmit Fragment Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during FPE.." "MMC Transmit Fragment Counter Interrupt Mask is..,MMC Transmit Fragment Counter Interrupt Mask is.."
rgroup.long 0x8A8++0x7
line.long 0x0 "MMC_TX_FPE_FRAGMENT_CNTR,This register provides the number of additional mPackets transmitted due to preemption."
hexmask.long 0x0 0.--31. 1. "TXFFC,Tx FPE Fragment counter This field indicates the number of additional mPackets that has been transmitted due to preemption Exists when any one of the RX/TX MMC counters are enabled during FPE Enabled configuration."
line.long 0x4 "MMC_TX_HOLD_REQ_CNTR,This register provides the count of number of times a hold request is given to MAC"
hexmask.long 0x4 0.--31. 1. "TXHRC,Tx Hold Request Counter This field indicates count of number of a hold request is given to MAC. Exists when any one of the RX/TX MMC counters are enabled during FPE with AV_EST Enabled configuration."
rgroup.long 0x8C0++0x3
line.long 0x0 "MMC_FPE_RX_INTERRUPT,This register maintains the interrupts generated from all FPE related Receive statistics counters. The MMC FPE Receive Interrupt register maintains the interrupts generated when transmit statistic counters reach half their maximum.."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "FCIS,MMC Rx FPE Fragment Counter Interrupt Status This bit is set when the Rx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists when any.." "MMC Rx FPE Fragment Counter Interrupt Status not..,MMC Rx FPE Fragment Counter Interrupt Status.."
newline
bitfld.long 0x0 2. "PAOCIS,MMC Rx Packet Assembly OK Counter Interrupt Status This bit is set when the Rx_Packet_Assemble_Ok_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Rx Packet Assembly OK Counter Interrupt..,MMC Rx Packet Assembly OK Counter Interrupt.."
newline
bitfld.long 0x0 1. "PSECIS,MMC Rx Packet SMD Error Counter Interrupt Status This bit is set when the Rx_Packet_SMD_Err_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event. Exists.." "MMC Rx Packet SMD Error Counter Interrupt Status..,MMC Rx Packet SMD Error Counter Interrupt Status.."
newline
bitfld.long 0x0 0. "PAECIS,MMC Rx Packet Assembly Error Counter Interrupt Status This bit is set when the Rx_Packet_Assemble_Err_Cntr counter reaches half of the maximum value or the maximum value. Access restriction applies. Clears on read. Self-set to 1 on internal event." "MMC Rx Packet Assembly Error Counter Interrupt..,MMC Rx Packet Assembly Error Counter Interrupt.."
group.long 0x8C4++0x3
line.long 0x0 "MMC_FPE_RX_INTERRUPT_MASK,This register maintains the masks for interrupts generated from all FPE related Receive statistics counters. The MMC Receive Interrupt Mask register maintains the masks for the interrupts generated when FPE related receive.."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "FCIM,MMC Rx FPE Fragment Counter Interrupt Mask Setting this bit masks the interrupt when the Tx_FPE_Fragment_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during FPE.." "MMC Rx FPE Fragment Counter Interrupt Mask is..,MMC Rx FPE Fragment Counter Interrupt Mask is.."
newline
bitfld.long 0x0 2. "PAOCIM,MMC Rx Packet Assembly OK Counter Interrupt Mask Setting this bit masks the interrupt when the Rx_Packet_Assemble_Ok_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled.." "MMC Rx Packet Assembly OK Counter Interrupt Mask..,MMC Rx Packet Assembly OK Counter Interrupt Mask.."
newline
bitfld.long 0x0 1. "PSECIM,MMC Rx Packet SMD Error Counter Interrupt Mask Setting this bit masks the interrupt when the R Rx_Packet_SMD_Err_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are enabled during.." "MMC Rx Packet SMD Error Counter Interrupt Mask..,MMC Rx Packet SMD Error Counter Interrupt Mask.."
newline
bitfld.long 0x0 0. "PAECIM,MMC Rx Packet Assembly Error Counter Interrupt Mask Setting this bit masks the interrupt when the R Rx_Packet_Assemble_Err_Cntr counter reaches half of the maximum value or the maximum value. Exists when any one of the RX/TX MMC counters are.." "MMC Rx Packet Assembly Error Counter Interrupt..,MMC Rx Packet Assembly Error Counter Interrupt.."
rgroup.long 0x8C8++0xF
line.long 0x0 "MMC_RX_PACKET_ASSEMBLY_ERR_CNTR,This register provides the number of MAC frames with reassembly errors on the Receiver. due to mismatch in the Fragment Count value."
hexmask.long 0x0 0.--31. 1. "PAEC,Rx Packet Assembly Error Counter This field indicates the number of MAC frames with reassembly errors on the Receiver due to mismatch in the Fragment Count value. Exists when any one of the RX/TX MMC counters are enabled during FPE Enabled.."
line.long 0x4 "MMC_RX_PACKET_SMD_ERR_CNTR,This register provides the number of received MAC frames rejected due to unknown SMD value and MAC frame fragments rejected due to arriving with an SMD-C when there was no preceding preempted frame."
hexmask.long 0x4 0.--31. 1. "PSEC,Rx Packet SMD Error Counter This field indicates the number of MAC frames rejected due to unknown SMD value and MAC frame fragments rejected due to arriving with an SMD-C when there was no preceding preempted frame. Exists when at least one of the.."
line.long 0x8 "MMC_RX_PACKET_ASSEMBLY_OK_CNTR,This register provides the number of MAC frames that were successfully reassembled and delivered to MAC."
hexmask.long 0x8 0.--31. 1. "PAOC,Rx Packet Assembly OK Counter This field indicates the number of MAC frames that were successfully reassembled and delivered to MAC. Exists when at least one of the RX/TX MMC counters are enabled during FPE Enabled configuration."
line.long 0xC "MMC_RX_FPE_FRAGMENT_CNTR,This register provides the number of additional mPackets received due to preemption."
hexmask.long 0xC 0.--31. 1. "FFC,Rx FPE Fragment Counter This field indicates the number of additional mPackets received due to preemption Exists when at least one of the RX/TX MMC counters are enabled during FPE Enabled configuration."
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x900)++0x3
line.long 0x0 "MAC_L3_L4_CONTROL$1,The Layer 3 and Layer 4 Control register controls the operations of filter 0 of Layer 3 and Layer 4."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 28. "DMCHEN0,DMA Channel Select Enable When set this bit enables the selection of the DMA channel number for the packet that is passed by this L3_L4 filter. The DMA channel is indicated by the DMCHN bits. When this bit is reset the DMA channel is not.." "DMA Channel Select is disabled,DMA Channel Select is enabled"
newline
rbitfld.long 0x0 27. "RESERVED_27_Y,Reserved." "0,1"
newline
bitfld.long 0x0 24.--26. "DMCHN0,DMA Channel Number When DMCHEN is set high this field selects the DMA Channel number to which the packet passed by this filter is routed. The width of this field depends on the number of the DMA channels present in your configuration." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 21. "L4DPIM0,Layer 4 Destination Port Inverse Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for inverse matching. When this bit is reset the Layer 4 Destination Port number field is enabled for perfect matching. This.." "Layer 4 Destination Port Inverse Match is disabled,Layer 4 Destination Port Inverse Match is enabled"
newline
bitfld.long 0x0 20. "L4DPM0,Layer 4 Destination Port Match Enable When this bit is set the Layer 4 Destination Port number field is enabled for matching. When this bit is reset the MAC ignores the Layer 4 Destination Port number field for matching. 0x0: Layer 4 Destination.." "Layer 4 Destination Port Match is disabled,Layer 4 Destination Port Match is enabled"
newline
bitfld.long 0x0 19. "L4SPIM0,Layer 4 Source Port Inverse Match Enable When this bit is set the Layer 4 Source Port number field is enabled for inverse matching. When this bit is reset the Layer 4 Source Port number field is enabled for perfect matching. This bit is valid.." "Layer 4 Source Port Inverse Match is disabled,Layer 4 Source Port Inverse Match is enabled"
newline
bitfld.long 0x0 18. "L4SPM0,Layer 4 Source Port Match Enable When this bit is set the Layer 4 Source Port number field is enabled for matching. When this bit is reset the MAC ignores the Layer 4 Source Port number field for matching. 0x0: Layer 4 Source Port Match is.." "Layer 4 Source Port Match is disabled,Layer 4 Source Port Match is enabled"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "L4PEN0,Layer 4 Protocol Enable When this bit is set the Source and Destination Port number fields of UDP packets are used for matching. When this bit is reset the Source and Destination Port number fields of TCP packets are used for matching. The Layer.." "Layer 4 Protocol is disabled,Layer 4 Protocol is enabled"
newline
hexmask.long.byte 0x0 11.--15. 1. "L3HDBM0,Layer 3 IP DA Higher Bits Match IPv4 Packets: This field contains the number of higher bits of IP Destination Address that are matched in the IPv4 packets. The following list describes the values of this field: - 0: No bits are masked. - 1:.."
newline
hexmask.long.byte 0x0 6.--10. 1. "L3HSBM0,Layer 3 IP SA Higher Bits Match IPv4 Packets: This field contains the number of lower bits of IP Source Address that are masked for matching in the IPv4 packets. The following list describes the values of this field: - 0: No bits are masked. - 1:.."
newline
bitfld.long 0x0 5. "L3DAIM0,Layer 3 IP DA Inverse Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for inverse matching. When this bit is reset the Layer 3 IP Destination Address field is enabled for perfect matching. This bit is valid.." "Layer 3 IP DA Inverse Match is disabled,Layer 3 IP DA Inverse Match is enabled"
newline
bitfld.long 0x0 4. "L3DAM0,Layer 3 IP DA Match Enable When this bit is set the Layer 3 IP Destination Address field is enabled for matching. When this bit is reset the MAC ignores the Layer 3 IP Destination Address field for matching. Note: When the L3PEN0 bit is set you.." "Layer 3 IP DA Match is disabled,Layer 3 IP DA Match is enabled"
newline
bitfld.long 0x0 3. "L3SAIM0,Layer 3 IP SA Inverse Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for inverse matching. When this bit reset the Layer 3 IP Source Address field is enabled for perfect matching. This bit is valid and.." "Layer 3 IP SA Inverse Match is disabled,Layer 3 IP SA Inverse Match is enabled"
newline
bitfld.long 0x0 2. "L3SAM0,Layer 3 IP SA Match Enable When this bit is set the Layer 3 IP Source Address field is enabled for matching. When this bit is reset the MAC ignores the Layer 3 IP Source Address field for matching. Note: When the L3PEN0 bit is set you should.." "Layer 3 IP SA Match is disabled,Layer 3 IP SA Match is enabled"
newline
rbitfld.long 0x0 1. "RESERVED_1,Reserved." "0,1"
newline
bitfld.long 0x0 0. "L3PEN0,Layer 3 Protocol Enable When this bit is set the Layer 3 IP Source or Destination Address matching is enabled for IPv6 packets. When this bit is reset the Layer 3 IP Source or Destination Address matching is enabled for IPv4 packets. The Layer 3.." "Layer 3 Protocol is disabled,Layer 3 Protocol is enabled"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x904)++0x3
line.long 0x0 "MAC_LAYER4_ADDRESS$1,The MAC_Layer4_Address(#i). MAC_L3_L4_Control(#i). MAC_Layer3_Addr0_Reg(#i). MAC_Layer3_Addr1_Reg(#i). MAC_Layer3_Addr2_Reg(#i) and MAC_Layer3_Addr3_Reg(#i) registers are reserved (RO with default value) if Enable Layer 3 and Layer 4.."
hexmask.long.word 0x0 16.--31. 1. "L4DP0,Layer 4 Destination Port Number Field When the L4PEN0 bit is reset and the L4DPM0 bit is set in the MAC_L3_L4_Control0 register this field contains the value to be matched with the TCP Destination Port Number field in the IPv4 or IPv6 packets."
newline
hexmask.long.word 0x0 0.--15. 1. "L4SP0,Layer 4 Source Port Number Field When the L4PEN0 bit is reset and the L4SPM0 bit is set in the MAC_L3_L4_Control0 register this field contains the value to be matched with the TCP Source Port Number field in the IPv4 or IPv6 packets. When the.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x910)++0x3
line.long 0x0 "MAC_LAYER3_ADDR0_REG$1,For IPv4 packets. the Layer 3 Address 0 Register 0 register contains the 32-bit IP Source Address field. For IPv6 packets. it contains Bits[31:0] of the 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A00,Layer 3 Address 0 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[31:0] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits are.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x914)++0x3
line.long 0x0 "MAC_LAYER3_ADDR1_REG$1,For IPv4 packets. the Layer 3 Address 1 Register 0 register contains the 32-bit IP Destination Address field. For IPv6 packets. it contains Bits[63:32] of the 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A10,Layer 3 Address 1 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[63:32] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits are.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x918)++0x3
line.long 0x0 "MAC_LAYER3_ADDR2_REG$1,The Layer 3 Address 2 Register 0 register is reserved for IPv4 packets. For IPv6 packets. it contains Bits[95:64] of 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A20,Layer 3 Address 2 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[95:64] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits are.."
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x30 0x60 0x90 )
group.long ($2+0x91C)++0x3
line.long 0x0 "MAC_LAYER3_ADDR3_REG$1,The Layer 3 Address 3 Register 0 register is reserved for IPv4 packets. For IPv6 packets. it contains Bits[127:96] of 128-bit IP Source Address or Destination Address field."
hexmask.long 0x0 0.--31. 1. "L3A30,Layer 3 Address 3 Field When the L3PEN0 and L3SAM0 bits are set in the MAC_L3_L4_Control0 register this field contains the value to be matched with Bits[127:96] of the IP Source Address field in the IPv6 packets. When the L3PEN0 and L3DAM0 bits.."
repeat.end
group.long 0xB00++0x7
line.long 0x0 "MAC_TIMESTAMP_CONTROL,This register controls the operation of the System Time generator and processing of PTP packets for timestamping in the Receiver."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 28. "AV8021ASMEN,AV 802.1AS Mode Enable When this bit is set the MAC processes only untagged PTP over Ethernet packets for providing PTP status and capturing timestamp snapshots that is IEEE 802.1AS mode of operation. When PTP offload feature is enabled.." "AV 802,AV 802"
newline
rbitfld.long 0x0 25.--27. "RESERVED_27_25,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 24. "TXTSSTSM,Transmit Timestamp Status Mode When this bit is set the MAC overwrites the earlier transmit timestamp status even if it is not read by the software. The MAC indicates this by setting the TXTSSMIS bit of the MAC_Tx_Timestamp_Status_Nanoseconds.." "Transmit Timestamp Status Mode is disabled,Transmit Timestamp Status Mode is enabled"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "ESTI,External System Time Input When this bit is set the MAC uses the external 64-bit reference System Time input for the following: - To take the timestamp provided as status - To insert the timestamp in transmit PTP packets when One-step Timestamp or.." "External System Time Input is disabled,External System Time Input is enabled"
newline
bitfld.long 0x0 19. "CSC,Enable checksum correction during OST for PTP over UDP/IPv4 packets When this bit is set the last two bytes of PTP message sent over UDP/IPv4 is updated to keep the UDP checksum correct for changes made to origin timestamp and/or correction field.." "checksum correction during OST for PTP over..,checksum correction during OST for PTP over.."
newline
bitfld.long 0x0 18. "TSENMACADDR,Enable MAC Address for PTP Packet Filtering When this bit is set the DA MAC address (that matches any MAC Address register) is used to filter the PTP packets when PTP is directly sent over Ethernet. When this bit is set received PTP packets.." "MAC Address for PTP Packet Filtering is disabled,MAC Address for PTP Packet Filtering is enabled"
newline
bitfld.long 0x0 16.--17. "SNAPTYPSEL,Select PTP packets for Taking Snapshots These bits along with Bits 15 and 14 decide the set of PTP packet types for which snapshot needs to be taken. The encoding is given in Timestamp Snapshot Dependency on Register Bits Table." "0,1,2,3"
newline
bitfld.long 0x0 15. "TSMSTRENA,Enable Snapshot for Messages Relevant to Master When this bit is set the snapshot is taken only for the messages that are relevant to the master node. Otherwise the snapshot is taken for the messages relevant to the slave node. 0x0: Snapshot.." "Snapshot for Messages Relevant to Master is..,Snapshot for Messages Relevant to Master is.."
newline
bitfld.long 0x0 14. "TSEVNTENA,Enable Timestamp Snapshot for Event Messages When this bit is set the timestamp snapshot is taken only for event messages (SYNC Delay_Req Pdelay_Req or Pdelay_Resp). When this bit is reset the snapshot is taken for all messages except.." "Timestamp Snapshot for Event Messages is disabled,Timestamp Snapshot for Event Messages is enabled"
newline
bitfld.long 0x0 13. "TSIPV4ENA,Enable Processing of PTP Packets Sent over IPv4-UDP When this bit is set the MAC receiver processes the PTP packets encapsulated in IPv4-UDP packets. When this bit is reset the MAC ignores the PTP transported over IPv4-UDP packets. This bit.." "Processing of PTP Packets Sent over IPv4-UDP is..,Processing of PTP Packets Sent over IPv4-UDP is.."
newline
bitfld.long 0x0 12. "TSIPV6ENA,Enable Processing of PTP Packets Sent over IPv6-UDP When this bit is set the MAC receiver processes the PTP packets encapsulated in IPv6-UDP packets. When this bit is clear the MAC ignores the PTP transported over IPv6-UDP packets. 0x0:.." "Processing of PTP Packets Sent over IPv6-UDP is..,Processing of PTP Packets Sent over IPv6-UDP is.."
newline
bitfld.long 0x0 11. "TSIPENA,Enable Processing of PTP over Ethernet Packets When this bit is set the MAC receiver processes the PTP packets encapsulated directly in the Ethernet packets. When this bit is reset the MAC ignores the PTP over Ethernet packets. 0x0: Processing.." "Processing of PTP over Ethernet Packets is..,Processing of PTP over Ethernet Packets is enabled"
newline
bitfld.long 0x0 10. "TSVER2ENA,Enable PTP Packet Processing for Version 2 Format When this bit is set the IEEE 1588 version 2 format is used to process the PTP packets. When this bit is reset the IEEE 1588 version 1 format is used to process the PTP packets. The IEEE 1588.." "PTP Packet Processing for Version 2 Format is..,PTP Packet Processing for Version 2 Format is.."
newline
bitfld.long 0x0 9. "TSCTRLSSR,Timestamp Digital or Binary Rollover Control When this bit is set the Timestamp Low register rolls over after 0x3B9A_C9FF value (that is 1 nanosecond accuracy) and increments the timestamp (High) seconds. When this bit is reset the rollover.." "Timestamp Digital or Binary Rollover Control is..,Timestamp Digital or Binary Rollover Control is.."
newline
bitfld.long 0x0 8. "TSENALL,Enable Timestamp for All Packets When this bit is set the timestamp snapshot is enabled for all packets received by the MAC. 0x0: Timestamp for All Packets disabled 0x1: Timestamp for All Packets enabled" "Timestamp for All Packets disabled,Timestamp for All Packets enabled"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 6. "PTGE,Presentation Time Generation Enable When this bit is set the Presentation Time generation will be enabled. 0x0: Presentation Time Generation is disabled 0x1: Presentation Time Generation is enabled" "Presentation Time Generation is disabled,Presentation Time Generation is enabled"
newline
bitfld.long 0x0 5. "TSADDREG,Update Addend Register When this bit is set the content of the Timestamp Addend register is updated in the PTP block for fine correction. This bit is cleared when the update is complete. This bit should be zero before it is set. Access.." "Addend Register is not updated,Addend Register is updated"
newline
rbitfld.long 0x0 4. "RESERVED_TSTRIG,Reserved." "0,1"
newline
bitfld.long 0x0 3. "TSUPDT,Update Timestamp When this bit is set the system time is updated (added or subtracted) with the value specified in MAC_System_Time_Seconds_Update and MAC_System_Time_Nanoseconds_Update registers. This bit should be zero before updating it. This.." "Timestamp is not updated,Timestamp is updated"
newline
bitfld.long 0x0 2. "TSINIT,Initialize Timestamp When this bit is set the system time is initialized (overwritten) with the value specified in the MAC_System_Time_Seconds_Update and MAC_System_Time_Nanoseconds_Update registers. This bit should be zero before it is updated." "Timestamp is not initialized,Timestamp is initialized"
newline
bitfld.long 0x0 1. "TSCFUPDT,Fine or Coarse Timestamp Update When this bit is set the Fine method is used to update system timestamp. When this bit is reset Coarse method is used to update the system timestamp. 0x0: Coarse method is used to update system timestamp 0x1:.." "Coarse method is used to update system timestamp,Fine method is used to update system timestamp"
line.long 0x4 "MAC_SUB_SECOND_INCREMENT,This register specifies the value to be added to the internal system time register every cycle of clk_ptp_ref_i clock."
hexmask.long.byte 0x4 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.byte 0x4 16.--23. 1. "SSINC,Sub-second Increment Value The value programmed in this field is accumulated every clock cycle (of clk_ptp_i) with the contents of the sub-second register. For example when the PTP clock is 50 MHz (period is 20 ns) you should program 20 (0x14).."
newline
hexmask.long.byte 0x4 8.--15. 1. "SNSINC,Sub-nanosecond Increment Value This field contains the sub-nanosecond increment value represented in nanoseconds multiplied by 2^8. This value is accumulated with the sub-nanoseconds field of the subsecond register. For example when TSCTRLSSR.."
newline
hexmask.long.byte 0x4 0.--7. 1. "RESERVED_7_0,Reserved."
rgroup.long 0xB08++0x7
line.long 0x0 "MAC_SYSTEM_TIME_SECONDS,The System Time Seconds register. along with System Time Nanoseconds register. indicates the current value of the system time maintained by the MAC. Though it is updated on a continuous basis. there is some delay from the actual.."
hexmask.long 0x0 0.--31. 1. "TSS,Timestamp Second The value in this field indicates the current value in seconds of the System Time maintained by the MAC."
line.long 0x4 "MAC_SYSTEM_TIME_NANOSECONDS,The System Time Nanoseconds register. along with System Time Seconds register. indicates the current value of the system time maintained by the MAC."
bitfld.long 0x4 31. "RESERVED_31,Reserved." "0,1"
newline
hexmask.long 0x4 0.--30. 1. "TSSS,Timestamp Sub Seconds The value in this field has the sub-second representation of time with an accuracy of 0.46 ns. When Bit 9 is set in MAC_Timestamp_Control each bit represents 1 ns. The maximum value is 0x3B9A_C9FF after which it rolls-over to.."
group.long 0xB10++0xF
line.long 0x0 "MAC_SYSTEM_TIME_SECONDS_UPDATE,The System Time Seconds Update register. along with the System Time Nanoseconds Update register. initializes or updates the system time maintained by the MAC. You must write both registers before setting the TSINIT or.."
hexmask.long 0x0 0.--31. 1. "TSS,Timestamp Seconds The value in this field is the seconds part of the update. When ADDSUB is reset this field must be programmed with the seconds part of the update value. When ADDSUB is set this field must be programmed with the complement of the.."
line.long 0x4 "MAC_SYSTEM_TIME_NANOSECONDS_UPDATE,MAC System Time Nanoseconds Update register."
bitfld.long 0x4 31. "ADDSUB,Add or Subtract Time When this bit is set the time value is subtracted with the contents of the update register. When this bit is reset the time value is added with the contents of the update register. 0x0: Add time 0x1: Subtract time" "Add time,Subtract time"
newline
hexmask.long 0x4 0.--30. 1. "TSSS,Timestamp Sub Seconds The value in this field is the sub-seconds part of the update. When ADDSUB is reset this field must be programmed with the sub-seconds part of the update value with an accuracy based on the TSCTRLSSR bit of the.."
line.long 0x8 "MAC_TIMESTAMP_ADDEND,Timestamp Addend register. This register value is used only when the system time is configured for Fine Update mode (TSCFUPDT bit in the MAC_Timestamp_Control register). The content of this register is added to a 32-bit accumulator.."
hexmask.long 0x8 0.--31. 1. "TSAR,Timestamp Addend Register This field indicates the 32-bit time value to be added to the Accumulator register to achieve time synchronization."
line.long 0xC "MAC_SYSTEM_TIME_HIGHER_WORD_SECONDS,System Time - Higher Word Seconds register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.word 0xC 0.--15. 1. "TSHWR,Timestamp Higher Word Register This field contains the most-significant 16-bits of timestamp seconds value. This register is optional. You can add this register by selecting the Add IEEE 1588 Higher Word Register option. This register is directly.."
rgroup.long 0xB20++0x3
line.long 0x0 "MAC_TIMESTAMP_STATUS,Timestamp Status register. All bits except Bits[27:25] gets cleared when the application reads this register."
bitfld.long 0x0 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x0 25.--29. 1. "ATSNS,Number of Auxiliary Timestamp Snapshots This field indicates the number of Snapshots available in the FIFO. A value equal to the selected depth of FIFO (4 8 or 16) indicates that the Auxiliary Snapshot FIFO is full. These bits are cleared (to.."
newline
bitfld.long 0x0 24. "ATSSTM,Auxiliary Timestamp Snapshot Trigger Missed This bit is set when the Auxiliary timestamp snapshot FIFO is full and external trigger was set. This indicates that the latest snapshot is not stored in the FIFO. This bit is valid only if the Add IEEE.." "Auxiliary Timestamp Snapshot Trigger Missed..,Auxiliary Timestamp Snapshot Trigger Missed.."
newline
hexmask.long.byte 0x0 20.--23. 1. "RESERVED_23_20,Reserved."
newline
hexmask.long.byte 0x0 16.--19. 1. "ATSSTN,Auxiliary Timestamp Snapshot Trigger Identifier These bits identify the Auxiliary trigger inputs for which the timestamp available in the Auxiliary Snapshot Register is applicable. When more than one bit is set at the same time it means that.."
newline
bitfld.long 0x0 15. "TXTSSIS,Tx Timestamp Status Interrupt Status In non-EQOS_CORE configurations when drop transmit status is enabled in MTL this bit is set when the captured transmit timestamp is updated in the MAC_Tx_Timestamp_Status_Nanoseconds and.." "Tx Timestamp Status Interrupt status not detected,Tx Timestamp Status Interrupt status detected"
newline
hexmask.long.byte 0x0 10.--14. 1. "RESERVED_14_10,Reserved."
newline
bitfld.long 0x0 9. "TSTRGTERR3,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS3_Target_Time_Seconds and MAC_PPS3_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 8. "TSTARGT3,Timestamp Target Time Reached for Target Time PPS3 When this bit is set it indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS3_Target_Time_Seconds and MAC_PPS3_Target_Time_Nanoseconds.." "Timestamp Target Time Reached for Target Time..,Timestamp Target Time Reached for Target Time.."
newline
bitfld.long 0x0 7. "TSTRGTERR2,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS2_Target_Time_Seconds and MAC_PPS2_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 6. "TSTARGT2,Timestamp Target Time Reached for Target Time PPS2 When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS2_Target_Time_Seconds and MAC_PPS2_Target_Time_Nanoseconds registers." "Timestamp Target Time Reached for Target Time..,Timestamp Target Time Reached for Target Time.."
newline
bitfld.long 0x0 5. "TSTRGTERR1,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS1_Target_Time_Seconds and MAC_PPS1_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 4. "TSTARGT1,Timestamp Target Time Reached for Target Time PPS1 When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS1_Target_Time_Seconds and MAC_PPS1_Target_Time_Nanoseconds registers." "Timestamp Target Time Reached for Target Time..,Timestamp Target Time Reached for Target Time.."
newline
bitfld.long 0x0 3. "TSTRGTERR0,Timestamp Target Time Error This bit is set when the latest target time programmed in the MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds registers elapses. This bit is cleared when the application reads this bit. Access.." "Timestamp Target Time Error status not detected,Timestamp Target Time Error status detected"
newline
bitfld.long 0x0 2. "AUXTSTRIG,Auxiliary Timestamp Trigger Snapshot This bit is set high when the auxiliary snapshot is written to the FIFO. This bit is valid only if the Add IEEE 1588 Auxiliary Snapshot option is selected. Access restriction applies. Clears on read (or this.." "Auxiliary Timestamp Trigger Snapshot status not..,Auxiliary Timestamp Trigger Snapshot status.."
newline
bitfld.long 0x0 1. "TSTARGT0,Timestamp Target Time Reached When set this bit indicates that the value of system time is greater than or equal to the value specified in the MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds registers. Access restriction.." "Timestamp Target Time Reached status not detected,Timestamp Target Time Reached status detected"
newline
bitfld.long 0x0 0. "TSSOVF,Timestamp Seconds Overflow When this bit is set it indicates that the seconds value of the timestamp (when supporting version 2 format) has overflowed beyond 32'hFFFF_FFFF. Access restriction applies. Clears on read (or this bit is written to 1.." "Timestamp Seconds Overflow status not detected,Timestamp Seconds Overflow status detected"
rgroup.long 0xB30++0x7
line.long 0x0 "MAC_TX_TIMESTAMP_STATUS_NANOSECONDS,This register contains the nanosecond part of timestamp captured for Transmit packets when Tx status is disabled. The MAC_Tx_Timestamp_Status_Nanoseconds register. along with MAC_Tx_Timestamp_Status_Seconds. gives the.."
bitfld.long 0x0 31. "TXTSSMIS,Transmit Timestamp Status Missed When this bit is set it indicates one of the following: - The timestamp of the current packet is ignored if TXTSSTSM bit of the MAC_Timestamp_Control register is reset - The timestamp of the previous packet is.." "Transmit Timestamp Status Missed status not..,Transmit Timestamp Status Missed status detected"
newline
hexmask.long 0x0 0.--30. 1. "TXTSSLO,Transmit Timestamp Status Low This field contains the 31 bits of the Nanoseconds field of the Transmit packet's captured timestamp."
line.long 0x4 "MAC_TX_TIMESTAMP_STATUS_SECONDS,The register contains the higher 32 bits of the timestamp (in seconds) captured when a PTP packet is transmitted."
hexmask.long 0x4 0.--31. 1. "TXTSSHI,Transmit Timestamp Status High This field contains the lower 32 bits of the Seconds field of Transmit packet's captured timestamp."
group.long 0xB40++0x3
line.long 0x0 "MAC_AUXILIARY_CONTROL,The Auxiliary Timestamp Control register controls the Auxiliary Timestamp snapshot."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_8,Reserved."
newline
bitfld.long 0x0 7. "ATSEN3,Auxiliary Snapshot 3 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 3. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[3] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
bitfld.long 0x0 6. "ATSEN2,Auxiliary Snapshot 2 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 2. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[2] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
bitfld.long 0x0 5. "ATSEN1,Auxiliary Snapshot 1 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 1. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[1] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
bitfld.long 0x0 4. "ATSEN0,Auxiliary Snapshot 0 Enable This bit controls the capturing of Auxiliary Snapshot Trigger 0. When this bit is set the auxiliary snapshot of the event on ptp_aux_trig_i[0] input is enabled. When this bit is reset the events on this input are.." "Auxiliary Snapshot i is disabled,Auxiliary Snapshot i is enabled"
newline
rbitfld.long 0x0 1.--3. "RESERVED_3_1,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "ATSFC,Auxiliary Snapshot FIFO Clear When set this bit resets the pointers of the Auxiliary Snapshot FIFO. This bit is cleared when the pointers are reset and the FIFO is empty. When this bit is high the auxiliary snapshots are stored in the FIFO." "Auxiliary Snapshot FIFO Clear is disabled,Auxiliary Snapshot FIFO Clear is enabled"
rgroup.long 0xB48++0x7
line.long 0x0 "MAC_AUXILIARY_TIMESTAMP_NANOSECONDS,The Auxiliary Timestamp Nanoseconds register. along with MAC_Auxiliary_Timestamp_Seconds. gives the 64-bit timestamp stored as auxiliary snapshot. These two registers form the read port of a 64-bit wide FIFO with a.."
bitfld.long 0x0 31. "RESERVED_31,Reserved." "0,1"
newline
hexmask.long 0x0 0.--30. 1. "AUXTSLO,Auxiliary Timestamp Contains the lower 31 bits (nanoseconds field) of the auxiliary timestamp."
line.long 0x4 "MAC_AUXILIARY_TIMESTAMP_SECONDS,The Auxiliary Timestamp - Seconds register contains the lower 32 bits of the Seconds field of the auxiliary timestamp register."
hexmask.long 0x4 0.--31. 1. "AUXTSHI,Auxiliary Timestamp Contains the lower 32 bits of the Seconds field of the auxiliary timestamp."
group.long 0xB50++0x17
line.long 0x0 "MAC_TIMESTAMP_INGRESS_ASYM_CORR,The MAC Timestamp Ingress Asymmetry Correction register contains the Ingress Asymmetry Correction value to be used while updating correction field in PDelay_Resp PTP messages."
hexmask.long 0x0 0.--31. 1. "OSTIAC,One-Step Timestamp Ingress Asymmetry Correction This field contains the ingress path asymmetry value to be added to correctionField of Pdelay_Resp PTP packet. The programmed value should be in units of nanoseconds and multiplied by 2^16. For.."
line.long 0x4 "MAC_TIMESTAMP_EGRESS_ASYM_CORR,The MAC Timestamp Egress Asymmetry Correction register contains the Egress Asymmetry Correction value to be used while updating the correction field in PDelay_Req PTP messages."
hexmask.long 0x4 0.--31. 1. "OSTEAC,One-Step Timestamp Egress Asymmetry Correction This field contains the egress path asymmetry value to be subtracted from correctionField of Pdelay_Resp PTP packet. The programmed value must be the negated value in units of nanoseconds multiplied.."
line.long 0x8 "MAC_TIMESTAMP_INGRESS_CORR_NANOSECOND,This register contains the correction value in nanoseconds to be used with the captured timestamp value in the ingress path."
hexmask.long 0x8 0.--31. 1. "TSIC,Timestamp Ingress Correction This field contains the ingress path correction value as defined by the Ingress Correction expression."
line.long 0xC "MAC_TIMESTAMP_EGRESS_CORR_NANOSECOND,This register contains the correction value in nanoseconds to be used with the captured timestamp value in the egress path."
hexmask.long 0xC 0.--31. 1. "TSEC,Timestamp Egress Correction This field contains the nanoseconds part of the egress path correction value as defined by the Egress Correction expression."
line.long 0x10 "MAC_TIMESTAMP_INGRESS_CORR_SUBNANOSEC,This register contains the sub-nanosecond part of the correction value to be used with the captured timestamp value. for ingress direction."
hexmask.long.word 0x10 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x10 8.--15. 1. "TSICSNS,Timestamp Ingress Correction sub-nanoseconds This field contains the sub-nanoseconds part of the ingress path correction value as defined by the 'Ingress Correction' expression."
newline
hexmask.long.byte 0x10 0.--7. 1. "RESERVED_7_0,Reserved."
line.long 0x14 "MAC_TIMESTAMP_EGRESS_CORR_SUBNANOSEC,This register contains the sub-nanosecond part of the correction value to be used with the captured timestamp value. for egress direction."
hexmask.long.word 0x14 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x14 8.--15. 1. "TSECSNS,Timestamp Egress Correction sub-nanoseconds This field contains the sub-nanoseconds part of the egress path correction value as defined by the 'Egress Correction' expression."
newline
hexmask.long.byte 0x14 0.--7. 1. "RESERVED_7_0,Reserved."
rgroup.long 0xB68++0x7
line.long 0x0 "MAC_TIMESTAMP_INGRESS_LATENCY,This register holds the Ingress MAC latency."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
hexmask.long.word 0x0 16.--27. 1. "ITLNS,Ingress Timestamp Latency in sub-nanoseconds This register holds the average latency in sub-nanoseconds between the input ports (phy_rxd_i) of MAC and the actual point (GMII/MII) where the ingress timestamp is taken. Ingress correction value is.."
newline
hexmask.long.byte 0x0 8.--15. 1. "ITLSNS,Ingress Timestamp Latency in nanoseconds This register holds the average latency in nanoseconds between the input ports (phy_rxd_i) of MAC and the actual point (GMII/MII) where the ingress timestamp is taken. Ingress correction value is computed.."
newline
hexmask.long.byte 0x0 0.--7. 1. "RESERVED_7_0,Reserved."
line.long 0x4 "MAC_TIMESTAMP_EGRESS_LATENCY,This register holds the Egress MAC latency."
hexmask.long.byte 0x4 28.--31. 1. "RESERVED_31_28,Reserved."
newline
hexmask.long.word 0x4 16.--27. 1. "ETLNS,Egress Timestamp Latency in nanoseconds This register holds the average latency in nanoseconds between the actual point (GMII/MII) where the egress timestamp is taken and the output ports (phy_txd_o) of the MAC. Ingress correction value is.."
newline
hexmask.long.byte 0x4 8.--15. 1. "ETLSNS,Egress Timestamp Latency in sub-nanoseconds This register holds the average latency in sub-nanoseconds between the actual point (GMII/MII) where the egress timestamp is taken and the output ports (phy_txd_o) of the MAC. Ingress correction value.."
newline
hexmask.long.byte 0x4 0.--7. 1. "RESERVED_7_0,Reserved."
group.long 0xB70++0x3
line.long 0x0 "MAC_PPS_CONTROL,PPS Control register. Bits[30:24] of this register are valid only when four Flexible PPS outputs are selected. Bits[22:16] are valid only when three or more Flexible PPS outputs are selected. Bits[14:8] are valid only when two or more.."
bitfld.long 0x0 31. "MCGREN3,MCGR Mode Enable for PPS3 Output This field enables the 3rd PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode." "0,1"
newline
bitfld.long 0x0 29.--30. "TRGTMODSEL3,Target Time Register Mode for PPS3 Output This field indicates the Target Time registers (MAC_PPS3_Target_Time_Seconds and MAC_PPS3_Target_Time_Nanoseconds) mode for PPS3 output signal. 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
rbitfld.long 0x0 28. "RESERVED_28,Reserved." "0,1"
newline
hexmask.long.byte 0x0 24.--27. 1. "PPSCMD3,Flexible PPS3 Output Control This field controls the flexible PPS3 output (ptp_pps_o[3]) signal. This field is similar to the PPSCMD0[2:0] field. If MCGREN3 is set then PPSCMD3 indicated by these 4 bits [27:24] are taken as Presentation Time.."
newline
bitfld.long 0x0 23. "MCGREN2,MCGR Mode Enable for PPS2 Output This field enables the 2nd PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode. 0x0: 2nd PPS instance is disabled to operate in PPS or MCGR mode 0x1:.." "0,1"
newline
bitfld.long 0x0 21.--22. "TRGTMODSEL2,Target Time Register Mode for PPS2 Output This field indicates the Target Time registers (MAC_PPS2_Target_Time_Seconds and MAC_PPS2_Target_Time_Nanoseconds) mode for PPS2 output signal. 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
rbitfld.long 0x0 20. "RESERVED_20,Reserved." "0,1"
newline
hexmask.long.byte 0x0 16.--19. 1. "PPSCMD2,Flexible PPS2 Output Control This field controls the flexible PPS2 output (ptp_pps_o[2]) signal. This field is similar to the PPSCMD0 field. If MCGREN2 is set then PPSCMD2 indicated by these 4 bits [19:16] are taken as Presentation Time Control.."
newline
bitfld.long 0x0 15. "MCGREN1,MCGR Mode Enable for PPS1 Output This field enables the 1st PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode. 0x0: 1st PPS instance is disabled to operate in PPS or MCGR mode 0x1:.." "0,1"
newline
bitfld.long 0x0 13.--14. "TRGTMODSEL1,Target Time Register Mode for PPS1 Output This field indicates the Target Time registers (MAC_PPS1_Target_Time_Seconds and MAC_PPS1_Target_Time_Nanoseconds) mode for PPS1 output signal. 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
rbitfld.long 0x0 12. "RESERVED_12,Reserved." "0,1"
newline
hexmask.long.byte 0x0 8.--11. 1. "PPSCMD1,Flexible PPS1 Output Control This field controls the flexible PPS1 output (ptp_pps_o[1]) signal. This field is similar to the PPSCMD0 field. If MCGREN1 is set then PPSCMD1 indicated by these 4 bits [11:8] are taken as Presentation Time Control.."
newline
bitfld.long 0x0 7. "MCGREN0,MCGR Mode Enable for PPS0 Output This field enables the 0th PPS instance to operate in PPS or MCGR mode. When set it operates in MCGR mode and on reset it operates in PPS mode. 0x1: 0th PPS instance is enabled to operate in MCGR mode 0x0: 0th PPS.." "0,1"
newline
bitfld.long 0x0 5.--6. "TRGTMODSEL0,Target Time Register Mode for PPS0 Output This field indicates the Target Time registers (MAC_PPS0_Target_Time_Seconds and MAC_PPS0_Target_Time_Nanoseconds) mode for PPS0 output signal: 0x2: Target Time registers are programmed for generating.." "Target Time registers are programmed only for..,Reserved,Target Time registers are programmed for..,Target Time registers are programmed only for.."
newline
bitfld.long 0x0 4. "PPSEN0,Flexible PPS Output Mode Enable When this bit is set Bits[3:0] function as PPSCMD. When this bit is reset Bits[3:0] function as PPSCTRL (Fixed PPS mode). 0x0: Flexible PPS Output Mode is disabled 0x1: Flexible PPS Output Mode is enabled" "Flexible PPS Output Mode is disabled,Flexible PPS Output Mode is enabled"
newline
hexmask.long.byte 0x0 0.--3. 1. "PPSCTRL_PPSCMD,PPS Output Frequency Control This field controls the frequency of the PPS0 output (ptp_pps_o[0]) signal. The default value of PPSCTRL is 0000 and the PPS output is 1 pulse (of width clk_ptp_i) every second. For other values of PPSCTRL.."
group.long 0xB80++0x53
line.long 0x0 "MAC_PPS0_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x0 0.--31. 1. "TSTRH0,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x4 "MAC_PPS0_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x4 31. "TRGTBUSY0,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x4 0.--30. 1. "TTSL0,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x8 "MAC_PPS0_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x8 0.--31. 1. "PPSINT0,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0xC "MAC_PPS0_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0xC 0.--31. 1. "PPSWIDTH0,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x10 "MAC_PPS1_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x10 0.--31. 1. "TSTRH1,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x14 "MAC_PPS1_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x14 31. "TRGTBUSY1,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x14 0.--30. 1. "TTSL1,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x18 "MAC_PPS1_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x18 0.--31. 1. "PPSINT1,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0x1C "MAC_PPS1_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x1C 0.--31. 1. "PPSWIDTH1,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x20 "MAC_PPS2_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x20 0.--31. 1. "TSTRH2,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x24 "MAC_PPS2_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x24 31. "TRGTBUSY2,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x24 0.--30. 1. "TTSL2,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x28 "MAC_PPS2_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x28 0.--31. 1. "PPSINT2,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0x2C "MAC_PPS2_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x2C 0.--31. 1. "PPSWIDTH2,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x30 "MAC_PPS3_TARGET_TIME_SECONDS,The PPS Target Time Seconds register. along with PPS Target Time Nanoseconds register. is used to schedule an interrupt event [Bit 1 of MAC_Timestamp_Status] when the system time exceeds the value programmed in these registers."
hexmask.long 0x30 0.--31. 1. "TSTRH3,PPS Target Time Seconds Register This field stores the time in seconds. When the timestamp value matches or exceeds both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt (if enabled) based on.."
line.long 0x34 "MAC_PPS3_TARGET_TIME_NANOSECONDS,PPS0 Target Time Nanoseconds register."
bitfld.long 0x34 31. "TRGTBUSY3,PPS Target Time Register Busy The MAC sets this bit when the PPSCMD0 field in the MAC_PPS_Control register is programmed to 010 or 011. Programming the PPSCMD0 field to 010 or 011 instructs the MAC to synchronize the Target Time Registers to.." "PPS Target Time Register Busy status is not..,PPS Target Time Register Busy is detected"
newline
hexmask.long 0x34 0.--30. 1. "TTSL3,Target Time Low for PPS Register This register stores the time in (signed) nanoseconds. When the value of the timestamp matches the value in both Target Timestamp registers the MAC starts or stops the PPS signal output and generates an interrupt.."
line.long 0x38 "MAC_PPS3_INTERVAL,The PPS0 Interval register contains the number of units of sub-second increment value between the rising edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x38 0.--31. 1. "PPSINT3,PPS Output Signal Interval These bits store the interval between the rising edges of PPS0 signal output. The interval is stored in terms of number of units of sub-second increment value. You need to program one value less than the required.."
line.long 0x3C "MAC_PPS3_WIDTH,The PPS0 Width register contains the number of units of sub-second increment value between the rising and corresponding falling edges of PPS0 signal output (ptp_pps_o[0])."
hexmask.long 0x3C 0.--31. 1. "PPSWIDTH3,PPS Output Signal Width These bits store the width between the rising edge and corresponding falling edge of PPS0 signal output. The width is stored in terms of number of units of sub-second increment value. You need to program one value less.."
line.long 0x40 "MAC_PTO_CONTROL,This register controls the PTP Offload Engine operation. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long.word 0x40 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.byte 0x40 8.--15. 1. "DN,Domain Number This field indicates the domain Number in which the PTP node is operating."
newline
bitfld.long 0x40 7. "PDRDIS,Disable Peer Delay Response response generation When this bit is set the Peer Delay Response (Pdelay_Resp) response is not be generated for received Peer Delay Request (Pdelay_Req) request packet as required by the programmed mode. Note: Setting.." "Peer Delay Response response generation is enabled,Peer Delay Response response generation is.."
newline
bitfld.long 0x40 6. "DRRDIS,Disable PTO Delay Request/Response response generation When this bit is set the Delay Request and Delay response is not generated for received SYNC and Delay request packet respectively as required by the programmed mode. 0x1: PTO Delay.." "PTO Delay Request/Response response generation..,PTO Delay Request/Response response generation.."
newline
bitfld.long 0x40 5. "APDREQTRIG,Automatic PTP Pdelay_Req message Trigger When this bit is set one PTP Pdelay_Req message is transmitted. This bit is automatically cleared after the PTP Pdelay_Req message is transmitted. The application should set the APDREQEN bit for this.." "Automatic PTP Pdelay_Req message Trigger is..,Automatic PTP Pdelay_Req message Trigger is.."
newline
bitfld.long 0x40 4. "ASYNCTRIG,Automatic PTP SYNC message Trigger When this bit is set one PTP SYNC message is transmitted. This bit is automatically cleared after the PTP SYNC message is transmitted. The application should set the ASYNCEN bit for this operation. Access.." "Automatic PTP SYNC message Trigger is disabled,Automatic PTP SYNC message Trigger is enabled"
newline
rbitfld.long 0x40 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x40 2. "APDREQEN,Automatic PTP Pdelay_Req message Enable When this bit is set PTP Pdelay_Req message is generated periodically based on interval programmed or trigger from application when the MAC is programmed to be in Peer-to-Peer Transparent mode. 0x0:.." "Automatic PTP Pdelay_Req message is disabled,Automatic PTP Pdelay_Req message is enabled"
newline
bitfld.long 0x40 1. "ASYNCEN,Automatic PTP SYNC message Enable When this bit is set PTP SYNC message is generated periodically based on interval programmed or trigger from application when the MAC is programmed to be in Clock Master mode. 0x0: Automatic PTP SYNC message is.." "Automatic PTP SYNC message is disabled,Automatic PTP SYNC message is enabled"
newline
bitfld.long 0x40 0. "PTOEN,PTP Offload Enable When this bit is set the PTP Offload feature is enabled. 0x0: PTP Offload feature is disabled 0x1: PTP Offload feature is enabled" "PTP Offload feature is disabled,PTP Offload feature is enabled"
line.long 0x44 "MAC_SOURCE_PORT_IDENTITY0,This register contains Bits[31:0] of the 80-bit Source Port Identity of the PTP node. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long 0x44 0.--31. 1. "SPI0,Source Port Identity 0 This field indicates bits [31:0] of sourcePortIdentity of PTP node."
line.long 0x48 "MAC_SOURCE_PORT_IDENTITY1,This register contains Bits[63:32] of the 80-bit Source Port Identity of the PTP node. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long 0x48 0.--31. 1. "SPI1,Source Port Identity 1 This field indicates bits [63:32] of sourcePortIdentity of PTP node."
line.long 0x4C "MAC_SOURCE_PORT_IDENTITY2,This register contains Bits[79:64] of the 80-bit Source Port Identity of the PTP node. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long.word 0x4C 16.--31. 1. "RESERVED_31_16,Reserved."
newline
hexmask.long.word 0x4C 0.--15. 1. "SPI2,Source Port Identity 2 This field indicates bits [79:64] of sourcePortIdentity of PTP node."
line.long 0x50 "MAC_LOG_MESSAGE_INTERVAL,This register contains the periodic intervals for automatic PTP packet generation. This register is available only when the Enable PTP Timestamp Offload feature is selected."
hexmask.long.byte 0x50 24.--31. 1. "LMPDRI,Log Min Pdelay_Req Interval This field indicates logMinPdelayReqInterval of PTP node. This is used to schedule the periodic Pdelay request packet transmission. Allowed values are -15 to 15.Negative value must be represented in 2's-complement form."
newline
hexmask.long.word 0x50 11.--23. 1. "RESERVED_23_11,Reserved."
newline
bitfld.long 0x50 8.--10. "DRSYNCR,Delay_Req to SYNC Ratio In Slave mode it is used for controlling frequency of Delay_Req messages transmitted. - 0: DelayReq generated for every received SYNC - 1: DelayReq generated every alternate reception of SYNC - 2: for every 4 SYNC.." "DelayReq generated for every received SYNC,DelayReq generated every alternate reception of..,for every 4 SYNC messages,for every 8 SYNC messages,for every 16 SYNC messages,for every 32 SYNC messages,Reserved,Reserved"
newline
hexmask.long.byte 0x50 0.--7. 1. "LSI,Log Sync Interval This field indicates the periodicity of the automatically generated SYNC message when the PTP node is Master. Allowed values are -15 to 15. Negative value must be represented in 2's-complement form. For example if the required.."
tree.end
tree "EQOS_MTL"
group.long 0x0++0x3
line.long 0x0 "MTL_OPERATION_MODE,The Operation Mode register establishes the Transmit and Receive operating modes and commands."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "FRPE,Flexible Rx parser Enable When this bit is set to 1 the Programmable Rx Parser functionality is enabled. When the Rx parser is disabled and if the Rx parser is in the middle of the parsing then it gets disabled only after completing the current.." "Flexible Rx parser is disabled,Flexible Rx parser is enabled"
newline
hexmask.long.byte 0x0 10.--14. 1. "RESERVED_14_10,Reserved."
newline
bitfld.long 0x0 9. "CNTCLR,Counters Reset When this bit is set all counters are reset. This bit is cleared automatically after 1 clock cycle. If this bit is set along with CNT_PRESET bit CNT_PRESET has precedence. Access restriction applies. Setting 1 sets. Self-cleared." "Counters are not reset,All counters are reset"
newline
bitfld.long 0x0 8. "CNTPRST,Counters Preset When this bit is set - MTL_TxQ[0-7]_Underflow register is initialized/preset to 12'h7F0. - Missed Packet and Overflow Packet counters in MTL_RxQ[0-7]_Missed_Packet_Overflow_Cnt register is initialized/preset to 12'h7F0. Access.." "Counters Preset is disabled,Counters Preset is enabled"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 5.--6. "SCHALG,Tx Scheduling Algorithm This field indicates the algorithm for Tx scheduling: 0x2: DWRR algorithm when DCB feature is selected.Otherwise Reserved 0x3: Strict priority algorithm 0x1: WFQ algorithm when DCB feature is selected.Otherwise Reserved.." "WRR algorithm,WFQ algorithm when DCB feature is selected,DWRR algorithm when DCB feature is selected,Strict priority algorithm"
newline
rbitfld.long 0x0 3.--4. "RESERVED_4_3,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 2. "RAA,Receive Arbitration Algorithm This field is used to select the arbitration algorithm for the Rx side. - 0: Strict priority (SP) Queue 0 has the lowest priority and the last queue has the highest priority. - 1: Weighted Strict Priority (WSP) 0x0:.." "Strict priority,Weighted Strict Priority"
newline
bitfld.long 0x0 1. "DTXSTS,Drop Transmit Status When this bit is set the Tx packet status received from the MAC is dropped in the MTL. When this bit is reset the Tx packet status received from the MAC is forwarded to the application. 0x0: Drop Transmit Status is disabled.." "Drop Transmit Status is disabled,Drop Transmit Status is enabled"
newline
rbitfld.long 0x0 0. "RESERVED_0,Reserved." "0,1"
group.long 0x8++0xB
line.long 0x0 "MTL_DBG_CTL,The FIFO Debug Access Control and Status register controls the operation mode of FIFO debug access."
hexmask.long.word 0x0 19.--31. 1. "RESERVED_31_19,Reserved."
newline
bitfld.long 0x0 17.--18. "EIEC,ECC Inject Error Control for Tx Rx and TSO memories When EIEE bit of this register is set following are the errors inserted based on the value encoded in this field. 0x0: Insert 1 bit error 0x3: Insert 1 bit error in address field 0x1: Insert 2.." "Insert 1 bit error,Insert 2 bit errors,Insert 3 bit errors,Insert 1 bit error in address field"
newline
bitfld.long 0x0 16. "EIEE,ECC Inject Error Enable for Tx Rx and TSO memories When set enables the ECC error injection feature. When reset disables the ECC error injection feature. 0x0: ECC Inject Error for Tx Rx and TSO memories is disabled 0x1: ECC Inject Error for Tx.." "ECC Inject Error for Tx,ECC Inject Error for Tx"
newline
bitfld.long 0x0 15. "STSIE,Transmit Status Available Interrupt Status Enable When this bit is set an interrupt is generated when Transmit status is available in slave mode. 0x0: Transmit Packet Available Interrupt Status is disabled 0x1: Transmit Packet Available Interrupt.." "Transmit Packet Available Interrupt Status is..,Transmit Packet Available Interrupt Status is.."
newline
bitfld.long 0x0 14. "PKTIE,Receive Packet Available Interrupt Status Enable When this bit is set an interrupt is generated when EOP of received packet is written to the Rx FIFO. 0x0: Receive Packet Available Interrupt Status is disabled 0x1: Receive Packet Available.." "Receive Packet Available Interrupt Status is..,Receive Packet Available Interrupt Status is.."
newline
bitfld.long 0x0 12.--13. "FIFOSEL,FIFO Selected for Access This field indicates the FIFO selected for debug access: 0x3: Rx FIFO 0x2: TSO FIFO (cannot be accessed when SLVMOD is set) 0x0: Tx FIFO 0x1: Tx Status FIFO (only read access when SLVMOD is set)" "Tx FIFO,Tx Status FIFO,TSO FIFO,Rx FIFO"
newline
bitfld.long 0x0 11. "FIFOWREN,FIFO Write Enable When this bit is set it enables the Write operation on selected FIFO when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access restriction.." "FIFO Write is disabled,FIFO Write is enabled"
newline
bitfld.long 0x0 10. "FIFORDEN,FIFO Read Enable When this bit is set it enables the Read operation on selected FIFO when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access restriction.." "FIFO Read is disabled,FIFO Read is enabled"
newline
bitfld.long 0x0 9. "RSTSEL,Reset Pointers of Selected FIFO When this bit is set the pointers of the currently-selected FIFO are reset when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access.." "Reset Pointers of Selected FIFO is disabled,Reset Pointers of Selected FIFO is enabled"
newline
bitfld.long 0x0 8. "RSTALL,Reset All Pointers When this bit is set the pointers of all FIFOs are reset when FIFO Debug Access is enabled. This bit must not be written to 1 when FIFO Debug Access is not enabled that is FDBGEN bit is 0. Access restriction applies." "Reset All Pointers is disabled,Reset All Pointers is enabled"
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 5.--6. "PKTSTATE,Encoded Packet State This field is used to write the control information to the Tx FIFO or Rx FIFO. Tx FIFO: - 00: Packet Data - 01: Control Word - 10: SOP Data - 11: EOP Data Rx FIFO: - 00: Packet Data - 01: Normal Status - 10: Last Status -.." "Packet Data,Control Word/Normal Status,SOP Data/Last Status,EOP Data/EOP"
newline
rbitfld.long 0x0 4. "RESERVED_4,Reserved." "0,1"
newline
bitfld.long 0x0 2.--3. "BYTEEN,Byte Enables This field indicates the number of data bytes valid in the data register during Write operation. This is valid only when PKTSTATE is 2'b10 (EOP) and Tx FIFO or Rx FIFO is selected. 0x3: All four bytes are valid 0x2: Byte 0 Byte 1.." "Byte 0 valid,Byte 0 and Byte 1 are valid,Byte 0,All four bytes are valid"
newline
bitfld.long 0x0 1. "DBGMOD,Debug Mode Access to FIFO When this bit is set it indicates that the current access to the FIFO is read write and debug access. In this mode the following access types are allowed: - Read and Write access to Tx FIFO TSO FIFO and Rx FIFO -.." "Debug Mode Access to FIFO is disabled,Debug Mode Access to FIFO is enabled"
newline
bitfld.long 0x0 0. "FDBGEN,FIFO Debug Access Enable When this bit is set it indicates that the debug mode access to the FIFO is enabled. When this bit is reset it indicates that the FIFO can be accessed only through a master interface. 0x0: FIFO Debug Access is disabled.." "FIFO Debug Access is disabled,FIFO Debug Access is enabled"
line.long 0x4 "MTL_DBG_STS,The FIFO Debug Status register contains the status of FIFO debug access."
hexmask.long.tbyte 0x4 15.--31. 1. "LOCR,Remaining Locations in the FIFO Slave Access Mode: This field indicates the space available in selected FIFO. Debug Access Mode: This field contains the Write or Read pointer value of the selected FIFO during Write or Read operation respectively."
newline
hexmask.long.byte 0x4 10.--14. 1. "RESERVED_14_10,Reserved."
newline
bitfld.long 0x4 9. "STSI,Transmit Status Available Interrupt Status When set this bit indicates that the Slave mode Tx packet is transmitted and the status is available in Tx Status FIFO. This bit is reset when 1 is written to this bit. 0x1: Transmit Status Available.." "Transmit Status Available Interrupt Status not..,Transmit Status Available Interrupt Status.."
newline
bitfld.long 0x4 8. "PKTI,Receive Packet Available Interrupt Status When set this bit indicates that MAC layer has written the EOP of received packet to the Rx FIFO. This bit is reset when 1 is written to this bit. 0x1: Receive Packet Available Interrupt Status detected.." "Receive Packet Available Interrupt Status not..,Receive Packet Available Interrupt Status detected"
newline
rbitfld.long 0x4 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 3.--4. "BYTEEN,Byte Enables This field indicates the number of data bytes valid in the data register during Read operation. This is valid only when PKTSTATE is 2'b10 (EOP) and Tx FIFO or Rx FIFO is selected. 0x3: All four bytes are valid 0x2: Byte 0 Byte 1 and.." "Byte 0 valid,Byte 0 and Byte 1 are valid,Byte 0,All four bytes are valid"
newline
rbitfld.long 0x4 1.--2. "PKTSTATE,Encoded Packet State This field is used to get the control or status information of the selected FIFO. Tx FIFO: - 00: Packet Data - 01: Control Word - 10: SOP Data - 11: EOP Data Rx FIFO: - 00: Packet Data - 01: Normal Status - 10: Last Status -.." "Packet Data,Control Word/Normal Status,SOP Data/Last Status,EOP Data/EOP"
newline
rbitfld.long 0x4 0. "FIFOBUSY,FIFO Busy When set this bit indicates that a FIFO operation is in progress in the MAC and content of the following fields is not valid: - All other fields of this register - All fields of the MTL_FIFO_Debug_Data register 0x1: FIFO Busy detected.." "FIFO Busy not detected,FIFO Busy detected"
line.long 0x8 "MTL_FIFO_DEBUG_DATA,The FIFO Debug Data register contains the data to be written to or read from the FIFOs."
hexmask.long 0x8 0.--31. 1. "FDBGDATA,FIFO Debug Data During debug or slave access write operation this field contains the data to be written to the Tx FIFO Rx FIFO or TSO FIFO. During debug or slave access read operation this field contains the data read from the Tx FIFO Rx.."
rgroup.long 0x20++0x3
line.long 0x0 "MTL_INTERRUPT_STATUS,The software driver (application) reads this register during interrupt service routine or polling to determine the interrupt status of MTL queues and the MAC."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
bitfld.long 0x0 23. "MTLPIS,MTL Rx Parser Interrupt Status This bit indicates that there is an interrupt from Rx Parser Block. To reset this bit the application must read the MTL_ Rxp_Interrupt_Status register to get the exact cause of the interrupt and clear its source." "MTL Rx Parser Interrupt status not detected,MTL Rx Parser Interrupt status detected"
newline
hexmask.long.byte 0x0 19.--22. 1. "RESERVED_22_19,Reserved."
newline
bitfld.long 0x0 18. "ESTIS,EST (TAS- 802.1Qbv) Interrupt Status This bit indicates an interrupt event during the operation of 802.1Qbv. To reset this bit the application must clear the error/event that has caused the Interrupt. 0x1: EST (TAS- 802.1Qbv) Interrupt status.." "EST,EST"
newline
bitfld.long 0x0 17. "DBGIS,Debug Interrupt status This bit indicates an interrupt event during the slave access. To reset this bit the application must read the FIFO Debug Access Status register to get the exact cause of the interrupt and clear its source. 0x1: Debug.." "Debug Interrupt status not detected,Debug Interrupt status detected"
newline
bitfld.long 0x0 16. "RESERVED_MACIS,Reserved." "0,1"
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x0 7. "RESERVED_Q7IS,Reserved." "0,1"
newline
bitfld.long 0x0 6. "RESERVED_Q6IS,Reserved." "0,1"
newline
bitfld.long 0x0 5. "RESERVED_Q5IS,Reserved." "0,1"
newline
bitfld.long 0x0 4. "Q4IS,Queue 4 Interrupt status This bit indicates that there is an interrupt from Queue 4. To reset this bit the application must read the MTL_Q4_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 4.." "Queue 4 Interrupt status not detected,Queue 4 Interrupt status detected"
newline
bitfld.long 0x0 3. "Q3IS,Queue 3 Interrupt status This bit indicates that there is an interrupt from Queue 3. To reset this bit the application must read the MTL_Q3_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 3.." "Queue 3 Interrupt status not detected,Queue 3 Interrupt status detected"
newline
bitfld.long 0x0 2. "Q2IS,Queue 2 Interrupt status This bit indicates that there is an interrupt from Queue 2. To reset this bit the application must read the MTL_Q2_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 2.." "Queue 2 Interrupt status not detected,Queue 2 Interrupt status detected"
newline
bitfld.long 0x0 1. "Q1IS,Queue 1 Interrupt status This bit indicates that there is an interrupt from Queue 1. To reset this bit the application must read the MTL_Q1_Interrupt_Control_Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue 1.." "Queue 1 Interrupt status not detected,Queue 1 Interrupt status detected"
newline
bitfld.long 0x0 0. "Q0IS,Queue 0 Interrupt status This bit indicates that there is an interrupt from Queue 0. To reset this bit the application must read Queue 0 Interrupt Control and Status register to get the exact cause of the interrupt and clear its source. 0x1: Queue.." "Queue 0 Interrupt status not detected,Queue 0 Interrupt status detected"
group.long 0x30++0x7
line.long 0x0 "MTL_RXQ_DMA_MAP0,The Receive Queue and DMA Channel Mapping 0 register is reserved in EQOS-CORE and EQOS-MTL configurations."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 28. "Q3DDMACH,Queue 3 Enabled for Dynamic (per packet) DMA Channel Selection When set this bit indicates that the packets received in Queue 3 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in.." "Queue 3 disabled for DA-based DMA Channel..,Queue 3 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 27. "RESERVED_27_Y,Reserved." "0,1"
newline
bitfld.long 0x0 24.--26. "Q3MDMACH,Queue 3 Mapped to DMA Channel This field controls the routing of the received packet in Queue 3 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 20. "Q2DDMACH,Queue 2 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 2 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 2 disabled for DA-based DMA Channel..,Queue 2 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 19. "RESERVED_19_Y,Reserved." "0,1"
newline
bitfld.long 0x0 16.--18. "Q2MDMACH,Queue 2 Mapped to DMA Channel This field controls the routing of the received packet in Queue 2 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
newline
rbitfld.long 0x0 13.--15. "RESERVED_15_13,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 12. "Q1DDMACH,Queue 1 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 1 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 1 disabled for DA-based DMA Channel..,Queue 1 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 11. "RESERVED_11_Y,Reserved." "0,1"
newline
bitfld.long 0x0 8.--10. "Q1MDMACH,Queue 1 Mapped to DMA Channel This field controls the routing of the received packet in Queue 1 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "Q0DDMACH,Queue 0 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 0 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 0 disabled for DA-based DMA Channel..,Queue 0 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x0 3. "RESERVED_3_Y,Reserved." "0,1"
newline
bitfld.long 0x0 0.--2. "Q0MDMACH,Queue 0 Mapped to DMA Channel This field controls the routing of the packet received in Queue 0 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
line.long 0x4 "MTL_RXQ_DMA_MAP1,The Receive Queue and DMA Channel Mapping 1 register is reserved in EQOS-CORE and EQOS-MTL configurations."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 28. "RESERVED_Q7DDMACH,Reserved." "0,1"
newline
rbitfld.long 0x4 27. "RESERVED_27_Y,Reserved." "0,1"
newline
rbitfld.long 0x4 24.--26. "RESERVED_Q7MDMACH,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 20. "RESERVED_Q6DDMACH,Reserved." "0,1"
newline
rbitfld.long 0x4 19. "RESERVED_19_Y,Reserved." "0,1"
newline
rbitfld.long 0x4 16.--18. "RESERVED_Q6MDMACH,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 13.--15. "RESERVED_15_13,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 12. "RESERVED_Q5DDMACH,Reserved." "0,1"
newline
rbitfld.long 0x4 11. "RESERVED_11_Y,Reserved." "0,1"
newline
rbitfld.long 0x4 8.--10. "RESERVED_Q5MDMACH,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x4 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 4. "Q4DDMACH,Queue 4 Enabled for DA-based DMA Channel Selection When set this bit indicates that the packets received in Queue 4 are routed to a particular DMA channel as decided in the MAC Receiver based on the DMA channel number programmed in the L3-L4.." "Queue 4 disabled for DA-based DMA Channel..,Queue 4 enabled for DA-based DMA Channel Selection"
newline
rbitfld.long 0x4 3. "RESERVED_3_Y,Reserved." "0,1"
newline
bitfld.long 0x4 0.--2. "Q4MDMACH,Queue 4 Mapped to DMA Channel This field controls the routing of the packet received in Queue 4 to the DMA channel: - 000: DMA Channel 0 - 001: DMA Channel 1 - 010: DMA Channel 2 - 011: DMA Channel 3 - 100: DMA Channel 4 - 101: DMA Channel 5 -.." "DMA Channel 0,DMA Channel 1,?,?,?,?,?,?"
group.long 0x40++0x3
line.long 0x0 "MTL_TBS_CTRL,This register controls the operation of Time Based Scheduling."
hexmask.long.tbyte 0x0 8.--31. 1. "LEOS,Launch Expiry Offset The value in units of 256 nanoseconds that has to be added to the Launch time to compute the Launch Expiry time. Value valid only when LEOV is set. Max value: 999 999 999 ns additionally should be smaller than CTR-1 value when.."
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 4.--6. "LEGOS,Launch Expiry GSN Offset The number GSN slots that has to be added to the Launch GSN to compute the Launch Expiry time. Value valid only when LEOV is set." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 1. "LEOV,Launch Expiry Offset Valid When set indicates the LEOS field is valid. When not set indicates the Launch Expiry Offset is not valid and the MTL must not check for Launch expiry time. 0x0: LEOS field is invalid 0x1: LEOS field is valid" "LEOS field is invalid,LEOS field is valid"
newline
bitfld.long 0x0 0. "ESTM,EST offset Mode When this bit is set the Launch Time value used in Time Based Scheduling is interpreted as an EST offset value and is added to the Base Time Register (BTR) of the current list. When reset the Launch Time value is used as an.." "EST offset Mode is disabled,EST offset Mode is enabled"
group.long 0x50++0x3
line.long 0x0 "MTL_EST_CONTROL,This register controls the operation of Enhancements to Scheduled Transmission (IEEE802.1Qbv)."
hexmask.long.byte 0x0 24.--31. 1. "PTOV,PTP Time Offset Value The value of PTP Clock period multiplied by 6 in nanoseconds. This value is needed to avoid transmission overruns at the beginning of the installation of a new GCL."
newline
hexmask.long.word 0x0 12.--23. 1. "CTOV,Current Time Offset Value Provides a 12 bit time offset value in nano second that is added to the current time to compensate for all the implementation pipeline delays such as the CDC sync delay buffering delays data path delays etc. This offset.."
newline
rbitfld.long 0x0 11. "RESERVED_11,Reserved." "0,1"
newline
bitfld.long 0x0 8.--10. "TILS,Time Interval Left Shift Amount This field provides the left shift amount for the programmed Time Interval values used in the Gate Control Lists. - 000: No left shift needed (equal to x1ns) - 001: Left shift TI by 1 bit (equal to x2ns) - 010: Left.." "No left shift needed,Left shift TI by 1 bit,?,?,?,?,?,?"
newline
bitfld.long 0x0 6.--7. "LCSE,Loop Count to report Scheduling Error Programmable number of GCL list iterations before reporting an HLBS error defined in EST_Status register. 0x2: 16 iterations 0x3: 32 iterations 0x0: 4 iterations 0x1: 8 iterations" "0,1,2,3"
newline
bitfld.long 0x0 5. "DFBS,Drop Frames causing Scheduling Error When set frames reported to cause HOL Blocking due to not getting scheduled (HLBS field of EST_Status register) after 4 8 16 32 (based on LCSE field of this register) GCL iterations are dropped. 0x0: Do not Drop.." "Do not Drop Frames causing Scheduling Error,Drop Frames causing Scheduling Error"
newline
bitfld.long 0x0 4. "DDBF,Do not Drop frames during Frame Size Error When set frames are not be dropped during Head-of-Line blocking due to Frame Size Error (HLBF field of EST_Status register). 0x1: Do not Drop frames during Frame Size Error 0x0: Drop frames during Frame.." "Drop frames during Frame Size Error,Do not Drop frames during Frame Size Error"
newline
rbitfld.long 0x0 2.--3. "RESERVED_2_3,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 1. "SSWL,Switch to S/W owned list When set indicates that the software has programmed that list that it currently owns (SWOL) and the hardware should switch to the new list based on the new BTR. Hardware clears this bit when the switch to the SWOL happens to.." "Switch to S/W owned list is disabled,Switch to S/W owned list is enabled"
newline
bitfld.long 0x0 0. "EEST,Enable EST When reset the gate control list processing is halted and all gates are assumed to be in Open state. Should be set for the hardware to start processing the gate control lists. During the toggle from 0 to 1 the gate control list.." "EST is disabled,EST is enabled"
group.long 0x58++0x3
line.long 0x0 "MTL_EST_STATUS,This register provides Status related to Enhancements to Scheduled Transmission (IEEE802.1Qbv)."
hexmask.long.word 0x0 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x0 16.--19. 1. "CGSN,Current GCL Slot Number Indicates the slot number of the GCL list. Slot number is a modulo 16 count of the GCL List loops executed so far. Even if a new GCL list is installed the count is incremental."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
hexmask.long.byte 0x0 8.--11. 1. "BTRL,BTR Error Loop Count Provides the minimum count (N) for which the equation Current Time =< New BTR + (N * New Cycle Time) becomes true. N = '1111' indicates the iterations exceeded the value of 8 and the hardware was not able to update New BTR to be.."
newline
rbitfld.long 0x0 7. "SWOL,S/W owned list When '0' indicates Gate control list number '0' is owned by software and when '1' indicates the Gate Control list '1' is owned by the software. Any reads/writes by the software (using indirect access via GCL_Control) is directed to.." "Gate control list number '0' is owned by software,Gate control list number '1' is owned by software"
newline
rbitfld.long 0x0 5.--6. "RESERVED_6_5,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 4. "CGCE,Constant Gate Control Error This error occurs when the list length (LLR) is 1 and the programmed Time Interval (TI) value after the optional Left Shifting is less than or equal to the Cycle Time (CTR). The above programming implies Gates are either.." "Constant Gate Control Error not detected,Constant Gate Control Error detected"
newline
rbitfld.long 0x0 3. "HLBS,Head-Of-Line Blocking due to Scheduling Set when the frame is not able to win arbitration and get scheduled even after 4 iterations of the GCL. Indicates to software a potential programming error. The one hot encoded values of the Queue Numbers that.." "Head-Of-Line Blocking due to Scheduling not..,Head-Of-Line Blocking due to Scheduling detected"
newline
rbitfld.long 0x0 2. "HLBF,Head-Of-Line Blocking due to Frame Size Set when HOL Blocking is noticed on one or more Queues as a result of none of the Time Intervals of gate open in the GCL being greater than or equal to the duration needed for frame size (or frame fragment.." "Head-Of-Line Blocking due to Frame Size not..,Head-Of-Line Blocking due to Frame Size detected"
newline
bitfld.long 0x0 1. "BTRE,BTR Error When '1' indicates a programming error in the BTR of SWOL where the programmed value is less than current time. If the BTRL = '1111' SWOL is not updated and Software should reprogram the BTR to a value greater than current time and then.." "BTR Error not detected,BTR Error detected"
newline
bitfld.long 0x0 0. "SWLC,Switch to S/W owned list Complete When '1' indicates the hardware has successfully switched to the SWOL and the SWOL bit has been updated to that effect. Cleared when the SSWL of EST_Control register transitions from 0 to 1 or on a software write." "Switch to S/W owned list Complete not detected,Switch to S/W owned list Complete detected"
group.long 0x60++0x7
line.long 0x0 "MTL_EST_SCH_ERROR,This register provides the One Hot encoded Queue Numbers that are having the Scheduling related error (timeout)."
hexmask.long 0x0 5.--31. 1. "RESERVED_31_X,Reserved."
newline
hexmask.long.byte 0x0 0.--4. 1. "SEQN,Schedule Error Queue Number The One Hot Encoded Queue Numbers that have experienced error/timeout described in HLBS field of status register. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect."
line.long 0x4 "MTL_EST_FRM_SIZE_ERROR,This register provides the One Hot encoded Queue Numbers that are having the Frame Size related error."
hexmask.long 0x4 5.--31. 1. "RESERVED_31_X,Reserved."
newline
hexmask.long.byte 0x4 0.--4. 1. "FEQN,Frame Size Error Queue Number The One Hot Encoded Queue Numbers that have experienced error described in HLBF field of status register. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect."
rgroup.long 0x68++0x3
line.long 0x0 "MTL_EST_FRM_SIZE_CAPTURE,This register captures the Frame Size and Queue Number of the first occurrence of the Frame Size related error. Up on clearing it captures the data of immediate next occurrence of a similar error."
hexmask.long.word 0x0 19.--31. 1. "RESERVED_31_X,Reserved."
newline
bitfld.long 0x0 16.--18. "HBFQ,Queue Number of HLBF Captures the binary value of the of the first Queue (number) experiencing HLBF error (see HLBF field of status register). Value once written is not altered by any subsequent queue errors of similar nature. Once cleared the queue.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x0 0.--14. 1. "HBFS,Frame Size of HLBF Captures the Frame Size of the dropped frame related to queue number indicated in HBFQ field of this register. Contents of this register should be considered invalid if this field is zero. Cleared when MTL_EST_Frm_Size_Error.."
group.long 0x70++0x3
line.long 0x0 "MTL_EST_INTR_ENABLE,This register implements the Interrupt Enable bits for the various events that generate an interrupt. Bit positions have a 1 to 1 correlation with the status bit positions in MTL_ETS_Status register."
hexmask.long 0x0 5.--31. 1. "RESERVED_31_5,Reserved."
newline
bitfld.long 0x0 4. "CGCE,Interrupt Enable for CGCE When set generates interrupt when the Constant Gate Control Error occurs and is indicated in the status. When reset this event does not generate an interrupt 0x0: Interrupt for CGCE is disabled 0x1: Interrupt for CGCE is.." "Interrupt for CGCE is disabled,Interrupt for CGCE is enabled"
newline
bitfld.long 0x0 3. "IEHS,Interrupt Enable for HLBS When set generates interrupt when the Head-of-Line Blocking due to Scheduling issue and is indicated in the status. When reset this event does not generate an interrupt. 0x0: Interrupt for HLBS is disabled 0x1: Interrupt.." "Interrupt for HLBS is disabled,Interrupt for HLBS is enabled"
newline
bitfld.long 0x0 2. "IEHF,Interrupt Enable for HLBF When set generates interrupt when the Head-of-Line Blocking due to Frame Size error occurs and is indicated in the status. When reset this event does not generate an interrupt. 0x0: Interrupt for HLBF is disabled 0x1:.." "Interrupt for HLBF is disabled,Interrupt for HLBF is enabled"
newline
bitfld.long 0x0 1. "IEBE,Interrupt Enable for BTR Error When set generates interrupt when the BTR Error occurs and is indicated in the status. When reset this event does not generate an interrupt. 0x0: Interrupt for BTR Error is disabled 0x1: Interrupt for BTR Error is.." "Interrupt for BTR Error is disabled,Interrupt for BTR Error is enabled"
newline
bitfld.long 0x0 0. "IECC,Interrupt Enable for Switch List When set generates interrupt when the configuration change is successful and the hardware has switched to the new list. When reset this event does not generate an interrupt. 0x0: Interrupt for Switch List is.." "Interrupt for Switch List is disabled,Interrupt for Switch List is enabled"
group.long 0x80++0x7
line.long 0x0 "MTL_EST_GCL_CONTROL,This register provides the control information for reading/writing to the Gate Control lists."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
bitfld.long 0x0 22.--23. "ESTEIEC,ECC Inject Error Control for EST Memory When EIEE bit of this register is set following are the errors inserted based on the value encoded in this field. This filed will be valid only if DWC_EQOS_ASP_ECC feature is selected during the.." "Insert 1 bit error,Insert 2 bit errors,Insert 3 bit errors,Insert 1 bit error in address field"
newline
bitfld.long 0x0 21. "ESTEIEE,EST ECC Inject Error Enable When set along with EEST bit of MTL_EST_Control register enables the ECC error injection feature. When reset disables the ECC error injection feature. 0x0: EST ECC Inject Error is disabled 0x1: EST ECC Inject Error.." "EST ECC Inject Error is disabled,EST ECC Inject Error is enabled"
newline
bitfld.long 0x0 20. "ERR0,When set indicates the last write operation was aborted as software writes to GCL and GCL registers is prohibited when SSWL bit of MTL_EST_Control Register is set. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears." "ERR0 is disabled,ERR1 is enabled"
newline
rbitfld.long 0x0 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 8.--17. 1. "ADDR,Gate Control List Address: (GCLA when GCRR is '0'). Provides the address (row number) of the Gate Control List at which the R/W operation has to be performed. By default the Gate Control List pointed by SWOL of MTL_EST_Status is selected for R/W.."
newline
bitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 5. "DBGB,Debug Mode Bank Select When set to '0' indicates R/W in debug mode should be directed to Bank 0 (GCL0 and corresponding Time related registers). When set to '1' indicates R/W in debug mode should be directed to Bank 1 (GCL1 and corresponding Time.." "R/W in debug mode should be directed to Bank 0,R/W in debug mode should be directed to Bank 1"
newline
bitfld.long 0x0 4. "DBGM,Debug Mode When set to '1' indicates R/W in debug mode where the memory bank (for GCL and Time related registers) is explicitly provided by DBGB value when set to '0' SWOL bit is used to determine which bank to use. 0x0: Debug Mode is disabled 0x1:.." "Debug Mode is disabled,Debug Mode is enabled"
newline
bitfld.long 0x0 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x0 2. "GCRR,Gate Control Related Registers When set to '1' indicates the R/W access is for the GCL related registers (BTR CTR TER LLR) whose address is provided by GCRA. When '0' indicates R/W should be directed to GCL from the address provided by GCLA. 0x0:.." "Gate Control Related Registers are disabled,Gate Control Related Registers are enabled"
newline
bitfld.long 0x0 1. "R1W0,Read '1' Write '0': When set to '1': Read Operation When set to '0': Write Operation. 0x1: Read Operation 0x0: Write Operation" "Write Operation,Read Operation"
newline
bitfld.long 0x0 0. "SRWO,Start Read/Write Op When set indicates a Read/Write Op has started and is in progress. When reset by hardware indicates the R/W Op has completed or an error has occurred (when bit 20 is set) Reads: Data can be read from MTL_EST_GCL_Data register.." "Start Read/Write Op disabled,Start Read/Write Op enabled"
line.long 0x4 "MTL_EST_GCL_DATA,This register holds the read data or write data in case of reads and writes respectively."
hexmask.long 0x4 0.--31. 1. "GCD,Gate Control Data The data corresponding to the address selected in the GCL_Control register. Used for both Read and Write operations."
group.long 0x90++0x7
line.long 0x0 "MTL_FPE_CTRL_STS,This register controls the operation of. and provides status for Frame Preemption (IEEE802.1Qbu/802.3br)."
rbitfld.long 0x0 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 28. "HRS,Hold/Release Status - 1: Indicates a Set-and-Hold-MAC operation was last executed and the pMAC is in Hold State. - 0: Indicates a Set-and-Release-MAC operation was last executed and the pMAC is in Release State. 0x1: Indicates a Set-and-Hold-MAC.." "Indicates a Set-and-Release-MAC operation was..,Indicates a Set-and-Hold-MAC operation was last.."
newline
hexmask.long.word 0x0 16.--27. 1. "RESERVED_27_16,Reserved."
newline
rbitfld.long 0x0 13.--15. "RESERVED_15_Y,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x0 8.--12. 1. "PEC,Preemption Classification When set indicates the corresponding Queue must be classified as preemptable when '0' Queue is classified as express. When both EST (Qbv) and Preemption are enabled Queue-0 is always assumed to be preemptable. When EST.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 0.--1. "AFSZ,Additional Fragment Size used to indicate in units of 64 bytes the minimum number of bytes over 64 bytes required in non-final fragments of preempted frames. The minimum non-final fragment size is (AFSZ +1) * 64 bytes" "0,1,2,3"
line.long 0x4 "MTL_FPE_ADVANCE,This register holds the Hold and Release Advance time."
hexmask.long.word 0x4 16.--31. 1. "RADV,Release Advance The maximum time in nanoseconds that can elapse between issuing a RELEASE to the MAC and the MAC being ready to resume transmission of preemptable frames in the absence of there being any express frames available for transmission."
newline
hexmask.long.word 0x4 0.--15. 1. "HADV,Hold Advance The maximum time in nanoseconds that can elapse between issuing a HOLD to the MAC and the MAC ceasing to transmit any preemptable frame that is in the process of transmission or any preemptable frames that are queued for transmission."
group.long 0xA0++0x7
line.long 0x0 "MTL_RXP_CONTROL_STATUS,The MTL_RXP_Control_Status register establishes the operating mode of Rx Parser and provides some status."
rbitfld.long 0x0 31. "RXPI,RX Parser in Idle state This status bit is set to 1 when the Rx parser is in Idle State and waiting for a new packet for processing. This bit is used as a handshake with software when parser gets disables. After disabling when bit is set then.." "RX Parser not in Idle state,RX Parser in Idle state"
newline
hexmask.long.byte 0x0 24.--30. 1. "RESERVED_30_X,Reserved."
newline
hexmask.long.byte 0x0 16.--23. 1. "NPE,Number of parsable entries in the Instruction table This control indicates the number of parsable entries in the Instruction Memory. This is used in Rx parser logic to detect programming Error. In case number of parsed entries for a packet is more.."
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_X,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "NVE,Number of valid entries in the Instruction table This control indicates the number of valid entries in the Instruction Memory. This is used in Rx parser logic to detect any programming Error. In case while parsing Table address (memory address) found.."
line.long 0x4 "MTL_RXP_INTERRUPT_CONTROL_STATUS,The MTL_RXP_Interrupt_Control_Status registers provides enable control for the interrupts and provides interrupt status."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_20,Reserved."
newline
bitfld.long 0x4 19. "PDRFIE,Packet Drop due to RF Interrupt Enable When this bit is set the PDRFIS interrupt is enabled. When this bit is reset the PDRFIS interrupt is disabled. 0x0: Packet Drop due to RF Interrupt is disabled 0x1: Packet Drop due to RF Interrupt is enabled" "Packet Drop due to RF Interrupt is disabled,Packet Drop due to RF Interrupt is enabled"
newline
bitfld.long 0x4 18. "FOOVIE,Frame Offset Overflow Interrupt Enable When this bit is set the FOOVIS interrupt is enabled. When this bit is reset the FOOVIS interrupt is disabled. 0x0: Frame Offset Overflow Interrupt is disabled 0x1: Frame Offset Overflow Interrupt is enabled" "Frame Offset Overflow Interrupt is disabled,Frame Offset Overflow Interrupt is enabled"
newline
rbitfld.long 0x4 17. "RESERVED,Reserved" "0,1"
newline
bitfld.long 0x4 16. "NVEOVIE,Number of Valid Entries Overflow Interrupt Enable When this bit is set the NVEOVIS interrupt is enabled. When this bit is reset the NVEOVIS interrupt is disabled. 0x0: Number of Valid Entries Overflow Interrupt is disabled 0x1: Number of Valid.." "Number of Valid Entries Overflow Interrupt is..,Number of Valid Entries Overflow Interrupt is.."
newline
hexmask.long.word 0x4 4.--15. 1. "RESERVED_15_4,Reserved."
newline
bitfld.long 0x4 3. "PDRFIS,Packet Dropped due to RF Interrupt Status If the Rx Parser result says to drop the packet by setting RF=1 in the instruction memory then this bit is set to 1. This bit is cleared when the application writes 1 to this bit. Access restriction.." "Packet Dropped due to RF Interrupt Status not..,Packet Dropped due to RF Interrupt Status detected"
newline
bitfld.long 0x4 2. "FOOVIS,Frame Offset Overflow Interrupt Status While parsing if the Instruction table entry's 'Frame Offset' found to be more than EOF offset then then this bit is set. This bit is cleared when the application writes 1 to this bit. Access restriction.." "Frame Offset Overflow Interrupt Status not..,Frame Offset Overflow Interrupt Status detected"
newline
bitfld.long 0x4 1. "NPEOVIS,Number of Parsable Entries Overflow Interrupt Status While parsing a packet if the number of parsed entries found to be more than NPE[] (Number of Parseable Entries in MTL_RXP_Control register) then this bit is set to 1. This bit is cleared when.." "Number of Parsable Entries Overflow Interrupt..,Number of Parsable Entries Overflow Interrupt.."
newline
bitfld.long 0x4 0. "NVEOVIS,Number of Valid Entries Overflow Interrupt Status While parsing if the Instruction address found to be more than NVE (Number of Valid Entries in MTL_RXP_Control register) then this bit is set to 1. This bit is cleared when the application writes.." "Number of Valid Entries Overflow Interrupt..,Number of Valid Entries Overflow Interrupt.."
rgroup.long 0xA8++0x7
line.long 0x0 "MTL_RXP_DROP_CNT,The MTL_RXP_Drop_Cnt register provides the drop count of Rx Parser initiated drops."
bitfld.long 0x0 31. "RXPDCOVF,Rx Parser Drop Counter Overflow Bit When set this bit indicates that the MTL_RXP_Drop_cnt (RXPDC) Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Drop count.." "Rx Parser Drop count overflow not occurred,Rx Parser Drop count overflow occurred"
newline
hexmask.long 0x0 0.--30. 1. "RXPDC,Rx Parser Drop count This 31-bit counter is implemented whenever a Rx Parser Drops a packet due to RF =1. The counter is cleared when the register is read."
line.long 0x4 "MTL_RXP_ERROR_CNT,The MTL_RXP_Error_Cnt register provides the Rx Parser related error occurrence count."
bitfld.long 0x4 31. "RXPECOVF,Rx Parser Error Counter Overflow Bit When set this bit indicates that the MTL_RXP_Error_cnt (RXPEC) Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Error count.." "Rx Parser Error count overflow not occurred,Rx Parser Error count overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPEC,Rx Parser Error count This 31-bit counter is implemented whenever a Rx Parser encounters following Error scenarios - Entry address >= NVE[] - Number Parsed Entries >= NPE[] - Entry address > EOF data entry address The counter is cleared when the.."
group.long 0xB0++0x3
line.long 0x0 "MTL_RXP_INDIRECT_ACC_CONTROL_STATUS,The MTL_RXP_Indirect_Acc_Control_Status register provides the Indirect Access control and status for Rx Parser memory."
bitfld.long 0x0 31. "STARTBUSY,FRP Instruction Table Access Busy When this bit is set to 1 by the software then it indicates to start the Read/Write operation from/to the Rx Parser Memory. Software should read this bit as 0 before issuing read or write request to access the.." "hardware not busy,hardware is busy"
newline
hexmask.long.byte 0x0 23.--30. 1. "RESERVED_30_23,Reserved."
newline
bitfld.long 0x0 21.--22. "RXPEIEC,ECC Inject Error Control for Rx Parser Memory When EIEE bit of this register is set following are the errors inserted based on the value encoded in this field. 0x0: Insert 1 bit error 0x3: Insert 1 bit error in address field 0x1: Insert 2 bit.." "Insert 1 bit error,Insert 2 bit errors,Insert 3 bit errors,Insert 1 bit error in address field"
newline
bitfld.long 0x0 20. "RXPEIEE,ECC Inject Error Enable for Rx Parser Memory When set enables the ECC error injection feature. When reset disables the ECC error injection feature. 0x0: ECC Inject Error for Rx Parser Memory is disabled 0x1: ECC Inject Error for Rx Parser.." "ECC Inject Error for Rx Parser Memory is disabled,ECC Inject Error for Rx Parser Memory is enabled"
newline
rbitfld.long 0x0 17.--19. "RESERVED_19_17,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 16. "WRRDN,Read Write Control When this bit is set to 1 indicates the write operation to the Rx Parser Memory. When this bit is set to 0 indicates the read operation to the Rx Parser Memory. 0x0: Read operation to the Rx Parser Memory 0x1: Write operation to.." "Read operation to the Rx Parser Memory,Write operation to the Rx Parser Memory"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_X,Reserved."
newline
hexmask.long.word 0x0 0.--9. 1. "ADDR,FRP Instruction Table Offset Address This field indicates the ADDR of the 32-bit entry in Rx parser instruction table. Each entry has 128-bit (4x32-bit words). The X depends on the configurable DWC_EQOS_FRP_ENTRIES If DWC_EQOS_FRP_ENTRIES == 256.."
rgroup.long 0xB4++0x3
line.long 0x0 "MTL_RXP_INDIRECT_ACC_DATA,The MTL_RXP_Indirect_Acc_Data registers holds the data associated to Indirect Access to Rx Parser memory."
hexmask.long 0x0 0.--31. 1. "DATA,FRP Instruction Table Write/Read Data Software should write this register before issuing any write command. The hardware provides the read data from the Rx Parser Memory for read operation when STARTBUSY =0 after read command."
group.long 0xC0++0x3
line.long 0x0 "MTL_ECC_CONTROL,The MTL_ECC_Control register establishes the operating mode of ECC related to MTL memories."
hexmask.long.tbyte 0x0 9.--31. 1. "RESERVED_31_9,Reserved."
newline
bitfld.long 0x0 8. "MEEAO,MTL ECC Error Address Status Over-ride When set the following error address fields will hold the last valid address where the error is detected. When reset the following error address fields will hold the first address where the error is.." "MTL ECC Error Address Status Over-ride is disabled,MTL ECC Error Address Status Over-ride is enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 4. "RESERVED_TSOEE,Reserved." "0,1"
newline
bitfld.long 0x0 3. "MRXPEE,MTL Rx Parser ECC Enable When set to 1 enables the ECC feature for Rx Parser memory. When set to zero disables the ECC feature for Rx Parser memory. 0x0: MTL Rx Parser ECC is disabled 0x1: MTL Rx Parser ECC is enabled" "MTL Rx Parser ECC is disabled,MTL Rx Parser ECC is enabled"
newline
bitfld.long 0x0 2. "MESTEE,MTL EST ECC Enable When set to 1 enables the ECC feature for EST memory. When set to zero disables the ECC feature for EST memory. 0x0: MTL EST ECC is disabled 0x1: MTL EST ECC is enabled" "MTL EST ECC is disabled,MTL EST ECC is enabled"
newline
bitfld.long 0x0 1. "MRXEE,MTL Rx FIFO ECC Enable When set to 1 enables the ECC feature for MTL Rx FIFO memory. When set to zero disables the ECC feature for MTL Rx FIFO memory. 0x0: MTL Rx FIFO ECC is disabled 0x1: MTL Rx FIFO ECC is enabled" "MTL Rx FIFO ECC is disabled,MTL Rx FIFO ECC is enabled"
newline
bitfld.long 0x0 0. "MTXEE,MTL Tx FIFO ECC Enable When set to 1 enables the ECC feature for MTL Tx FIFO memory. When set to zero disables the ECC feature for MTL Tx FIFO memory. 0x0: MTL Tx FIFO ECC is disabled 0x1: MTL Tx FIFO ECC is enabled" "MTL Tx FIFO ECC is disabled,MTL Tx FIFO ECC is enabled"
rgroup.long 0xC4++0x3
line.long 0x0 "MTL_SAFETY_INTERRUPT_STATUS,The MTL_Safety_Interrupt_Status registers provides Safety interrupt status."
bitfld.long 0x0 31. "MCSIS,MAC Safety Uncorrectable Interrupt Status Indicates an uncorrectable Safety-related Interrupt is set in the MAC module. MAC_DPP_FSM_Interrupt_Status register should be read when this bit is set to get the cause of the Safety Interrupt in MAC. 0x1:.." "MAC Safety Uncorrectable Interrupt Status not..,MAC Safety Uncorrectable Interrupt Status detected"
newline
hexmask.long 0x0 2.--30. 1. "RESERVED_30_2,Reserved."
newline
bitfld.long 0x0 1. "MEUIS,MTL ECC Uncorrectable error Interrupt Status This bit indicates that an uncorrectable error interrupt event in the MTL ECC safety feature. To get the exact cause of the interrupt the application should read the MTL_ECC_Interrupt_Status register." "MTL ECC Uncorrectable error Interrupt Status not..,MTL ECC Uncorrectable error Interrupt Status.."
newline
bitfld.long 0x0 0. "MECIS,MTL ECC Correctable error Interrupt Status This bit indicates that a correctable error interrupt event in the MTL ECC safety feature. To get the exact cause of the interrupt the application should read the MTL_ECC_Interrupt_Status register. 0x1:.." "MTL ECC Correctable error Interrupt Status not..,MTL ECC Correctable error Interrupt Status.."
group.long 0xC8++0xB
line.long 0x0 "MTL_ECC_INTERRUPT_ENABLE,The MTL_ECC_Interrupt_Enable register provides enable bits for the ECC interrupts."
hexmask.long.tbyte 0x0 13.--31. 1. "RESERVED_31_13,Reserved."
newline
bitfld.long 0x0 12. "RPCEIE,Rx Parser memory Correctable Error Interrupt Enable When set generates an interrupt when an uncorrectable error is detected at the Rx Parser memory interface. It is indicated in RPCES status bit of MTL_ECC_Interrupt_Status register. When reset.." "Rx Parser memory Correctable Error Interrupt is..,Rx Parser memory Correctable Error Interrupt is.."
newline
rbitfld.long 0x0 9.--11. "RESERVED_11_9,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 8. "ECEIE,EST memory Correctable Error Interrupt Enable When set generates an interrupt when a correctable error is detected at the MTL EST memory interface. It is indicated in the ECES bit of MTL_ECC_Interrupt_Status register. When reset this event does.." "EST memory Correctable Error Interrupt is disabled,EST memory Correctable Error Interrupt is enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 4. "RXCEIE,Rx memory Correctable Error Interrupt Enable When set generates an interrupt when a correctable error is detected at the MTL Rx memory interface. It is indicated in the RXCES bit of MTL_ECC_Interrupt_Status register. When reset this event does.." "Rx memory Correctable Error Interrupt is disabled,Rx memory Correctable Error Interrupt is enabled"
newline
rbitfld.long 0x0 1.--3. "RESERVED_3_1,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "TXCEIE,Tx memory Correctable Error Interrupt Enable When set generates an interrupt when a correctable error is detected at the MTL Tx memory interface. It is indicated in the TXCES bit of MTL_ECC_Interrupt_Status register. When reset this event does.." "Tx memory Correctable Error Interrupt is disabled,Tx memory Correctable Error Interrupt is enabled"
line.long 0x4 "MTL_ECC_INTERRUPT_STATUS,The MTL_ECC_Interrupt_Status register provides MTL ECC Interrupt Status."
hexmask.long.tbyte 0x4 15.--31. 1. "RESERVED_31_15,Reserved."
newline
bitfld.long 0x4 14. "RPUES,Rx Parser memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at Rx Parser memory interface. 0x1: Rx Parser memory Uncorrectable Error Status detected 0x0: Rx Parser memory Uncorrectable Error Status not.." "Rx Parser memory Uncorrectable Error Status not..,Rx Parser memory Uncorrectable Error Status.."
newline
bitfld.long 0x4 13. "RPAMS,MTL Rx Parser memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of Rx Parser memory. 0x1: MTL Rx Parser memory Address Mismatch Status detected 0x0: MTL Rx Parser memory Address Mismatch.." "MTL Rx Parser memory Address Mismatch Status not..,MTL Rx Parser memory Address Mismatch Status.."
newline
bitfld.long 0x4 12. "RPCES,MTL Rx Parser memory Correctable Error Status This bit when set indicates that correctable error is detected at RX Parser memory interface. 0x1: MTL Rx Parser memory Correctable Error Status detected 0x0: MTL Rx Parser memory Correctable Error.." "MTL Rx Parser memory Correctable Error Status..,MTL Rx Parser memory Correctable Error Status.."
newline
rbitfld.long 0x4 11. "RESERVED_11,Reserved." "0,1"
newline
bitfld.long 0x4 10. "EUES,MTL EST memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at MTL EST memory interface. 0x1: MTL EST memory Uncorrectable Error Status detected 0x0: MTL EST memory Uncorrectable Error Status not detected" "MTL EST memory Uncorrectable Error Status not..,MTL EST memory Uncorrectable Error Status detected"
newline
bitfld.long 0x4 9. "EAMS,MTL EST memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of MTL EST memory. 0x1: MTL EST memory Address Mismatch Status detected 0x0: MTL EST memory Address Mismatch Status not detected" "MTL EST memory Address Mismatch Status not..,MTL EST memory Address Mismatch Status detected"
newline
bitfld.long 0x4 8. "ECES,MTL EST memory Correctable Error Status This bit when set indicates that correctable error is detected at the MTL EST memory. 0x1: MTL EST memory Correctable Error Status detected 0x0: MTL EST memory Correctable Error Status not detected" "MTL EST memory Correctable Error Status not..,MTL EST memory Correctable Error Status detected"
newline
rbitfld.long 0x4 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x4 6. "RXUES,MTL Rx memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at the MTL Rx memory interface. 0x1: MTL Rx memory Uncorrectable Error Status detected 0x0: MTL Rx memory Uncorrectable Error Status not detected" "MTL Rx memory Uncorrectable Error Status not..,MTL Rx memory Uncorrectable Error Status detected"
newline
bitfld.long 0x4 5. "RXAMS,MTL Rx memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of the MTL Rx memory. 0x1: MTL Rx memory Address Mismatch Status detected 0x0: MTL Rx memory Address Mismatch Status not detected" "MTL Rx memory Address Mismatch Status not detected,MTL Rx memory Address Mismatch Status detected"
newline
bitfld.long 0x4 4. "RXCES,MTL Rx memory Correctable Error Status This bit when set indicates that correctable error is detected at the MTL Rx memory. 0x1: MTL Rx memory correctable Error Status detected 0x0: MTL Rx memory correctable Error Status not detected" "MTL Rx memory correctable Error Status not..,MTL Rx memory correctable Error Status detected"
newline
rbitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 2. "TXUES,MTL Tx memory Uncorrectable Error Status When set indicates that an uncorrectable error is detected at the MTL TX memory interface. 0x1: MTL Tx memory Uncorrectable Error Status detected 0x0: MTL Tx memory Uncorrectable Error Status not detected" "MTL Tx memory Uncorrectable Error Status not..,MTL Tx memory Uncorrectable Error Status detected"
newline
bitfld.long 0x4 1. "TXAMS,MTL Tx memory Address Mismatch Status This bit when set indicates that address mismatch is found for address bus of the MTL Tx memory. 0x1: MTL Tx memory Address Mismatch Status detected 0x0: MTL Tx memory Address Mismatch Status not detected" "MTL Tx memory Address Mismatch Status not detected,MTL Tx memory Address Mismatch Status detected"
newline
bitfld.long 0x4 0. "TXCES,MTL Tx memory Correctable Error Status This bit when set indicates that a correctable error is detected at the MTL Tx memory. 0x1: MTL Tx memory Correctable Error Status detected 0x0: MTL Tx memory Correctable Error Status not detected" "MTL Tx memory Correctable Error Status not..,MTL Tx memory Correctable Error Status detected"
line.long 0x8 "MTL_ECC_ERR_STS_RCTL,The MTL_ECC_Err_Sts_Rctl register establishes the control for ECC Error status capture."
hexmask.long 0x8 6.--31. 1. "RESERVED_31_6,Reserved."
newline
bitfld.long 0x8 5. "CUES,Clear Uncorrectable Error Status When this bit is set along with EESRE bit of this register based on the EMS field of this register the respective memory's uncorrectable error address and uncorrectable error count values will be cleared upon.." "Clear Uncorrectable Error Status not detected,Clear Uncorrectable Error Status detected"
newline
bitfld.long 0x8 4. "CCES,Clear Correctable Error Status When this bit is set along with EESRE bit of this register based on the EMS field of this register the respective memory's correctable error address and correctable error count values will be cleared upon reading." "Clear Correctable Error Status not detected,Clear Correctable Error Status detected"
newline
bitfld.long 0x8 1.--3. "EMS,MTL ECC Memory Selection When EESRE bit of this register is set this field indicates which memory's error status value to be read. The memory selection encoding is as described below. 0x2: MTL EST memory 0x3: MTL Rx Parser memory 0x1: MTL Rx memory.." "MTL Tx memory,MTL Rx memory,MTL EST memory,MTL Rx Parser memory,DMA TSO memory,?,?,?"
newline
bitfld.long 0x8 0. "EESRE,MTL ECC Error Status Read Enable When this bit is set based on the EMS field of this register the respective memory's error status values will be captured as described below - The correctable and uncorrectable error count values will be captured.." "MTL ECC Error Status Read is disabled,MTL ECC Error Status Read is enabled"
rgroup.long 0xD4++0x7
line.long 0x0 "MTL_ECC_ERR_ADDR_STATUS,The MTL_ECC_Err_Addr_Status register provides the memory addresses for the correctable and uncorrectable errors."
hexmask.long.word 0x0 16.--31. 1. "EUEAS,MTL ECC Uncorrectable Error Address Status Based on the EMS field of MTL_ECC_Err_Sts_Rctl register this field holds the respective memory's address locations for which an uncorrectable error or address mismatch is detected. When MEEAO bit of.."
newline
hexmask.long.word 0x0 0.--15. 1. "ECEAS,MTL ECC Correctable Error Address Status Based on the EMS field of MTL_ECC_Err_Sts_Rctl register this field holds the respective memory's address locations for which a correctable error is detected. When MEEAO bit of MTL_ECC_Control register is.."
line.long 0x4 "MTL_ECC_ERR_CNTR_STATUS,The MTL_ECC_Err_Cntr_Status register provides ECC Error count for Correctable and uncorrectable errors."
hexmask.long.word 0x4 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x4 16.--19. 1. "EUECS,MTL ECC Uncorrectable Error Counter Status Based on the EMS field of MTL_ECC_Err_Cntr_Rctl register this field holds the respective memory's uncorrectable error count value."
newline
hexmask.long.byte 0x4 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "ECECS,MTL ECC Correctable Error Counter Status Based on the EMS field of MTL_ECC_Err_Cntr_Rctl register this field holds the respective memory's correctable error count value."
group.long 0xE0++0x3
line.long 0x0 "MTL_DPP_CONTROL,The MTL_DPP_Control establishes the operating mode of Data Parity protection and error injection."
hexmask.long.tbyte 0x0 14.--31. 1. "RESERVED_31_14,Reserved."
newline
bitfld.long 0x0 13. "IPECW,Insert Parity error in CSR Read data parity generator When set to 1 parity bit of first valid data generated by the CSR parity generator (or at PG10 as shown in Fig.AXI slave Interface Data path parity protection) is flipped. Hardware will clear.." "Insert Parity error in CSR Read data parity..,Insert Parity error in CSR Read data parity.."
newline
rbitfld.long 0x0 12. "RESERVED_IPEASW,Reserved." "0,1"
newline
bitfld.long 0x0 11. "IPERD,Insert Parity error in Rx write-back Descriptor parity generator When set to 1 parity bit of first valid data generated by the DMA Rx write-back descriptor parity generator(or at PG8 as shown in Fig.Receive data path parity protection) is flipped." "Insert Parity error in Rx write-back Descriptor..,Insert Parity error in Rx write-back Descriptor.."
newline
bitfld.long 0x0 10. "IPETD,Insert Parity error in Tx write-back Descriptor parity generator When set to 1 parity bit of first valid data generated by the DMA Tx write-back descriptor parity generator(or at PG4 as shown in Fig.Transmit data path parity protection) is.." "Insert Parity error in Tx write-back Descriptor..,Insert Parity error in Tx write-back Descriptor.."
newline
rbitfld.long 0x0 9. "RESERVED_IPETSO,Reserved." "0,1"
newline
bitfld.long 0x0 8. "IPEDDC,Insert Parity Error in DMA DTX Control word parity generator When set to 1 parity bit of first valid data generated by the DMA DTX Control word parity generator (or at PG2 as shown in Fig.Transmit data path parity protection) is flipped. Hardware.." "Insert Parity Error in DMA DTX Control word..,Insert Parity Error in DMA DTX Control word.."
newline
bitfld.long 0x0 7. "IPEMRF,Insert Parity Error in MTL Rx FIFO read control parity generator When set to 1 parity bit of first valid data generated by the MTL Rx FIFO read control parity generator (or at PG7 as shown in Fig.Receive data path parity protection) is flipped." "Insert Parity Error in MTL Rx FIFO read control..,Insert Parity Error in MTL Rx FIFO read control.."
newline
bitfld.long 0x0 6. "IPEMTS,Insert Parity Error in MTL Tx Status parity generator When set to 1 parity bit of first valid data generated by the MTL Tx Status parity generator (or at PG6 as shown in Fig.Transmit data path parity protection) is flipped. Hardware will clear.." "Insert Parity Error in MTL Tx Status parity..,Insert Parity Error in MTL Tx Status parity.."
newline
bitfld.long 0x0 5. "IPEMC,Insert Parity Error in MTL checksum parity generator When set to 1 parity bit of first valid data generated by the MTL checksum parity generator (or at PG5 as shown in Fig.Transmit data path parity protection) is flipped. Hardware will clear this.." "Insert Parity Error in MTL checksum parity..,Insert Parity Error in MTL checksum parity.."
newline
rbitfld.long 0x0 4. "RESERVED_IPEID,Reserved." "0,1"
newline
rbitfld.long 0x0 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x0 2. "EPSI,Enable Parity on Slave Interface port When set to 1 enables the parity check for the slave interface ports and disables the internal generation of parity for the input slave data port. When set to 0 disables the parity check for the slave.." "Parity on Slave Interface port is disabled,Parity on Slave Interface port is enabled"
newline
bitfld.long 0x0 1. "OPE,Odd Parity Enable When set to 1 enables odd parity protection on all the external interfaces and when set to 0 enables even parity protection on all the external interfaces. 0x0: Odd Parity is disabled 0x1: Odd Parity is enabled" "Odd Parity is disabled,Odd Parity is enabled"
newline
bitfld.long 0x0 0. "EDPP,Enable Data path Parity Protection When set to 1 enables the parity protection for EQOS datapath by generating and checking the parity on EQOS datapath. When set to 0 disables the parity protection for EQOS datapath. 0x0: Data path Parity.." "Data path Parity Protection is disabled,Data path Parity Protection is enabled"
tree.end
tree "EQOS_MTL_Q0"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ0_OPERATION_MODE,The Queue 0 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Reserved - 2'b10: Enabled - 2'b11: Reserved This field is Read Only in Single Queue configurations and Read Write in Multiple Queue.." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ0_UNDERFLOW,The Queue 0 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ0_DEBUG,The Queue 0 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ0_ETS_STATUS,The Queue 0 ETS Status register provides the average traffic transmitted in Queue 0."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. When the DCB operation is enabled for Queue 0 this field is computed over every 10 million bit times slot (4 ms in 2500 Mbps; 10 ms in 1000 Mbps; 100 ms in 100 Mbps)."
group.long 0x18++0x3
line.long 0x0 "MTL_TXQ0_QUANTUM_WEIGHT,The Queue 0 Quantum or Weights register contains the quantum value for Deficit Weighted Round Robin (DWRR). weights for the Weighted Round Robin (WRR). and Weighted Fair Queuing (WFQ) for Queue 0."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,Quantum or Weights When the DCB operation is enabled with DWRR algorithm for Queue 0 traffic this field contains the quantum value in bytes to be added to credit during every queue scanning cycle. The maximum value is 0x1312D0 bytes. When DCB.."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q0_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 0 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ0_OPERATION_MODE,The Queue 0 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ0_MISSED_PACKET_OVERFLOW_CNT,The Queue 0 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ0_DEBUG,The Queue 0 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ0_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q1"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ1_OPERATION_MODE,The Queue 1 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ1_UNDERFLOW,The Queue 1 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ1_DEBUG,The Queue 1 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ1_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ1_ETS_STATUS,The Queue 1 ETS Status register provides the average traffic transmitted in Queue 1."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ1_QUANTUM_WEIGHT,The Queue 1 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 1."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ1_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ1_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ1_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q1_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 1 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ1_OPERATION_MODE,The Queue 1 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ1_MISSED_PACKET_OVERFLOW_CNT,The Queue 1 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ1_DEBUG,The Queue 1 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ1_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q2"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ2_OPERATION_MODE,The Queue 2 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ2_UNDERFLOW,The Queue 2 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ2_DEBUG,The Queue 2 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ2_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ2_ETS_STATUS,The Queue 2 ETS Status register provides the average traffic transmitted in Queue 2."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ2_QUANTUM_WEIGHT,The Queue 2 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 2."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ2_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ2_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ2_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q2_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 2 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ2_OPERATION_MODE,The Queue 2 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ2_MISSED_PACKET_OVERFLOW_CNT,The Queue 2 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ2_DEBUG,The Queue 2 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ2_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q3"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ3_OPERATION_MODE,The Queue 3 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ3_UNDERFLOW,The Queue 3 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ3_DEBUG,The Queue 3 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ3_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ3_ETS_STATUS,The Queue 3 ETS Status register provides the average traffic transmitted in Queue 3."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ3_QUANTUM_WEIGHT,The Queue 3 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 3."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ3_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ3_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ3_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q3_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 3 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ3_OPERATION_MODE,The Queue 3 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ3_MISSED_PACKET_OVERFLOW_CNT,The Queue 3 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ3_DEBUG,The Queue 3 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ3_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_MTL_Q4"
group.long 0x0++0x3
line.long 0x0 "MTL_TXQ4_OPERATION_MODE,The Queue 4 Transmit Operation Mode register establishes the Transmit queue operating modes and commands."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 16.--20. 1. "TQS,Transmit Queue Size This field indicates the size of the allocated Transmit queues in blocks of 256 bytes. The TQS field is read-write only if the number of Tx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
hexmask.long.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved."
newline
bitfld.long 0x0 4.--6. "TTC,Transmit Threshold Control These bits control the threshold level of the MTL Tx Queue. The transmission starts when the packet size within the MTL Tx Queue is larger than the threshold. In addition full packets with length less than the threshold.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2.--3. "TXQEN,Transmit Queue Enable This field is used to enable/disable the transmit queue 0. - 2'b00: Not enabled - 2'b01: Enable in AV mode - 2'b10: Enabled - 2'b11: Reserved Note: In multiple Tx queues configuration all the queues are disabled by default." "Not enabled,Enable in AV mode,Enabled,Reserved"
newline
bitfld.long 0x0 1. "TSF,Transmit Store and Forward When this bit is set the transmission starts when a full packet resides in the MTL Tx queue. When this bit is set the TTC values specified in Bits[6:4] of this register are ignored. This bit should be changed only when.." "Transmit Store and Forward is disabled,Transmit Store and Forward is enabled"
newline
bitfld.long 0x0 0. "FTQ,Flush Transmit Queue When this bit is set the Tx queue controller logic is reset to its default values. Therefore all the data in the Tx queue is lost or flushed. This bit is internally reset when the flushing operation is complete. Until this bit.." "Flush Transmit Queue is disabled,Flush Transmit Queue is enabled"
rgroup.long 0x4++0x7
line.long 0x0 "MTL_TXQ4_UNDERFLOW,The Queue 4 Underflow Counter register contains the counter for packets aborted because of Transmit queue underflow and packets missed because of Receive queue packet flush"
hexmask.long.tbyte 0x0 12.--31. 1. "RESERVED_31_12,Reserved."
newline
bitfld.long 0x0 11. "UFCNTOVF,Overflow Bit for Underflow Packet Counter This bit is set every time the Tx queue Underflow Packet Counter field overflows that is it has crossed the maximum count. In such a scenario the overflow packet counter is reset to all-zeros and this.." "Overflow not detected for Underflow Packet Counter,Overflow detected for Underflow Packet Counter"
newline
hexmask.long.word 0x0 0.--10. 1. "UFFRMCNT,Underflow Packet Counter This field indicates the number of packets aborted by the controller because of Tx Queue Underflow. This counter is incremented each time the MAC aborts outgoing packet because of underflow. The counter is cleared when.."
line.long 0x4 "MTL_TXQ4_DEBUG,The Queue 4 Transmit Debug register gives the debug status of various blocks related to the Transmit queue."
hexmask.long.word 0x4 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x4 20.--22. "STXSTSF,Number of Status Words in Tx Status FIFO of Queue This field indicates the current number of status in the Tx Status FIFO of this queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of.." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x4 16.--18. "PTXQ,Number of Packets in the Transmit Queue This field indicates the current number of packets in the Tx Queue. When the DTXSTS bit of MTL_Operation_Mode register is set to 1 this field does not reflect the number of packets in the Transmit queue." "0,1,2,3,4,5,6,7"
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 5. "TXSTSFSTS,MTL Tx Status FIFO Full Status When high this bit indicates that the MTL Tx Status FIFO is full. Therefore the MTL cannot accept any more packets for transmission. 0x1: MTL Tx Status FIFO Full status is detected 0x0: MTL Tx Status FIFO Full.." "MTL Tx Status FIFO Full status is not detected,MTL Tx Status FIFO Full status is detected"
newline
bitfld.long 0x4 4. "TXQSTS,MTL Tx Queue Not Empty Status When this bit is high it indicates that the MTL Tx Queue is not empty and some data is left for transmission. 0x1: MTL Tx Queue Not Empty status is detected 0x0: MTL Tx Queue Not Empty status is not detected" "MTL Tx Queue Not Empty status is not detected,MTL Tx Queue Not Empty status is detected"
newline
bitfld.long 0x4 3. "TWCSTS,MTL Tx Queue Write Controller Status When high this bit indicates that the MTL Tx Queue Write Controller is active and it is transferring the data to the Tx Queue. 0x1: MTL Tx Queue Write Controller status is detected 0x0: MTL Tx Queue Write.." "MTL Tx Queue Write Controller status is not..,MTL Tx Queue Write Controller status is detected"
newline
bitfld.long 0x4 1.--2. "TRCSTS,MTL Tx Queue Read Controller Status This field indicates the state of the Tx Queue Read Controller: 0x3: Flushing the Tx queue because of the Packet Abort request from the MAC 0x0: Idle state 0x1: Read state (transferring data to the MAC.." "Idle state,Read state,Waiting for pending Tx Status from the MAC..,Flushing the Tx queue because of the Packet.."
newline
bitfld.long 0x4 0. "TXQPAUSED,Transmit Queue in Pause When this bit is high and the Rx flow control is enabled it indicates that the Tx Queue is in the Pause condition (in the full-duplex only mode) because of the following: - Reception of the PFC packet for the priorities.." "Transmit Queue in Pause status is not detected,Transmit Queue in Pause status is detected"
group.long 0x10++0x3
line.long 0x0 "MTL_TXQ4_ETS_CONTROL,The Queue ETS Control register controls the enhanced transmission selection operation."
hexmask.long 0x0 7.--31. 1. "RESERVED_31_7,Reserved."
newline
bitfld.long 0x0 4.--6. "SLC,Slot Count If the credit-based shaper algorithm is enabled the software can program the number of slots (of duration programmed in DMA_CH[n]_Slot_Interval register) over which the average transmitted bits per slot provided in the.." "?,?,?,?,?,Reserved,?,?"
newline
bitfld.long 0x0 3. "CC,Credit Control When this bit is set the accumulated credit parameter in the credit-based shaper algorithm logic is not reset to zero when there is positive credit and no packet to transmit in Channel 1. The credit accumulates even when there is no.." "Credit Control is disabled,Credit Control is enabled"
newline
bitfld.long 0x0 2. "AVALG,AV Algorithm When Queue 1 is programmed for AV this field configures the scheduling algorithm for this queue: This bit when set indicates credit based shaper algorithm (CBS) is selected for Queue 1 traffic. When reset strict priority is.." "CBS Algorithm is disabled,CBS Algorithm is enabled"
newline
rbitfld.long 0x0 0.--1. "RESERVED_1_0,Reserved." "0,1,2,3"
rgroup.long 0x14++0x3
line.long 0x0 "MTL_TXQ4_ETS_STATUS,The Queue 4 ETS Status register provides the average traffic transmitted in Queue 4."
hexmask.long.byte 0x0 24.--31. 1. "RESERVED_31_24,Reserved."
newline
hexmask.long.tbyte 0x0 0.--23. 1. "ABS,Average Bits per Slot This field contains the average transmitted bits per slot. If AV operation is enabled this field is computed over number of slots programmed in the SLC field of MTL_TxQ[n]_ETS_CONTROL register. The maximum value of this field.."
group.long 0x18++0xF
line.long 0x0 "MTL_TXQ4_QUANTUM_WEIGHT,The Queue 4 idleSlopeCredit. Quantum or Weights register provides the average traffic transmitted in Queue 4."
hexmask.long.word 0x0 21.--31. 1. "RESERVED_31_21,Reserved."
newline
hexmask.long.tbyte 0x0 0.--20. 1. "ISCQW,idleSlopeCredit Quantum or Weights - idleSlopeCredit When AV feature is enabled this field contains the idleSlopeCredit value required for the credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40.."
line.long 0x4 "MTL_TXQ4_SENDSLOPECREDIT,The sendSlopeCredit register contains the sendSlope credit value required for the credit-based shaper algorithm for the Queue."
hexmask.long.tbyte 0x4 14.--31. 1. "RESERVED_31_14,Reserved."
newline
hexmask.long.word 0x4 0.--13. 1. "SSC,sendSlopeCredit Value When AV operation is enabled this field contains the sendSlopeCredit value required for credit-based shaper algorithm for Queue 1. This is the rate of change of credit in bits per cycle (40 ns 8 ns and 3.2 ns for 100 Mbps.."
line.long 0x8 "MTL_TXQ4_HICREDIT,The hiCredit register contains the hiCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0x8 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0x8 0.--28. 1. "HC,hiCredit Value When the AV feature is enabled this field contains the hiCredit value required for the credit-based shaper algorithm. This is the maximum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
line.long 0xC "MTL_TXQ4_LOCREDIT,The loCredit register contains the loCredit value required for the credit-based shaper algorithm for the Queue."
rbitfld.long 0xC 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long 0xC 0.--28. 1. "LC,loCredit Value When AV operation is enabled this field contains the loCredit value required for the credit-based shaper algorithm. This is the minimum value that can be accumulated in the credit parameter. This is specified in bits scaled by 1 024."
group.long 0x2C++0x7
line.long 0x0 "MTL_Q4_INTERRUPT_CONTROL_STATUS,This register contains the interrupt enable and status bits for the queue 4 interrupts."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
bitfld.long 0x0 24. "RXOIE,Receive Queue Overflow Interrupt Enable When this bit is set the Receive Queue Overflow interrupt is enabled. When this bit is reset the Receive Queue Overflow interrupt is disabled. 0x0: Receive Queue Overflow Interrupt is disabled 0x1: Receive.." "Receive Queue Overflow Interrupt is disabled,Receive Queue Overflow Interrupt is enabled"
newline
hexmask.long.byte 0x0 17.--23. 1. "RESERVED_23_17,Reserved."
newline
bitfld.long 0x0 16. "RXOVFIS,Receive Queue Overflow Interrupt Status This bit indicates that the Receive Queue had an overflow while receiving the packet. If a partial packet is transferred to the application the overflow status is set in RDES3[21]. This bit is cleared when.." "Receive Queue Overflow Interrupt Status not..,Receive Queue Overflow Interrupt Status detected"
newline
hexmask.long.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved."
newline
bitfld.long 0x0 9. "ABPSIE,Average Bits Per Slot Interrupt Enable When this bit is set the MAC asserts the sbd_intr_o or mci_intr_o interrupt when the average bits per slot status is updated. When this bit is cleared the interrupt is not asserted for such an event. 0x0:.." "Average Bits Per Slot Interrupt is disabled,Average Bits Per Slot Interrupt is enabled"
newline
bitfld.long 0x0 8. "TXUIE,Transmit Queue Underflow Interrupt Enable When this bit is set the Transmit Queue Underflow interrupt is enabled. When this bit is reset the Transmit Queue Underflow interrupt is disabled. 0x0: Transmit Queue Underflow Interrupt Status is.." "Transmit Queue Underflow Interrupt Status is..,Transmit Queue Underflow Interrupt Status is.."
newline
hexmask.long.byte 0x0 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x0 1. "ABPSIS,Average Bits Per Slot Interrupt Status When set this bit indicates that the MAC has updated the ABS value. This bit is cleared when the application writes 1 to this bit. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Average Bits Per Slot Interrupt Status not..,Average Bits Per Slot Interrupt Status detected"
newline
bitfld.long 0x0 0. "TXUNFIS,Transmit Queue Underflow Interrupt Status This bit indicates that the Transmit Queue had an underflow while transmitting the packet. Transmission is suspended and an Underflow Error TDES3[2] is set. This bit is cleared when the application writes.." "Transmit Queue Underflow Interrupt Status not..,Transmit Queue Underflow Interrupt Status detected"
line.long 0x4 "MTL_RXQ4_OPERATION_MODE,The Queue 4 Receive Operation Mode register establishes the Receive queue operating modes and command. The RFA and RFD fields are not backward compatible with the RFA and RFD fields of 4.00a release"
hexmask.long.byte 0x4 25.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 20.--24. 1. "RQS,Receive Queue Size This field indicates the size of the allocated Receive queues in blocks of 256 bytes. The RQS field is read-write only if the number of Rx Queues more than one the reset value is 0x0 and indicates size of 256 bytes. When the.."
newline
rbitfld.long 0x4 18.--19. "RESERVED_19_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 14.--17. 1. "RFD,Threshold for Deactivating Flow Control (in half-duplex and full-duplex modes) These bits control the threshold (fill-level of Rx queue) at which the flow control is de-asserted after activation: - 0: Full minus 1 KB that is FULL 1 KB - 1: Full.."
newline
rbitfld.long 0x4 12.--13. "RESERVED_13_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RFA,Threshold for Activating Flow Control (in half-duplex and full-duplex These bits control the threshold (fill-level of Rx queue) at which the flow control is activated: For more information on encoding for this field see RFD."
newline
bitfld.long 0x4 7. "EHFC,Enable Hardware Flow Control When this bit is set the flow control signal operation based on the fill-level of Rx queue is enabled. When reset the flow control operation is disabled. 0x0: Hardware Flow Control is disabled 0x1: Hardware Flow.." "Hardware Flow Control is disabled,Hardware Flow Control is enabled"
newline
bitfld.long 0x4 6. "DIS_TCP_EF,Disable Dropping of TCP/IP Checksum Error Packets When this bit is set the MAC does not drop the packets which only have the errors detected by the Receive Checksum Offload engine. Such packets have errors only in the encapsulated payload." "Dropping of TCP/IP Checksum Error Packets is..,Dropping of TCP/IP Checksum Error Packets is.."
newline
bitfld.long 0x4 5. "RSF,Receive Queue Store and Forward When this bit is set the DWC_ether_qos reads a packet from the Rx queue only after the complete packet has been written to it ignoring the RTC field of this register. When this bit is reset the Rx queue operates in.." "Receive Queue Store and Forward is disabled,Receive Queue Store and Forward is enabled"
newline
bitfld.long 0x4 4. "FEP,Forward Error Packets When this bit is reset the Rx queue drops packets with error status (CRC error GMII_ER watchdog timeout or overflow). However if the start byte (write) pointer of a packet is already transferred to the read controller side.." "Forward Error Packets is disabled,Forward Error Packets is enabled"
newline
bitfld.long 0x4 3. "FUP,Forward Undersized Good Packets When this bit is set the Rx queue forwards the undersized good packets (packets with no error and length less than 64 bytes) including pad-bytes and CRC. When this bit is reset the Rx queue drops all packets of less.." "Forward Undersized Good Packets is disabled,Forward Undersized Good Packets is enabled"
newline
rbitfld.long 0x4 2. "RESERVED_2,Reserved." "0,1"
newline
bitfld.long 0x4 0.--1. "RTC,Receive Queue Threshold Control These bits control the threshold level of the MTL Rx queue (in bytes): The received packet is transferred to the application or DMA when the packet size within the MTL Rx queue is larger than the threshold. In addition.." "0,1,2,3"
rgroup.long 0x34++0x7
line.long 0x0 "MTL_RXQ4_MISSED_PACKET_OVERFLOW_CNT,The Queue 4 Missed Packet and Overflow Counter register contains the counter for packets missed because of Receive queue packet flush and packets discarded because of Receive queue overflow."
hexmask.long.byte 0x0 28.--31. 1. "RESERVED_31_28,Reserved."
newline
bitfld.long 0x0 27. "MISCNTOVF,Missed Packet Counter Overflow Bit When set this bit indicates that the Rx Queue Missed Packet Counter crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Missed Packet Counter overflow.." "Missed Packet Counter overflow not detected,Missed Packet Counter overflow detected"
newline
hexmask.long.word 0x0 16.--26. 1. "MISPKTCNT,Missed Packet Counter This field indicates the number of packets missed by the DWC_ether_qos because the application asserted ari_pkt_flush_i[] for this queue. This counter is reset when this register is read with mci_be_i[0] at 1b1. This.."
newline
hexmask.long.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved."
newline
bitfld.long 0x0 11. "OVFCNTOVF,Overflow Counter Overflow Bit When set this bit indicates that the Rx Queue Overflow Packet Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Overflow Counter overflow.." "Overflow Counter overflow not detected,Overflow Counter overflow detected"
newline
hexmask.long.word 0x0 0.--10. 1. "OVFPKTCNT,Overflow Packet Counter This field indicates the number of packets discarded by the DWC_ether_qos because of Receive queue overflow. This counter is incremented each time the DWC_ether_qos discards an incoming packet because of overflow. This.."
line.long 0x4 "MTL_RXQ4_DEBUG,The Queue 4 Receive Debug register gives the debug status of various blocks related to the Receive queue."
bitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x4 16.--29. 1. "PRXQ,Number of Packets in Receive Queue This field indicates the current number of packets in the Rx Queue. The theoretical maximum value for this field is 256KB/16B = 16K Packets that is Max_Queue_Size/Min_Packet_Size."
newline
hexmask.long.word 0x4 6.--15. 1. "RESERVED_15_6,Reserved."
newline
bitfld.long 0x4 4.--5. "RXQSTS,MTL Rx Queue Fill-Level Status This field gives the status of the fill-level of the Rx Queue: 0x2: Rx Queue fill-level above flow-control activate threshold 0x1: Rx Queue fill-level below flow-control deactivate threshold 0x0: Rx Queue empty 0x3:.." "Rx Queue empty,Rx Queue fill-level below flow-control..,Rx Queue fill-level above flow-control activate..,Rx Queue full"
newline
bitfld.long 0x4 3. "RESERVED_3,Reserved." "0,1"
newline
bitfld.long 0x4 1.--2. "RRCSTS,MTL Rx Queue Read Controller State This field gives the state of the Rx queue Read controller: 0x3: Flushing the packet data and status 0x0: Idle state 0x1: Reading packet data 0x2: Reading packet status (or timestamp)" "Idle state,Reading packet data,Reading packet status,Flushing the packet data and status"
newline
bitfld.long 0x4 0. "RWCSTS,MTL Rx Queue Write Controller Active Status When high this bit indicates that the MTL Rx queue Write controller is active and it is transferring a received packet to the Rx Queue. 0x1: MTL Rx Queue Write Controller Active Status detected 0x0:.." "MTL Rx Queue Write Controller Active Status not..,MTL Rx Queue Write Controller Active Status.."
group.long 0x3C++0x3
line.long 0x0 "MTL_RXQ4_CONTROL,The Queue Receive Control register controls the receive arbitration and passing of received packets to the application."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
bitfld.long 0x0 3. "RXQ_FRM_ARBIT,Receive Queue Packet Arbitration When this bit is set the DWC_ether_qos drives the packet data to the ARI interface such that the entire packet data of currently-selected queue is transmitted before switching to other queue. When this bit.." "Receive Queue Packet Arbitration is disabled,Receive Queue Packet Arbitration is enabled"
newline
bitfld.long 0x0 0.--2. "RXQ_WEGT,Receive Queue Weight This field indicates the weight assigned to the Rx Queue 0. The weight is used as the number of continuous PBL or packets requests (depending on the RXQ_FRM_ARBIT) allocated to the queue in one arbitration cycle." "0,1,2,3,4,5,6,7"
tree.end
tree "EQOS_DMA"
group.long 0x0++0x7
line.long 0x0 "DMA_MODE,The Bus Mode register establishes the bus operating modes for the DMA."
hexmask.long.word 0x0 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x0 16.--17. "INTM,Interrupt Mode This field defines the interrupt mode of DWC_ether_qos. The behavior of the following outputs changes depending on the following settings: - sbd_perch_tx_intr_o[] (Transmit Per Channel Interrupt) - sbd_perch_rx_intr_o[] (Receive Per.." "See above description,See above description,See above description,Reserved"
newline
rbitfld.long 0x0 15. "RESERVED_15,Reserved." "0,1"
newline
rbitfld.long 0x0 12.--14. "RESERVED_PR,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 11. "RESERVED_TXPR,Reserved." "0,1"
newline
rbitfld.long 0x0 10. "RESERVED_SCSW,Reserved." "0,1"
newline
rbitfld.long 0x0 9. "RESERVED_ARBC,Reserved." "0,1"
newline
bitfld.long 0x0 8. "DSPW,Descriptor Posted Write When this bit is set to 0 the descriptor writes are always non-posted. When this bit is set to 1 the descriptor writes are non-posted only when IOC (Interrupt on completion) is set in last descriptor otherwise the.." "Descriptor Posted Write is disabled,Descriptor Posted Write is enabled"
newline
rbitfld.long 0x0 5.--7. "RESERVED_7_5,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 2.--4. "RESERVED_TAA,Reserved." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 1. "RESERVED_DA,Reserved." "0,1"
newline
bitfld.long 0x0 0. "SWR,Software Reset When this bit is set the MAC and the DMA controller reset the logic and all internal registers of the DMA MTL and MAC. This bit is automatically cleared after the reset operation is complete in all DWC_ether_qos clock domains." "Software Reset is disabled,Software Reset is enabled"
line.long 0x4 "DMA_SYSBUS_MODE,The System Bus mode register controls the behavior of the AHB or AXI master. It mainly controls burst splitting and number of outstanding requests."
bitfld.long 0x4 31. "EN_LPI,Enable Low Power Interface (LPI) When set to 1 this bit enables the LPI mode supported by the EQOS-AXI configuration and accepts the LPI request from the AXI System Clock controller. When set to 0 this bit disables the LPI mode and always denies.." "Low Power Interface,Low Power Interface"
newline
bitfld.long 0x4 30. "LPI_XIT_PKT,Unlock on Magic Packet or Remote Wake-Up Packet When set to 1 this bit enables the AXI master to come out of the LPI mode only when the magic packet or remote wake-up packet is received. When set to 0 this bit enables the AXI master to come.." "Unlock on Magic Packet or Remote Wake-Up Packet..,Unlock on Magic Packet or Remote Wake-Up Packet.."
newline
rbitfld.long 0x4 28.--29. "RESERVED_29_Y,Reserved." "0,1,2,3"
newline
hexmask.long.byte 0x4 24.--27. 1. "WR_OSR_LMT,AXI Maximum Write Outstanding Request Limit This value limits the maximum outstanding request on the AXI write interface. Maximum outstanding requests = WR_OSR_LMT + 1 Note: - Bit 26 is reserved if DWC_ETHER_QOS_AXI_MAX_WR_REQ = 4 - Bit 27 is.."
newline
hexmask.long.byte 0x4 20.--23. 1. "RESERVED_23_Y,Reserved."
newline
hexmask.long.byte 0x4 16.--19. 1. "RD_OSR_LMT,AXI Maximum Read Outstanding Request Limit This value limits the maximum outstanding request on the AXI read interface. Maximum outstanding requests = RD_OSR_LMT + 1 Note: - Bit 18 is reserved if parameter DWC_ETHER_QOS_AXI_MAX_RD_REQ = 4 -.."
newline
rbitfld.long 0x4 15. "RESERVED_RB,Reserved." "0,1"
newline
rbitfld.long 0x4 14. "RESERVED_MB,Reserved." "0,1"
newline
bitfld.long 0x4 13. "ONEKBBE,1 KB Boundary Crossing Enable for the EQOS-AXI Master When set the burst transfers performed by the EQOS-AXI master do not cross 1 KB boundary. When reset the burst transfers performed by the EQOS-AXI master do not cross 4 KB boundary. 0x0: 1.." "0,1"
newline
bitfld.long 0x4 12. "AAL,Address-Aligned Beats When this bit is set to 1 the EQOS-AXI or EQOS-AHB master performs address-aligned burst transfers on Read and Write channels. 0x0: Address-Aligned Beats is disabled 0x1: Address-Aligned Beats is enabled" "Address-Aligned Beats is disabled,Address-Aligned Beats is enabled"
newline
bitfld.long 0x4 11. "EAME,Enhanced Address Mode Enable. When this bit is set to 1 the DMA master enables the enhanced address mode (40-bit or 48-bit addressing mode). In this mode the DMA engine uses either the 40- or 48-bit address depending on the configuration. 0x0:.." "Enhanced Address Mode is disabled,Enhanced Address Mode is enabled"
newline
bitfld.long 0x4 10. "AALE,Automatic AXI LPI enable When set to 1 enables the AXI master to enter into LPI state when there is no activity in the DWC_ether_qos for number of system clock cycles programmed in the LPIEI field of AXI_LPI_Entry_Interval register. 0x0: Automatic.." "Automatic AXI LPI is disabled,Automatic AXI LPI is enabled"
newline
rbitfld.long 0x4 8.--9. "RESERVED_9_8,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 7. "BLEN256,AXI Burst Length 256 When this bit is set to 1 the EQOS-AXI master can select a burst length of 256 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 256" "No effect,AXI Burst Length 256"
newline
bitfld.long 0x4 6. "BLEN128,AXI Burst Length 128 When this bit is set to 1 the EQOS-AXI master can select a burst length of 128 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 128" "No effect,AXI Burst Length 128"
newline
bitfld.long 0x4 5. "BLEN64,AXI Burst Length 64 When this bit is set to 1 the EQOS-AXI master can select a burst length of 64 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 64" "No effect,AXI Burst Length 64"
newline
bitfld.long 0x4 4. "BLEN32,AXI Burst Length 32 When this bit is set to 1 the EQOS-AXI master can select a burst length of 32 on the AXI interface. 0x0: No effect 0x1: AXI Burst Length 32" "No effect,AXI Burst Length 32"
newline
bitfld.long 0x4 3. "BLEN16,AXI Burst Length 16 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 16 on the AXI interface. When the FB bit is set to 0 setting this bit has no effect. 0x0: No effect 0x1: AXI Burst Length 16" "No effect,AXI Burst Length 16"
newline
bitfld.long 0x4 2. "BLEN8,AXI Burst Length 8 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 8 on the AXI interface. When the FB bit is set to 0 setting this bit has no effect. 0x0: No effect 0x1: AXI Burst Length 8" "No effect,AXI Burst Length 8"
newline
bitfld.long 0x4 1. "BLEN4,AXI Burst Length 4 When this bit is set to 1 or the FB bit is set to 0 the EQOS-AXI master can select a burst length of 4 on the AXI interface. When the FB bit is set to 0 setting this bit has no effect. 0x0: No effect 0x1: AXI Burst Length 4" "No effect,AXI Burst Length 4"
newline
bitfld.long 0x4 0. "FB,Fixed Burst Length When this bit is set to 1 the EQOS-AXI master initiates burst transfers of specified lengths as given below. - Burst transfers of fixed burst lengths as indicated by the BLEN256 BLEN128 BLEN64 BLEN32 BLEN16 BLEN8 or BLEN4.." "Fixed Burst Length is disabled,Fixed Burst Length is enabled"
rgroup.long 0x8++0xB
line.long 0x0 "DMA_INTERRUPT_STATUS,The application reads this Interrupt Status register during interrupt service routine or polling to determine the interrupt status of DMA channels. MTL queues. and the MAC."
hexmask.long.word 0x0 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x0 17. "MACIS,MAC Interrupt Status This bit indicates an interrupt event in the MAC. To reset this bit to 1'b0 the software must read the corresponding register in the MAC to get the exact cause of the interrupt and clear its source. 0x1: MAC Interrupt Status.." "MAC Interrupt Status not detected,MAC Interrupt Status detected"
newline
bitfld.long 0x0 16. "MTLIS,MTL Interrupt Status This bit indicates an interrupt event in the MTL. To reset this bit to 1'b0 the software must read the corresponding register in the MTL to get the exact cause of the interrupt and clear its source. 0x1: MTL Interrupt Status.." "MTL Interrupt Status not detected,MTL Interrupt Status detected"
newline
hexmask.long.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved."
newline
bitfld.long 0x0 7. "RESERVED_DC7IS,Reserved." "0,1"
newline
bitfld.long 0x0 6. "RESERVED_DC6IS,Reserved." "0,1"
newline
bitfld.long 0x0 5. "RESERVED_DC5IS,Reserved." "0,1"
newline
bitfld.long 0x0 4. "DC4IS,DMA Channel 4 Interrupt Status This bit indicates an interrupt event in DMA Channel 4. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 4 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 4 Interrupt Status not detected,DMA Channel 4 Interrupt Status detected"
newline
bitfld.long 0x0 3. "DC3IS,DMA Channel 3 Interrupt Status This bit indicates an interrupt event in DMA Channel 3. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 3 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 3 Interrupt Status not detected,DMA Channel 3 Interrupt Status detected"
newline
bitfld.long 0x0 2. "DC2IS,DMA Channel 2 Interrupt Status This bit indicates an interrupt event in DMA Channel 2. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 2 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 2 Interrupt Status not detected,DMA Channel 2 Interrupt Status detected"
newline
bitfld.long 0x0 1. "DC1IS,DMA Channel 1 Interrupt Status This bit indicates an interrupt event in DMA Channel 1. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 1 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 1 Interrupt Status not detected,DMA Channel 1 Interrupt Status detected"
newline
bitfld.long 0x0 0. "DC0IS,DMA Channel 0 Interrupt Status This bit indicates an interrupt event in DMA Channel 0. To reset this bit to 1'b0 the software must read the corresponding register in DMA Channel 0 to get the exact cause of the interrupt and clear its source. 0x1:.." "DMA Channel 0 Interrupt Status not detected,DMA Channel 0 Interrupt Status detected"
line.long 0x4 "DMA_DEBUG_STATUS0,The Debug Status 0 register gives the Receive and Transmit process status for DMA Channel 0-Channel 2 for debugging purpose."
hexmask.long.byte 0x4 28.--31. 1. "TPS2,DMA Channel 2 Transmit Process State This field indicates the Tx DMA FSM state for Channel 2. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x4 24.--27. 1. "RPS2,DMA Channel 2 Receive Process State This field indicates the Rx DMA FSM state for Channel 2. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x4 20.--23. 1. "TPS1,DMA Channel 1 Transmit Process State This field indicates the Tx DMA FSM state for Channel 1. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x4 16.--19. 1. "RPS1,DMA Channel 1 Receive Process State This field indicates the Rx DMA FSM state for Channel 1. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x4 12.--15. 1. "TPS0,DMA Channel 0 Transmit Process State This field indicates the Tx DMA FSM state for Channel 0. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x4 8.--11. 1. "RPS0,DMA Channel 0 Receive Process State This field indicates the Rx DMA FSM state for Channel 0. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x4 2.--7. 1. "RESERVED_7_2,Reserved."
newline
bitfld.long 0x4 1. "AXRHSTS,AXI Master Read Channel Status When high this bit indicates that the read channel of the AXI master is active and it is transferring the data. 0x1: AXI Master Read Channel Status detected 0x0: AXI Master Read Channel Status not detected" "AXI Master Read Channel Status not detected,AXI Master Read Channel Status detected"
newline
bitfld.long 0x4 0. "AXWHSTS,AXI Master Write Channel When high this bit indicates that the write channel of the AXI master is active and it is transferring data. 0x1: AXI Master Write Channel or AHB Master Status detected 0x0: AXI Master Write Channel or AHB Master Status.." "AXI Master Write Channel or AHB Master Status..,AXI Master Write Channel or AHB Master Status.."
line.long 0x8 "DMA_DEBUG_STATUS1,The Debug Status1 register gives the Receive and Transmit process status for DMA Channel 3-Channel 6."
hexmask.long.byte 0x8 28.--31. 1. "RESERVED_TPS6,Reserved."
newline
hexmask.long.byte 0x8 24.--27. 1. "RESERVED_RPS6,Reserved."
newline
hexmask.long.byte 0x8 20.--23. 1. "RESERVED_TPS5,Reserved."
newline
hexmask.long.byte 0x8 16.--19. 1. "RESERVED_RPS5,Reserved."
newline
hexmask.long.byte 0x8 12.--15. 1. "TPS4,DMA Channel 4 Transmit Process State This field indicates the Tx DMA FSM state for Channel 4. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x8 8.--11. 1. "RPS4,DMA Channel 4 Receive Process State This field indicates the Rx DMA FSM state for Channel 4. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
newline
hexmask.long.byte 0x8 4.--7. 1. "TPS3,DMA Channel 3 Transmit Process State This field indicates the Tx DMA FSM state for Channel 3. The MSB of this field always returns 0. This field does not generate an interrupt. 0x5: Reserved for future use 0x7: Running (Closing Tx Descriptor) 0x1:.."
newline
hexmask.long.byte 0x8 0.--3. 1. "RPS3,DMA Channel 3 Receive Process State This field indicates the Rx DMA FSM state for Channel 3. The MSB of this field always returns 0. This field does not generate an interrupt. 0x2: Reserved for future use 0x5: Running (Closing the Rx Descriptor).."
group.long 0x20++0xB
line.long 0x0 "AXI4_TX_AR_ACE_CONTROL,This register is used to control the AXI4 Cache Coherency Signals for read transactions by all the Transmit DMA channels. The following signals of the AXI4 interface are driven with different values as programmed for corresponding.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
bitfld.long 0x0 20.--21. "THD,Transmit DMA First Packet Buffer This field is used to drive ardomain_o[1:0] signal when Transmit DMA is accessing First Buffer of the Packet (First valid buffer with FD being set in the TDES3 of the Descriptor)." "0,1,2,3"
newline
hexmask.long.byte 0x0 16.--19. 1. "THC,Transmit DMA First Packet Buffer This field is used to drive arcache_o[3:0] signal when Transmit DMA is accessing First Buffer of the Packet (First valid buffer with FD being set in the TDES3 of the Descriptor).."
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 12.--13. "TED,Transmit DMA Extended Packet Buffer This field is used to drive ardomain_o[1:0] signal when Transmit DMA is accessing the extended buffers (when packet is distributed across multiple buffers)." "0,1,2,3"
newline
hexmask.long.byte 0x0 8.--11. 1. "TEC,Transmit DMA Extended Packet Buffer This field is used to drive arcache_o[3:0] signal when Transmit DMA is accessing the extended buffers (when packet is distributed across multiple buffers)."
newline
rbitfld.long 0x0 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "TDRD,Transmit DMA Read Descriptor Domain Control This field is used to drive ardomain_o[1:0] signal when Transmit DMA engines access the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x0 0.--3. 1. "TDRC,Transmit DMA Read Descriptor Cache Control This field is used to drive arcache_o[3:0] signal when Transmit DMA engines access the Descriptor."
line.long 0x4 "AXI4_RX_AW_ACE_CONTROL,This register is used to control the AXI4 Cache Coherency Signals for write transactions by all the Receive DMA channels. The following signals of the AXI4 interface are driven with different values as programmed for corresponding.."
rbitfld.long 0x4 30.--31. "RESERVED_31_30,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 28.--29. "RDD,Receive DMA Buffer Domain Control This field is used to drive the awdomain_o[1:0] signal when Receive DMA is accessing the Buffer when Header and payload are NOT separated." "0,1,2,3"
newline
hexmask.long.byte 0x4 24.--27. 1. "RDC,Receive DMA Buffer Cache Control This field is used to drive awcache_o[3:0] signal when Receive DMA is accessing the Buffer when Header and payload are NOT separated."
newline
rbitfld.long 0x4 22.--23. "RESERVED_23_22,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 20.--21. "RHD,Receive DMA Header Domain Control This field is used to drive awdomain_o[1:0] and signal when Receive DMA is accessing the header Buffer when Header and payload are separated." "0,1,2,3"
newline
hexmask.long.byte 0x4 16.--19. 1. "RHC,Receive DMA Header Cache Control This field is used to drive awcache_o[3:0] and signal when Receive DMA is accessing the header Buffer when Header and payload are separated."
newline
rbitfld.long 0x4 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 12.--13. "RPD,Receive DMA Payload Domain Control This field is used to drive awdomain_o[1:0] signal when Receive DMA is accessing the Payload Buffer when Header and payload are separated." "0,1,2,3"
newline
hexmask.long.byte 0x4 8.--11. 1. "RPC,Receive DMA Payload Cache Control This field is used to drive awcache_o[3:0] signal when Receive DMA is accessing the Payload Buffer when Header and payload are separated."
newline
rbitfld.long 0x4 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x4 4.--5. "RDWD,Receive DMA Write Descriptor Domain Control This field is used to drive awdomain_o[1:0] signal when Receive DMA accesses the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--3. 1. "RDWC,Receive DMA Write Descriptor Cache Control This field is used to drive awcache_o[3:0] signal when Receive DMA accesses the Descriptor."
line.long 0x8 "AXI4_TXRX_AWAR_ACE_CONTROL,This register is used to control the AXI4 Cache Coherency Signals for Descriptor write transactions by all the TxDMA channels and Descriptor read transactions by all the RxDMA channels. It also controls the values to be driven.."
hexmask.long.word 0x8 23.--31. 1. "RESERVED_31_23,Reserved."
newline
bitfld.long 0x8 20.--22. "WRP,DMA Write Protection control This field is used to drive awprot_m_o[2:0] signal on the AXI Write Channel." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x8 19. "RESERVED_19,Reserved." "0,1"
newline
bitfld.long 0x8 16.--18. "RDP,DMA Read Protection control This field is used to drive arprot_m_o[2:0] signal during all read requests." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x8 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
bitfld.long 0x8 12.--13. "RDRD,Receive DMA Read Descriptor Domain control This field is used to drive ardomain_o[1:0] signal when Receive DMA engines read the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x8 8.--11. 1. "RDRC,Receive DMA Read Descriptor Cache control This field is used to drive arcache_o[3:0] signal when Receive DMA engines read the Descriptor."
newline
rbitfld.long 0x8 6.--7. "RESERVED_7_6,Reserved." "0,1,2,3"
newline
bitfld.long 0x8 4.--5. "TDWD,Transmit DMA Write Descriptor Domain control This field is used to drive awdomain_o[1:0] signal when Transmit DMA write to the Descriptor." "0,1,2,3"
newline
hexmask.long.byte 0x8 0.--3. 1. "TDWC,Transmit DMA Write Descriptor Cache control This field is used to drive awcache_o[3:0] signal when Transmit DMA writes to the Descriptor."
group.long 0x40++0x3
line.long 0x0 "AXI_LPI_ENTRY_INTERVAL,This register is used to control the AXI LPI entry interval."
hexmask.long 0x0 4.--31. 1. "RESERVED_31_4,Reserved."
newline
hexmask.long.byte 0x0 0.--3. 1. "LPIEI,LPI Entry Interval Contains the number of system clock cycles multiplied by 64 to wait for an activity in the DWC_ether_qos to enter into the AXI low power state 0 indicates 64 clock cycles"
group.long 0x50++0x3
line.long 0x0 "DMA_TBS_CTRL,This register is used to control the TBS attributes."
hexmask.long.tbyte 0x0 8.--31. 1. "FTOS,Fetch Time Offset The value in units of 256 nanoseconds that has to be deducted from the Launch time to compute the Fetch Time. Max value: 999 999 999 ns additionally should be smaller than CTR-1 value when ESTM mode is set since this value is a.."
newline
rbitfld.long 0x0 7. "RESERVED_7,Reserved." "0,1"
newline
bitfld.long 0x0 4.--6. "FGOS,Fetch GSN Offset The number GSN slots that must be deducted from the Launch GSN to compute the Fetch GSN. Value valid only when FTOV is set." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 1.--3. "RESERVED_3_1,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "FTOV,Fetch Time Offset Valid When set indicates the FTOS field is valid. When not set indicates the Fetch Offset is not valid and the DMA engine can fetch the frames from host memory without any time restrictions. 0x0: Fetch Time Offset is invalid 0x1:.." "Fetch Time Offset is invalid,Fetch Time Offset is valid"
rgroup.long 0x80++0x3
line.long 0x0 "DMA_SAFETY_INTERRUPT_STATUS,This register indicates summary (whether error occured in DMA/MTL/MAC and correctable/uncorrectable) of the Automotive Safety related error interrupts."
bitfld.long 0x0 31. "MCSIS,MAC Safety Uncorrectable Interrupt Status Indicates a uncorrectable Safety related Interrupt is set in the MAC module. MAC_DPP_FSM_Interrupt_Status register should be read when this bit is set to get the cause of the Safety Interrupt in MAC. 0x1:.." "MAC Safety Uncorrectable Interrupt Status not..,MAC Safety Uncorrectable Interrupt Status detected"
newline
bitfld.long 0x0 30. "RESERVED_30,Reserved." "0,1"
newline
bitfld.long 0x0 29. "MSUIS,MTL Safety Uncorrectable error Interrupt Status This bit indicates an uncorrectable error interrupt event in MTL. To get exact cause of the interrupt the software should read the MTL_Safety_Interrupt_Status register. 0x1: MTL Safety Uncorrectable.." "MTL Safety Uncorrectable error Interrupt Status..,MTL Safety Uncorrectable error Interrupt Status.."
newline
bitfld.long 0x0 28. "MSCIS,MTL Safety Correctable error Interrupt Status This bit indicates a correctable error interrupt event in MTL. To get exact cause of the interrupt the software should read the MTL_Safety_Interrupt_Status register. 0x1: MTL Safety Correctable error.." "MTL Safety Correctable error Interrupt Status..,MTL Safety Correctable error Interrupt Status.."
newline
hexmask.long 0x0 2.--27. 1. "RESERVED_27_2,Reserved."
newline
bitfld.long 0x0 1. "DEUIS,DMA ECC Uncorrectable error Interrupt Status This bit indicates an interrupt event in the DMA ECC safety feature. To get the exact cause of the interrupt the application should read the DMA_ECC_Interrupt_Status register. 0x1: DMA ECC Uncorrectable.." "DMA ECC Uncorrectable error Interrupt Status not..,DMA ECC Uncorrectable error Interrupt Status.."
newline
bitfld.long 0x0 0. "DECIS,DMA ECC Correctable error Interrupt Status This bit indicates an interrupt event in the DMA ECC safety feature. To get the exact cause of the interrupt the application should read the DMA_ECC_Interrupt_Status register. 0x1: DMA ECC Correctable.." "DMA ECC Correctable error Interrupt Status not..,DMA ECC Correctable error Interrupt Status.."
tree.end
tree "EQOS_DMA_CH0"
group.long 0x0++0xB
line.long 0x0 "DMA_CH0_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH0_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH0_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH0_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH0_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH0_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH0_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH0_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH0_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH0_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH0_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH0_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH0_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH0_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH0_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH0_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH0_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH0_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH0_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH0_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH0_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH0_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH0_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH0_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH1"
group.long 0x0++0xB
line.long 0x0 "DMA_CH1_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH1_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH1_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH1_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH1_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH1_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH1_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH1_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH1_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH1_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH1_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH1_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH1_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH1_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH1_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH1_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH1_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH1_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH1_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH1_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH1_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH1_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH1_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH1_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH2"
group.long 0x0++0xB
line.long 0x0 "DMA_CH2_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH2_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH2_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH2_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH2_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH2_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH2_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH2_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH2_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH2_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH2_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH2_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH2_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH2_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH2_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH2_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH2_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH2_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH2_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH2_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH2_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH2_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH2_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH2_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH3"
group.long 0x0++0xB
line.long 0x0 "DMA_CH3_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH3_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH3_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH3_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH3_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH3_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH3_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH3_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH3_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH3_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH3_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH3_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH3_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH3_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH3_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH3_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH3_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH3_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH3_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH3_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH3_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH3_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH3_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH3_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree "EQOS_DMA_CH4"
group.long 0x0++0xB
line.long 0x0 "DMA_CH4_CONTROL,The DMA Channeli Control register specifies the MSS value for segmentation. length to skip between two descriptors. and also the features such as header splitting and 8xPBL mode."
hexmask.long.byte 0x0 25.--31. 1. "RESERVED_31_25,Reserved."
newline
rbitfld.long 0x0 24. "RESERVED_SPH,Reserved." "0,1"
newline
rbitfld.long 0x0 21.--23. "RESERVED_23_21,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 18.--20. "DSL,Descriptor Skip Length This bit specifies the Word Dword or Lword number (depending on the 32-bit 64-bit or 128-bit bus) to skip between two unchained descriptors. The address skipping starts from the end of the current descriptor to the start of.." "0,1,2,3,4,5,6,7"
newline
rbitfld.long 0x0 17. "RESERVED_17,Reserved." "0,1"
newline
bitfld.long 0x0 16. "PBLX8,8xPBL mode When this bit is set the PBL value programmed in Bits[21:16] in DMA_CH0_Tx_Control and Bits[21:16] in DMA_CH0_Rx_Control is multiplied by eight times. Therefore the DMA transfers the data in 8 16 32 64 128 and 256 beats depending.." "0,1"
newline
rbitfld.long 0x0 14.--15. "RESERVED_15_14,Reserved." "0,1,2,3"
newline
hexmask.long.word 0x0 0.--13. 1. "RESERVED_MSS,Reserved."
line.long 0x4 "DMA_CH4_TX_CONTROL,The DMA Channeli Transmit Control register controls the Tx features such as PBL. TCP segmentation. and Tx Channel weights."
rbitfld.long 0x4 29.--31. "RESERVED_31_29,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "EDSE,Enhanced Descriptor Enable When this bit is set the corresponding channel uses Enhanced Descriptors that are 32 Bytes for both Normal and Context Descriptors. When reset the corresponding channel uses the descriptors that are 16 Bytes. 0x0:.." "Enhanced Descriptor is disabled,Enhanced Descriptor is enabled"
newline
hexmask.long.byte 0x4 24.--27. 1. "TQOS,Transmit QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Tx Channel0."
newline
rbitfld.long 0x4 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x4 22. "RESERVED_ETIC,Reserved." "0,1"
newline
hexmask.long.byte 0x4 16.--21. 1. "TXPBL,Transmit Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
bitfld.long 0x4 15. "IPBL,Ignore PBL Requirement When this bit is set the DMA does not check for PBL number of locations in the MTL before initiating a transfer. If space is not available the MTL may use handshaking to slow the DMA. Note: This bit/mode must not be used.." "Ignore PBL Requirement is disabled,Ignore PBL Requirement is enabled"
newline
rbitfld.long 0x4 13.--14. "RESERVED_TSE_MODE,Reserved." "0,1,2,3"
newline
rbitfld.long 0x4 12. "RESERVED_TSE,Reserved." "0,1"
newline
hexmask.long.byte 0x4 5.--11. 1. "RESERVED_11_5,Reserved."
newline
bitfld.long 0x4 4. "OSF,Operate on Second Packet When this bit is set it instructs the DMA to process the second packet of the Transmit data even before the status for the first packet is obtained. 0x0: Operate on Second Packet disabled 0x1: Operate on Second Packet enabled" "Operate on Second Packet disabled,Operate on Second Packet enabled"
newline
rbitfld.long 0x4 1.--3. "RESERVED_TCW,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 0. "ST,Start or Stop Transmission Command When this bit is set transmission is placed in the Running state. The DMA checks the Transmit list at the current position for a packet to be transmitted. The DMA tries to acquire descriptor from either of the.." "Stop Transmission Command,Start Transmission Command"
line.long 0x8 "DMA_CH4_RX_CONTROL,The DMA Channeli Receive Control register controls the Rx features such as PBL. buffer size. and extended status."
bitfld.long 0x8 31. "RPF,Rx Packet Flush. When this bit is set to 1 then DWC_ether_qos automatically flushes the packet from the Rx Queues destined to this DMA Rx Channel when it is stopped. When this bit remains set and the DMA is re-started by the software driver the.." "Rx Packet Flush is disabled,Rx Packet Flush is enabled"
newline
rbitfld.long 0x8 28.--30. "RESERVED_30_28,Reserved." "0,1,2,3,4,5,6,7"
newline
hexmask.long.byte 0x8 24.--27. 1. "RQOS,Rx AXI4 QOS. This field is used to drive arqos_m_o[3:0] or awqos_m_o[3:0] output signals for all transactions of DMA Rx Channel0."
newline
rbitfld.long 0x8 23. "RESERVED_23,Reserved." "0,1"
newline
rbitfld.long 0x8 22. "RESERVED_ERIC,Reserved." "0,1"
newline
hexmask.long.byte 0x8 16.--21. 1. "RXPBL,Receive Programmable Burst Length These bits indicate the maximum number of beats to be transferred in one DMA block data transfer. The DMA always attempts max burst as specified in PBL each time it starts a burst transfer on the application bus."
newline
rbitfld.long 0x8 15. "RESERVED_15,Reserved." "0,1"
newline
hexmask.long.word 0x8 5.--14. 1. "RBSZ_13_Y,Receive Buffer size High RBSZ[13:0] is split into two fields higher RBSZ_13_y and lower RBSZ_x_0. The RBSZ[13:0] field indicates the size of the Rx buffers specified in bytes. The maximum buffer size is limited to 16K bytes. The buffer size is.."
newline
hexmask.long.byte 0x8 1.--4. 1. "RBSZ_X_0,Receive Buffer size Low RBSZ[13:0] is split into two fields RBSZ_13_y and RBSZ_x_0. The RBSZ_x_0 is the lower field whose width is based on data bus width of the configuration. This field is of width 2 3 or 4 bits for 32-bit 64-bit or.."
newline
bitfld.long 0x8 0. "SR,Start or Stop Receive When this bit is set the DMA tries to acquire the descriptor from the Receive list and processes the incoming packets. The DMA tries to acquire descriptor from either of the following positions: - The current position in the.." "Stop Receive,Start Receive"
group.long 0x10++0x13
line.long 0x0 "DMA_CH4_TXDESC_LIST_HADDRESS,The Channeli Tx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Transmit descriptor list. You can write to this register only when the Tx DMA has stopped. that is. the ST bit is set.."
hexmask.long.tbyte 0x0 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x0 0.--7. 1. "TDESHA,Start of Transmit List This field contains the most-significant 8 or 16 bits of the 40- or 48-bit base address of the first descriptor in the Transmit descriptor list."
line.long 0x4 "DMA_CH4_TXDESC_LIST_ADDRESS,The Channeli Tx Descriptor List Address register points the DMA to the start of Transmit descriptor list. The descriptor lists reside in the physical memory space of the application and must be Word. Dword. or Lword-aligned.."
hexmask.long 0x4 4.--31. 1. "TDESLA,Start of Transmit List This field contains the base address of the first descriptor in the Transmit descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as.."
newline
hexmask.long.byte 0x4 0.--3. 1. "RESERVED_LSB,"
line.long 0x8 "DMA_CH4_RXDESC_LIST_HADDRESS,The Channeli Rx Descriptor List HAddress register has the higher 8 or 16 bits of the start address of the Receive descriptor list. Writing to this register is permitted only when reception is stopped. When stopped. this.."
hexmask.long.tbyte 0x8 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x8 0.--7. 1. "RDESHA,Start of Receive List This field contains the most-significant 8 or 16 bits of the 40-bit or 48-bit base address of the first descriptor in the Rx Descriptor list."
line.long 0xC "DMA_CH4_RXDESC_LIST_ADDRESS,The Channeli Rx Descriptor List Address register points the DMA to the start of Receive descriptor list. This register points to the start of the Receive Descriptor List. The descriptor lists reside in the physical memory.."
hexmask.long 0xC 4.--31. 1. "RDESLA,Start of Receive List This field contains the base address of the first descriptor in the Rx Descriptor list. The DMA ignores the LSB bits (1:0 2:0 or 3:0) for 32-bit 64-bit or 128-bit bus width and internally takes these bits as all-zero."
newline
hexmask.long.byte 0xC 0.--3. 1. "RESERVED_LSB,"
line.long 0x10 "DMA_CH4_TXDESC_TAIL_POINTER,The Channeli Tx Descriptor Tail Pointer register points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x10 4.--31. 1. "TDTP,Transmit Descriptor Tail Pointer This field contains the tail pointer for the Tx descriptor ring. The software writes the tail pointer to add more descriptors to the Tx channel. The hardware tries to transmit all packets referenced by the.."
newline
hexmask.long.byte 0x10 0.--3. 1. "RESERVED_LSB,"
group.long 0x28++0x17
line.long 0x0 "DMA_CH4_RXDESC_TAIL_POINTER,The Channeli Rx Descriptor Tail Pointer Points to an offset from the base and indicates the location of the last valid descriptor."
hexmask.long 0x0 4.--31. 1. "RDTP,Receive Descriptor Tail Pointer This field contains the tail pointer for the Rx descriptor ring. The software writes the tail pointer to add more descriptors to the Rx channel. The hardware tries to write all received packets to the descriptors.."
newline
hexmask.long.byte 0x0 0.--3. 1. "RESERVED_LSB,"
line.long 0x4 "DMA_CH4_TXDESC_RING_LENGTH,The Tx Descriptor Ring Length register contains the length of the Transmit descriptor ring."
hexmask.long.tbyte 0x4 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x4 0.--9. 1. "TDRL,Transmit Descriptor Ring Length This field sets the maximum number of Tx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. Synopsys recommends a minimum ring descriptor length of 4. For.."
line.long 0x8 "DMA_CH4_RXDESC_RING_LENGTH,The Channeli Rx Descriptor Ring Length register contains the length of the Receive descriptor circular ring."
hexmask.long.tbyte 0x8 10.--31. 1. "RESERVED_31_10,Reserved."
newline
hexmask.long.word 0x8 0.--9. 1. "RDRL,Receive Descriptor Ring Length This register sets the maximum number of Rx descriptors in the circular descriptor ring. The maximum number of descriptors is limited to 1K descriptors. For example You can program any value up to 0x3FF in this field."
line.long 0xC "DMA_CH4_INTERRUPT_ENABLE,The Channeli Interrupt Enable register enables the interrupts reported by the Status register."
hexmask.long.word 0xC 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0xC 15. "NIE,Normal Interrupt Summary Enable When this bit is set the normal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer Unavailable - Bit 6: Receive.." "Normal Interrupt Summary is disabled,Normal Interrupt Summary is enabled"
newline
bitfld.long 0xC 14. "AIE,Abnormal Interrupt Summary Enable When this bit is set the abnormal interrupt summary is enabled. This bit enables the following interrupts in the DMA_CH0_Status register: - Bit 1: Transmit Process Stopped - Bit 7: Rx Buffer Unavailable - Bit 8:.." "Abnormal Interrupt Summary is disabled,Abnormal Interrupt Summary is enabled"
newline
bitfld.long 0xC 13. "CDEE,Context Descriptor Error Enable When this bit is set along with the AIE bit the Descriptor error interrupt is enabled. When this bit is reset the Descriptor error interrupt is disabled. 0x0: Context Descriptor Error is disabled 0x1: Context.." "Context Descriptor Error is disabled,Context Descriptor Error is enabled"
newline
bitfld.long 0xC 12. "FBEE,Fatal Bus Error Enable When this bit is set along with the AIE bit the Fatal Bus error interrupt is enabled. When this bit is reset the Fatal Bus Error error interrupt is disabled. 0x0: Fatal Bus Error is disabled 0x1: Fatal Bus Error is enabled" "Fatal Bus Error is disabled,Fatal Bus Error is enabled"
newline
bitfld.long 0xC 11. "ERIE,Early Receive Interrupt Enable When this bit is set along with the NIE bit the Early Receive interrupt is enabled. When this bit is reset the Early Receive interrupt is disabled. 0x0: Early Receive Interrupt is disabled 0x1: Early Receive.." "Early Receive Interrupt is disabled,Early Receive Interrupt is enabled"
newline
bitfld.long 0xC 10. "ETIE,Early Transmit Interrupt Enable When this bit is set along with the AIE bit the Early Transmit interrupt is enabled. When this bit is reset the Early Transmit interrupt is disabled. 0x0: Early Transmit Interrupt is disabled 0x1: Early Transmit.." "Early Transmit Interrupt is disabled,Early Transmit Interrupt is enabled"
newline
bitfld.long 0xC 9. "RWTE,Receive Watchdog Timeout Enable When this bit is set along with the AIE bit the Receive Watchdog Timeout interrupt is enabled. When this bit is reset the Receive Watchdog Timeout interrupt is disabled. 0x0: Receive Watchdog Timeout is disabled.." "Receive Watchdog Timeout is disabled,Receive Watchdog Timeout is enabled"
newline
bitfld.long 0xC 8. "RSE,Receive Stopped Enable When this bit is set along with the AIE bit the Receive Stopped Interrupt is enabled. When this bit is reset the Receive Stopped interrupt is disabled. 0x0: Receive Stopped is disabled 0x1: Receive Stopped is enabled" "Receive Stopped is disabled,Receive Stopped is enabled"
newline
bitfld.long 0xC 7. "RBUE,Receive Buffer Unavailable Enable When this bit is set along with the AIE bit the Receive Buffer Unavailable interrupt is enabled. When this bit is reset the Receive Buffer Unavailable interrupt is disabled. 0x0: Receive Buffer Unavailable is.." "Receive Buffer Unavailable is disabled,Receive Buffer Unavailable is enabled"
newline
bitfld.long 0xC 6. "RIE,Receive Interrupt Enable When this bit is set along with the NIE bit the Receive Interrupt is enabled. When this bit is reset the Receive Interrupt is disabled. 0x0: Receive Interrupt is disabled 0x1: Receive Interrupt is enabled" "Receive Interrupt is disabled,Receive Interrupt is enabled"
newline
rbitfld.long 0xC 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0xC 2. "TBUE,Transmit Buffer Unavailable Enable When this bit is set along with the NIE bit the Transmit Buffer Unavailable interrupt is enabled. When this bit is reset the Transmit Buffer Unavailable interrupt is disabled. 0x0: Transmit Buffer Unavailable is.." "Transmit Buffer Unavailable is disabled,Transmit Buffer Unavailable is enabled"
newline
bitfld.long 0xC 1. "TXSE,Transmit Stopped Enable When this bit is set along with the AIE bit the Transmission Stopped interrupt is enabled. When this bit is reset the Transmission Stopped interrupt is disabled. 0x0: Transmit Stopped is disabled 0x1: Transmit Stopped is.." "Transmit Stopped is disabled,Transmit Stopped is enabled"
newline
bitfld.long 0xC 0. "TIE,Transmit Interrupt Enable When this bit is set along with the NIE bit the Transmit Interrupt is enabled. When this bit is reset the Transmit Interrupt is disabled. 0x0: Transmit Interrupt is disabled 0x1: Transmit Interrupt is enabled" "Transmit Interrupt is disabled,Transmit Interrupt is enabled"
line.long 0x10 "DMA_CH4_RX_INTERRUPT_WATCHDOG_TIMER,The Receive Interrupt Watchdog Timer register indicates the watchdog timeout for Receive Interrupt (RI) from the DMA. When this register is written with a non-zero value. it enables the watchdog timer for the RI bit of.."
hexmask.long.word 0x10 18.--31. 1. "RESERVED_31_18,Reserved."
newline
bitfld.long 0x10 16.--17. "RWTU,Receive Interrupt Watchdog Timer Count Units This fields indicates the number of system clock cycles corresponding to one unit in RWT field. - 2'b00: 256 - 2'b01: 512 - 2'b10: 1024 - 2'b11: 2048 For example when RWT=2 and RWTU=1 the watchdog timer.." "0,1,2,3"
newline
hexmask.long.byte 0x10 8.--15. 1. "RESERVED_15_8,Reserved."
newline
hexmask.long.byte 0x10 0.--7. 1. "RWT,Receive Interrupt Watchdog Timer Count This field indicates the number of system clock cycles multiplied by factor indicated in RWTU field for which the watchdog timer is set. The watchdog timer is triggered with the programmed value after the Rx.."
line.long 0x14 "DMA_CH4_SLOT_FUNCTION_CONTROL_STATUS,The Slot Function Control and Status register contains the control bits for slot function and the status for Transmit path."
hexmask.long.word 0x14 20.--31. 1. "RESERVED_31_20,Reserved."
newline
hexmask.long.byte 0x14 16.--19. 1. "RSN,Reference Slot Number This field gives the current value of the reference slot number in the DMA. It is used for slot comparison."
newline
hexmask.long.word 0x14 4.--15. 1. "SIV,Slot Interval Value This field controls the period of the slot interval in which the TxDMA fetches the scheduled packets. A value of 0 specifies the slot interval of 1 us while the maximum value 4095 specifies the slot interval of 4096us. The.."
newline
rbitfld.long 0x14 2.--3. "RESERVED_3_2,Reserved." "0,1,2,3"
newline
bitfld.long 0x14 1. "ASC,Advance Slot Check When set this bit enables the DMA to fetch the data from the buffer when the slot number (SLOTNUM) programmed in the Tx descriptor is - equal to the reference slot number given in the RSN field or - ahead of the reference slot.." "Advance Slot Check is disabled,Advance Slot Check is enabled"
newline
bitfld.long 0x14 0. "ESC,Enable Slot Comparison When set this bit enables the checking of the slot numbers programmed in the Tx descriptor with the current reference given in the RSN field. The DMA fetches the data from the corresponding buffer only when the slot number is.." "Slot Comparison is disabled,Slot Comparison is enabled"
rgroup.long 0x44++0x3
line.long 0x0 "DMA_CH4_CURRENT_APP_TXDESC,The Channeli Current Application Transmit Descriptor register points to the current Transmit descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURTDESAPTR,Application Transmit Descriptor Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
rgroup.long 0x4C++0x13
line.long 0x0 "DMA_CH4_CURRENT_APP_RXDESC,The Channeli Current Application Receive Descriptor register points to the current Receive descriptor read by the DMA."
hexmask.long 0x0 0.--31. 1. "CURRDESAPTR,Application Receive Descriptor Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x4 "DMA_CH4_CURRENT_APP_TXBUFFER_H,The Channeli Current Application Transmit Buffer Address High register has the higher 8 or 16 bits of the current address of the Transmit buffer address read by the DMA."
hexmask.long.tbyte 0x4 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0x4 0.--7. 1. "CURTBUFAPTRH,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0x8 "DMA_CH4_CURRENT_APP_TXBUFFER,The Channeli Current Application Transmit Buffer Address register points to the current Tx buffer address read by the DMA."
hexmask.long 0x8 0.--31. 1. "CURTBUFAPTR,Application Transmit Buffer Address Pointer The DMA updates this pointer during Tx operation. This pointer is cleared on reset."
line.long 0xC "DMA_CH4_CURRENT_APP_RXBUFFER_H,The Channeli Current Application Receive Buffer Address High register has the higher 8 or 16 bits of the current address of the Receive buffer address read by the DMA."
hexmask.long.tbyte 0xC 8.--31. 1. "RESERVED_31_Y,Reserved."
newline
hexmask.long.byte 0xC 0.--7. 1. "CURRBUFAPTRH,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
line.long 0x10 "DMA_CH4_CURRENT_APP_RXBUFFER,The Channel 0 Current Application Receive Buffer Address register points to the current Rx buffer address read by the DMA."
hexmask.long 0x10 0.--31. 1. "CURRBUFAPTR,Application Receive Buffer Address Pointer The DMA updates this pointer during Rx operation. This pointer is cleared on reset."
group.long 0x60++0x3
line.long 0x0 "DMA_CH4_STATUS,The software driver (application) reads the Status register during interrupt service routine or polling to determine the status of the DMA. Note: The number of DMA_CH[n]_Status register in the configuration is the higher of number of Rx.."
hexmask.long.word 0x0 22.--31. 1. "RESERVED_31_22,Reserved."
newline
rbitfld.long 0x0 19.--21. "REB,Rx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 21 -- 1'b1: Error during data transfer by Rx DMA -- 1'b0: No Error during data transfer by Rx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
rbitfld.long 0x0 16.--18. "TEB,Tx DMA Error Bits This field indicates the type of error that caused a Bus Error. For example error response on the AHB or AXI interface. - Bit 18 -- 1'b1: Error during data transfer by Tx DMA -- 1'b0: No Error during data transfer by Tx DMA - Bit.." "Error during write transfer,Error during read transfer,?,?,?,?,?,?"
newline
bitfld.long 0x0 15. "NIS,Normal Interrupt Summary Normal Interrupt Summary bit value is the logical OR of the following bits when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 0: Transmit Interrupt - Bit 2: Transmit Buffer.." "Normal Interrupt Summary status not detected,Normal Interrupt Summary status detected"
newline
bitfld.long 0x0 14. "AIS,Abnormal Interrupt Summary Abnormal Interrupt Summary bit value is the logical OR of the following when the corresponding interrupt bits are enabled in the DMA_CH0_Interrupt_Enable register: - Bit 1: Transmit Process Stopped - Bit 7: Receive Buffer.." "Abnormal Interrupt Summary status not detected,Abnormal Interrupt Summary status detected"
newline
bitfld.long 0x0 13. "CDE,Context Descriptor Error This bit indicates that the DMA Tx/Rx engine received a descriptor error which indicates invalid context in the middle of packet flow ( intermediate descriptor) or all one's descriptor in Tx case and on Rx side it indicates.." "Context Descriptor Error status not detected,Context Descriptor Error status detected"
newline
bitfld.long 0x0 12. "FBE,Fatal Bus Error This bit indicates that a bus error occurred (as described in the EB field). When this bit is set the corresponding DMA channel engine disables all bus accesses. Access restriction applies. Self-set to 1 on internal event. Setting 1.." "Fatal Bus Error status not detected,Fatal Bus Error status detected"
newline
bitfld.long 0x0 11. "ERI,Early Receive Interrupt This bit when set indicates that the RxDMA has completed the transfer of packet data to the memory. In configs supporting ERIC When ERIC=0 this bit is set only after the Rx DMA has filled up a complete receive buffer with.." "Early Receive Interrupt status not detected,Early Receive Interrupt status detected"
newline
bitfld.long 0x0 10. "ETI,Early Transmit Interrupt This bit when set indicates that the TxDMA has completed the transfer of packet data to the MTL TXFIFO memory. In configs supporting ERIC: When ETIC=0 this bit is set only after the Tx DMA has transferred a complete packet.." "Early Transmit Interrupt status not detected,Early Transmit Interrupt status detected"
newline
bitfld.long 0x0 9. "RWT,Receive Watchdog Timeout This bit is asserted when a packet with length greater than 2 048 bytes (10 240 bytes when Jumbo Packet mode is enabled) is received. 0x1: Receive Watchdog Timeout status detected 0x0: Receive Watchdog Timeout status not.." "Receive Watchdog Timeout status not detected,Receive Watchdog Timeout status detected"
newline
bitfld.long 0x0 8. "RPS,Receive Process Stopped This bit is asserted when the Rx process enters the Stopped state. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Receive Process Stopped status detected 0x0:.." "Receive Process Stopped status not detected,Receive Process Stopped status detected"
newline
bitfld.long 0x0 7. "RBU,Receive Buffer Unavailable This bit indicates that the application owns the next descriptor in the Receive list and the DMA cannot acquire it. The Rx process is suspended. To resume processing Rx descriptors the application should change the.." "Receive Buffer Unavailable status not detected,Receive Buffer Unavailable status detected"
newline
bitfld.long 0x0 6. "RI,Receive Interrupt This bit indicates that the packet reception is complete. When packet reception is complete Bit 31 of RDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. The reception.." "Receive Interrupt status not detected,Receive Interrupt status detected"
newline
rbitfld.long 0x0 3.--5. "RESERVED_5_3,Reserved." "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 2. "TBU,Transmit Buffer Unavailable This bit indicates that the application owns the next descriptor in the Transmit list and the DMA cannot acquire it. Transmission is suspended. The TPS0 field of the DMA_Debug_Status0 register explains the Transmit.." "Transmit Buffer Unavailable status not detected,Transmit Buffer Unavailable status detected"
newline
bitfld.long 0x0 1. "TPS,Transmit Process Stopped This bit is set when the transmission is stopped. Access restriction applies. Self-set to 1 on internal event. Setting 1 clears. Setting 0 has no effect. 0x1: Transmit Process Stopped status detected 0x0: Transmit Process.." "Transmit Process Stopped status not detected,Transmit Process Stopped status detected"
newline
bitfld.long 0x0 0. "TI,Transmit Interrupt This bit indicates that the packet transmission is complete. When transmission is complete Bit 31 of TDES3 is reset in the last descriptor and the specific packet status information is updated in the descriptor. Access restriction.." "Transmit Interrupt status not detected,Transmit Interrupt status detected"
rgroup.long 0x64++0xB
line.long 0x0 "DMA_CH4_MISS_FRAME_CNT,This register has the number of packet counter that got dropped by the DMA either due to Bus Error or due to programming RPF field in DMA_CH{i}_Rx_Control register."
hexmask.long.word 0x0 16.--31. 1. "RESERVED_31_16,Reserved."
newline
bitfld.long 0x0 15. "MFCO,Overflow status of the MFC Counter When this bit is set then the MFC counter does not get incremented further. The bit gets cleared when this register is read. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Miss.." "Miss Frame Counter overflow not occurred,Miss Frame Counter overflow occurred"
newline
hexmask.long.byte 0x0 11.--14. 1. "RESERVED_14_11,Reserved."
newline
hexmask.long.word 0x0 0.--10. 1. "MFC,Dropped Packet Counters This counter indicates the number of packet counters that are dropped by the DMA either because of bus error or because of programing RPF field in DMA_CH{i}_Rx_Control register. The counter gets cleared when this register is.."
line.long 0x4 "DMA_CH4_RXP_ACCEPT_CNT,The DMA_CH(#i)_RXP_Accept_Cnt registers provides the count of the number of frames accepted by Rx Parser."
bitfld.long 0x4 31. "RXPACOF,Rx Parser Accept Counter Overflow Bit When set this bit indicates that the RXPAC Counter field crossed the maximum limit. Access restriction applies. Clears on read. Self-set to 1 on internal event. 0x1: Rx Parser Accept Counter overflow.." "Rx Parser Accept Counter overflow not occurred,Rx Parser Accept Counter overflow occurred"
newline
hexmask.long 0x4 0.--30. 1. "RXPAC,Rx Parser Accept Counter This 31-bit counter is implemented whenever a Rx Parser Accept a packet due to AF =1. The counter is cleared when the register is read."
line.long 0x8 "DMA_CH4_RX_ERI_CNT,The DMA_CH(#i)_RX_ERI_Cnt registers provides the count of the number of times ERI was asserted."
hexmask.long.tbyte 0x8 12.--31. 1. "RESERVED_31_12,"
newline
hexmask.long.word 0x8 0.--11. 1. "ECNT,ERI Counter When ERIC bit of DMA_CH(#i)_RX_Control register is set this counter increments for burst transfer completed by the Rx DMA from the start of packet transfer. This counter will get reset at the start of new packet."
tree.end
tree.end
repeat.end
endif
tree.end
sif (CORENAME()=="CORTEXA55")
tree "GIC (Generic Interrupt Controller)"
tree "GIC_A55"
base ad:0x35440000
tree "Distributor"
rgroup.long (0x0+0x4096)++0xB
line.long 0x0 "GICD_CTLR,Distributor Control Register"
line.long 0x4 "GICD_TYPER,Interrupt Controller Type Register"
line.long 0x8 "GICD_IIDR,Distributor Implementer Identification Register"
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x80+0x4096)++0x3
line.long 0x0 "GICD_IGROUPR$1,Interrupt Group Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x100+0x4096)++0x3
line.long 0x0 "GICD_ISENABLER$1,Interrupt Set-Enable Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x180+0x4096)++0x3
line.long 0x0 "GICD_ICENABLER$1,Interrupt Clear-Enable Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x200+0x4096)++0x3
line.long 0x0 "GICD_ISPENDR$1,Interrupt Set-Pending Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x280+0x4096)++0x3
line.long 0x0 "GICD_ICPENDR$1,Interrupt Clear-Pending Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x300+0x4096)++0x3
line.long 0x0 "GICD_ISACTIVER$1,Interrupt Set-Active Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x380+0x4096)++0x3
line.long 0x0 "GICD_ICACTIVER$1,Interrupt Clear-Active Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0xC00+0x4096)++0x3
line.long 0x0 "GICD_ICFGR$1,Interrupt Configuration Registers"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
rgroup.long ($2+0xC00+0x4096)++0x3
line.long 0x0 "GICD_ICFGR$1,Interrupt Configuration Registers"
repeat.end
rgroup.long (0xD00+0x4096)++0x3
line.long 0x0 "GICD_PPISR,Private Peripheral Interrupt Status Register"
repeat 15. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 )
rgroup.long ($2+0xD04+0x4096)++0x3
line.long 0x0 "GICD_SPISR$1,Shared Peripheral Interrupt Status Registers"
repeat.end
rgroup.long (0xF00+0x4096)++0x3
line.long 0x0 "GICD_SGIR,Software Generated Interrupt Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
rgroup.long ($2+0xF10+0x4096)++0x3
line.long 0x0 "GICD_CPENDSGIR$1,SGI Clear-Pending Registers"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
rgroup.long ($2+0xF20+0x4096)++0x3
line.long 0x0 "GICD_SPENDSGIR$1,SGI Set-Pending Registers"
repeat.end
rgroup.long (0xFD0+0x4096)++0x2F
line.long 0x0 "GICD_PIDR4,Peripheral ID4 Register"
line.long 0x4 "GICD_PIDR5,Peripheral ID5 Register"
line.long 0x8 "GICD_PIDR6,Peripheral ID6 Register"
line.long 0xC "GICD_PIDR7,Peripheral ID7 Register"
line.long 0x10 "GICD_PIDR0,Peripheral ID0 Register"
line.long 0x14 "GICD_PIDR1,Peripheral ID1 Register"
line.long 0x18 "GICD_PIDR2,Peripheral ID2 Register"
line.long 0x1C "GICD_PIDR3,Peripheral ID3 Register"
line.long 0x20 "GICD_CIDR0,Component ID0 Register"
line.long 0x24 "GICD_CIDR1,Component ID1 Register"
line.long 0x28 "GICD_CIDR2,Component ID2 Register"
line.long 0x2C "GICD_CIDR3,Component ID3 Register"
tree.end
tree "CPUif"
group.long (0x0+0x8192)++0x2B
line.long 0x0 "GICC_CTLR,CPU Interface Control Register"
line.long 0x4 "GICC_PMR,Interrupt Priority Mask Register"
line.long 0x8 "GICC_BPR,Binary Point Register"
line.long 0xC "GICC_IAR,Interrupt Acknowledge Register"
line.long 0x10 "GICC_EOIR,End of Interrupt Register"
line.long 0x14 "GICC_RPR,Running Priority Register"
line.long 0x18 "GICC_HPPIR,Highest Priority Pending Interrupt Register"
line.long 0x1C "GICC_ABPR,Aliased Binary Point Register"
line.long 0x20 "GICC_AIAR,Aliased Interrupt Acknowledge Register"
line.long 0x24 "GICC_AEOIR,Aliased End of Interrupt Register"
line.long 0x28 "GICC_AHPPIR,Aliased Highest Priority Pending Interrupt Register"
group.long (0xD0+0x8192)++0x3
line.long 0x0 "GICC_APR0,Active Priority Register"
group.long (0xE0+0x8192)++0x3
line.long 0x0 "GICC_NSAPR0,Non-Secure Active Priority Register"
group.long (0xFC+0x8192)++0x3
line.long 0x0 "GICC_IIDR,CPU Interface Identification Register"
group.long (0x1000+0x8192)++0x3
line.long 0x0 "GICC_DIR,Deactivate Interrupt Register"
tree.end
tree "VCPUifHyp"
group.long (0x0+0x16384)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x16384)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x16384)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x16384)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x16384)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x16384)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias0"
group.long (0x0+0x20480)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x20480)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x20480)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x20480)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x20480)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x20480)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias1"
group.long (0x0+0x20992)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x20992)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x20992)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x20992)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x20992)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x20992)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias2"
group.long (0x0+0x21504)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x21504)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x21504)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x21504)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x21504)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x21504)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias3"
group.long (0x0+0x22016)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x22016)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x22016)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x22016)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x22016)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x22016)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias4"
group.long (0x0+0x22528)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x22528)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x22528)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x22528)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x22528)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x22528)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias5"
group.long (0x0+0x23040)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x23040)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x23040)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x23040)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x23040)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x23040)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias6"
group.long (0x0+0x23552)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x23552)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x23552)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x23552)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x23552)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x23552)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias7"
group.long (0x0+0x24064)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x24064)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x24064)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x24064)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x24064)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x24064)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifVM "
group.long (0x0+0x24576)++0x2B
line.long 0x0 "GICV_CTLR,Virtual Machine Control Register"
line.long 0x4 "GICV_PMR,VM Priority Mask Register"
line.long 0x8 "GICV_BPR,VM Binary Point Register"
line.long 0xC "GICV_IAR,VM Interrupt Acknowledge Register"
line.long 0x10 "GICV_EOIR,VM End of Interrupt Register"
line.long 0x14 "GICV_RPR,VM Running Priority Register"
line.long 0x18 "GICV_HPPIR,VM Highest Priority Pending Interrupt Register"
line.long 0x1C "GICV_ABPR,VM Aliased Binary Point Register"
line.long 0x20 "GICV_AIAR,VM Aliased Interrupt Acknowledge Register"
line.long 0x24 "GICV_AEOIR,VM Aliased End of Interrupt Register"
line.long 0x28 "GICV_AHPPIR,VM Aliased Highest Priority Pending Interrupt Register"
group.long (0xD0+0x24576)++0x3
line.long 0x0 "GICV_APR0,VM Active Priority Register"
group.long (0xFC+0x24576)++0x3
line.long 0x0 "GICV_IIDR,VM CPU Interface Identification Register"
group.long (0x1000+0x24576)++0x3
line.long 0x0 "GICV_DIR,VM Deactivate Interrupt Register"
tree.end
tree.end
tree "GIC_A55_MP"
base ad:0x35430000
tree "Distributor"
rgroup.long (0x0+0x4096)++0xB
line.long 0x0 "GICD_CTLR,Distributor Control Register"
line.long 0x4 "GICD_TYPER,Interrupt Controller Type Register"
line.long 0x8 "GICD_IIDR,Distributor Implementer Identification Register"
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x80+0x4096)++0x3
line.long 0x0 "GICD_IGROUPR$1,Interrupt Group Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x100+0x4096)++0x3
line.long 0x0 "GICD_ISENABLER$1,Interrupt Set-Enable Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x180+0x4096)++0x3
line.long 0x0 "GICD_ICENABLER$1,Interrupt Clear-Enable Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x200+0x4096)++0x3
line.long 0x0 "GICD_ISPENDR$1,Interrupt Set-Pending Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x280+0x4096)++0x3
line.long 0x0 "GICD_ICPENDR$1,Interrupt Clear-Pending Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x300+0x4096)++0x3
line.long 0x0 "GICD_ISACTIVER$1,Interrupt Set-Active Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x380+0x4096)++0x3
line.long 0x0 "GICD_ICACTIVER$1,Interrupt Clear-Active Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC )
rgroup.long ($2+0x400+0x4096)++0x3
line.long 0x0 "GICD_IPRIORITYR$1,Interrupt Priority Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC )
rgroup.long ($2+0x800+0x4096)++0x3
line.long 0x0 "GICD_ITARGETSR$1,Interrupt Processor Targets Registers"
repeat.end
repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C )
rgroup.long ($2+0xC00+0x4096)++0x3
line.long 0x0 "GICD_ICFGR$1,Interrupt Configuration Registers"
repeat.end
repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C )
rgroup.long ($2+0xC00+0x4096)++0x3
line.long 0x0 "GICD_ICFGR$1,Interrupt Configuration Registers"
repeat.end
rgroup.long (0xD00+0x4096)++0x3
line.long 0x0 "GICD_PPISR,Private Peripheral Interrupt Status Register"
repeat 15. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 )
rgroup.long ($2+0xD04+0x4096)++0x3
line.long 0x0 "GICD_SPISR$1,Shared Peripheral Interrupt Status Registers"
repeat.end
rgroup.long (0xF00+0x4096)++0x3
line.long 0x0 "GICD_SGIR,Software Generated Interrupt Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
rgroup.long ($2+0xF10+0x4096)++0x3
line.long 0x0 "GICD_CPENDSGIR$1,SGI Clear-Pending Registers"
repeat.end
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
rgroup.long ($2+0xF20+0x4096)++0x3
line.long 0x0 "GICD_SPENDSGIR$1,SGI Set-Pending Registers"
repeat.end
rgroup.long (0xFD0+0x4096)++0x2F
line.long 0x0 "GICD_PIDR4,Peripheral ID4 Register"
line.long 0x4 "GICD_PIDR5,Peripheral ID5 Register"
line.long 0x8 "GICD_PIDR6,Peripheral ID6 Register"
line.long 0xC "GICD_PIDR7,Peripheral ID7 Register"
line.long 0x10 "GICD_PIDR0,Peripheral ID0 Register"
line.long 0x14 "GICD_PIDR1,Peripheral ID1 Register"
line.long 0x18 "GICD_PIDR2,Peripheral ID2 Register"
line.long 0x1C "GICD_PIDR3,Peripheral ID3 Register"
line.long 0x20 "GICD_CIDR0,Component ID0 Register"
line.long 0x24 "GICD_CIDR1,Component ID1 Register"
line.long 0x28 "GICD_CIDR2,Component ID2 Register"
line.long 0x2C "GICD_CIDR3,Component ID3 Register"
tree.end
tree "CPUif"
group.long (0x0+0x8192)++0x2B
line.long 0x0 "GICC_CTLR,CPU Interface Control Register"
line.long 0x4 "GICC_PMR,Interrupt Priority Mask Register"
line.long 0x8 "GICC_BPR,Binary Point Register"
line.long 0xC "GICC_IAR,Interrupt Acknowledge Register"
line.long 0x10 "GICC_EOIR,End of Interrupt Register"
line.long 0x14 "GICC_RPR,Running Priority Register"
line.long 0x18 "GICC_HPPIR,Highest Priority Pending Interrupt Register"
line.long 0x1C "GICC_ABPR,Aliased Binary Point Register"
line.long 0x20 "GICC_AIAR,Aliased Interrupt Acknowledge Register"
line.long 0x24 "GICC_AEOIR,Aliased End of Interrupt Register"
line.long 0x28 "GICC_AHPPIR,Aliased Highest Priority Pending Interrupt Register"
group.long (0xD0+0x8192)++0x3
line.long 0x0 "GICC_APR0,Active Priority Register"
group.long (0xE0+0x8192)++0x3
line.long 0x0 "GICC_NSAPR0,Non-Secure Active Priority Register"
group.long (0xFC+0x8192)++0x3
line.long 0x0 "GICC_IIDR,CPU Interface Identification Register"
group.long (0x1000+0x8192)++0x3
line.long 0x0 "GICC_DIR,Deactivate Interrupt Register"
tree.end
tree "VCPUifHyp"
group.long (0x0+0x16384)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x16384)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x16384)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x16384)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x16384)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x16384)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias0"
group.long (0x0+0x20480)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x20480)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x20480)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x20480)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x20480)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x20480)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias1"
group.long (0x0+0x20992)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x20992)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x20992)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x20992)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x20992)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x20992)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias2"
group.long (0x0+0x21504)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x21504)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x21504)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x21504)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x21504)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x21504)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias3"
group.long (0x0+0x22016)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x22016)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x22016)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x22016)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x22016)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x22016)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias4"
group.long (0x0+0x22528)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x22528)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x22528)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x22528)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x22528)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x22528)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias5"
group.long (0x0+0x23040)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x23040)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x23040)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x23040)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x23040)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x23040)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias6"
group.long (0x0+0x23552)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x23552)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x23552)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x23552)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x23552)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x23552)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifHypAlias7"
group.long (0x0+0x24064)++0xB
line.long 0x0 "GICH_HCR,Hypervisor Control Register"
line.long 0x4 "GICH_VTR,VGIC Type Register"
line.long 0x8 "GICH_VMCR,Virtual Machine Control Register"
group.long (0x10+0x24064)++0x3
line.long 0x0 "GICH_MISR,Maintenance Interrupt Status Register"
group.long (0x20+0x24064)++0x3
line.long 0x0 "GICH_EISR0,End of Interrupt Status Register"
group.long (0x30+0x24064)++0x3
line.long 0x0 "GICH_ELSR0,Empty List register Status Register"
group.long (0xF0+0x24064)++0x3
line.long 0x0 "GICH_APR0,Active Priority Register"
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC )
group.long ($2+0x100+0x24064)++0x3
line.long 0x0 "GICH_LR$1,List Register 0"
repeat.end
tree.end
tree "VCPUifVM "
group.long (0x0+0x24576)++0x2B
line.long 0x0 "GICV_CTLR,Virtual Machine Control Register"
line.long 0x4 "GICV_PMR,VM Priority Mask Register"
line.long 0x8 "GICV_BPR,VM Binary Point Register"
line.long 0xC "GICV_IAR,VM Interrupt Acknowledge Register"
line.long 0x10 "GICV_EOIR,VM End of Interrupt Register"
line.long 0x14 "GICV_RPR,VM Running Priority Register"
line.long 0x18 "GICV_HPPIR,VM Highest Priority Pending Interrupt Register"
line.long 0x1C "GICV_ABPR,VM Aliased Binary Point Register"
line.long 0x20 "GICV_AIAR,VM Aliased Interrupt Acknowledge Register"
line.long 0x24 "GICV_AEOIR,VM Aliased End of Interrupt Register"
line.long 0x28 "GICV_AHPPIR,VM Aliased Highest Priority Pending Interrupt Register"
group.long (0xD0+0x24576)++0x3
line.long 0x0 "GICV_APR0,VM Active Priority Register"
group.long (0xFC+0x24576)++0x3
line.long 0x0 "GICV_IIDR,VM CPU Interface Identification Register"
group.long (0x1000+0x24576)++0x3
line.long 0x0 "GICV_DIR,VM Deactivate Interrupt Register"
tree.end
tree.end
tree.end
endif
tree "GPIO (General-Purpose IO Controller)"
sif (CORENAME()=="CORTEXR5F")
repeat 5. (increment 1. 1.) (list ad:0xF0000000 ad:0xF0400000 ad:0xF0410000 ad:0xF0420000 ad:0xF0430000)
tree "GPIO$1"
base $2
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x10 0x20 0x30 )
group.long ($2)++0x3
line.long 0x0 "GPIO_CTRL_$1,gpio overall control and status register"
rbitfld.long 0x0 14. "PCLK_ACTIVE,status of pclk: 1: pclk is active 0: pclk is inactive" "pclk is inactive,pclk is active"
newline
bitfld.long 0x0 13. "INT_CLK_SEL,selection of gpio interrupt clock 0: pclk 1: 32kHz" "pclk,?"
bitfld.long 0x0 12. "INT_DEB_EN,control of gpio interrupt debounce. 0: disable 1: enable" "disable,enable"
newline
bitfld.long 0x0 11. "INT_LEV_SYNC,gpio level sensitive sync enable 0: disable 1: enable" "disable,enable"
bitfld.long 0x0 10. "INT_EDGE_CLR,gpio edge sensitive interrupt clear. this bit is auto cleared. no need to clear it to 0 after program to 1. 0: not clear 1: clear" "not clear,clear"
newline
rbitfld.long 0x0 9. "INT_STATUS_UNMASK,gpio interrupt unmasked status 0: interrupt not active 1: interrupt active" "interrupt not active,interrupt active"
rbitfld.long 0x0 8. "INT_STATUS,gpio interrupt status. 0: interrupt not active 1: interrupt active" "interrupt not active,interrupt active"
newline
bitfld.long 0x0 7. "INT_BOTH_EDGE,gpio interrupt both sensitive type 0: single edge sensitive 1: both edge sensitive" "single edge sensitive,both edge sensitive"
bitfld.long 0x0 6. "INT_POL,gpio interrupt polarity. 0: low active or negedge active 1: high active or posedge active" "low active or negedge active,high active or posedge active"
newline
bitfld.long 0x0 5. "INT_TYPE,gpio interrupt type 0: Interrupt is level sensitive 1: Interrupt is edge sensitive" "Interrupt is level sensitive,Interrupt is edge sensitive"
bitfld.long 0x0 4. "INT_MASK,gpio interrupt mask 0: interrupt not mask 1: interrupt mask" "interrupt not mask,interrupt mask"
newline
bitfld.long 0x0 3. "INT_EN,gpio interrupt enable 0: disable 1: enable" "disable,enable"
bitfld.long 0x0 2. "DATA_OUT,gpio output data" "0,1"
newline
rbitfld.long 0x0 1. "DATA_IN,gpio input data" "0,1"
bitfld.long 0x0 0. "DIR,gpio direction. 0: input mode 1: output mode" "input mode,output mode"
repeat.end
group.long 0x2000++0x3
line.long 0x0 "GPIO_DIR_0,gpio direction control for 32 gpio at once"
hexmask.long 0x0 0.--31. 1. "DIR,gpio direction control for 32 gpio at once"
rgroup.long 0x2200++0x3
line.long 0x0 "GPIO_DATA_IN_0,gpio data input"
hexmask.long 0x0 0.--31. 1. "DATA_IN,gpio data input"
group.long 0x2400++0x3
line.long 0x0 "GPIO_DATA_OUT_0,gpio data output"
hexmask.long 0x0 0.--31. 1. "DATA_OUT,gpio data output"
group.long 0x2600++0x3
line.long 0x0 "GPIO_INT_EN_0,gpio interrupt enable"
hexmask.long 0x0 0.--31. 1. "INT_EN,gpio interrupt enable"
group.long 0x2800++0x3
line.long 0x0 "GPIO_INT_MASK_0,gpio interrupt mask"
hexmask.long 0x0 0.--31. 1. "INT_MASK,gpio interrupt mask"
group.long 0x2A00++0x3
line.long 0x0 "GPIO_INT_TYPE_0,gpio interrupt type"
hexmask.long 0x0 0.--31. 1. "INT_TYPE,0: Interrupt is level sensitive 1: Interrupt is edge sensitive"
group.long 0x2C00++0x3
line.long 0x0 "GPIO_INT_POL_0,gpio interrupt polarity"
hexmask.long 0x0 0.--31. 1. "INT_POL,gpio interrupt polarity. 0: low active or negedge active 1: high active or posedge active"
group.long 0x2E00++0x3
line.long 0x0 "GPIO_INT_BOTH_EDGE_0,gpio interrupt both edge sensitive enable"
hexmask.long 0x0 0.--31. 1. "INT_BOTH_EDGE,gpio interrupt both sensitive type 0: single edge sensitive 1: both edge sensitive"
rgroup.long 0x3000++0x3
line.long 0x0 "GPIO_INT_STATUS_0,gpio interrupt status"
hexmask.long 0x0 0.--31. 1. "INT_STATUS,gpio interrupt status. 0: interrupt not active 1: interrupt active"
rgroup.long 0x3200++0x3
line.long 0x0 "GPIO_INT_STATUS_UNMASK_0,gpio interrupt unmasked status"
hexmask.long 0x0 0.--31. 1. "INT_STATUS_UNMASK,gpio interrupt unmasked status 0: interrupt not active 1: interrupt active"
group.long 0x3400++0x3
line.long 0x0 "GPIO_INT_EDGE_CLR_0,gpio edge sensitive interrupt clear. this bit is auto cleared. no need to clear it to 0 after program to 1."
hexmask.long 0x0 0.--31. 1. "INT_EDGE_CLR,gpio edge sensitive interrupt clear. this bit is auto cleared. no need to clear it to 0 after program to 1. 0: not clear 1: clear"
group.long 0x3600++0x3
line.long 0x0 "GPIO_INT_LEV_SYNC_0,gpio level sensitive sync enable"
hexmask.long 0x0 0.--31. 1. "INT_LEV_SYNC,pio level sensitive sync enable 0: disable 1: enable"
group.long 0x3800++0x3
line.long 0x0 "GPIO_INT_DEB_EN_0,gpio interrupt debounce enable."
hexmask.long 0x0 0.--31. 1. "INT_DEB_EN,gpio interrupt debounce enable. 0: disable 1: enable"
group.long 0x3A00++0x3
line.long 0x0 "GPIO_INT_CLK_SEL_0,gpio interrupt clock source select"
hexmask.long 0x0 0.--31. 1. "INT_CLK_SEL,gpio interrupt clock source select 0: pclk 1: 32kHz"
rgroup.long 0x3C00++0x3
line.long 0x0 "GPIO_INT_PCLK_ACTIVE_0,gpio pclk active status"
hexmask.long 0x0 0.--31. 1. "PCLK_ACTIVE,gpio pclk active status 1: pclk acvive 0: pclk not active for each bit"
tree.end
repeat.end
elif (CORENAME()=="CORTEXA55")
repeat 5. (increment 1. 1.) (list ad:0x30000000 ad:0x30400000 ad:0x30410000 ad:0x30420000 ad:0x30430000)
tree "GPIO$1"
base $2
repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x10 0x20 0x30 )
group.long ($2)++0x3
line.long 0x0 "GPIO_CTRL_$1,gpio overall control and status register"
rbitfld.long 0x0 14. "PCLK_ACTIVE,status of pclk: 1: pclk is active 0: pclk is inactive" "pclk is inactive,pclk is active"
newline
bitfld.long 0x0 13. "INT_CLK_SEL,selection of gpio interrupt clock 0: pclk 1: 32kHz" "pclk,?"
bitfld.long 0x0 12. "INT_DEB_EN,control of gpio interrupt debounce. 0: disable 1: enable" "disable,enable"
newline
bitfld.long 0x0 11. "INT_LEV_SYNC,gpio level sensitive sync enable 0: disable 1: enable" "disable,enable"
bitfld.long 0x0 10. "INT_EDGE_CLR,gpio edge sensitive interrupt clear. this bit is auto cleared. no need to clear it to 0 after program to 1. 0: not clear 1: clear" "not clear,clear"
newline
rbitfld.long 0x0 9. "INT_STATUS_UNMASK,gpio interrupt unmasked status 0: interrupt not active 1: interrupt active" "interrupt not active,interrupt active"
rbitfld.long 0x0 8. "INT_STATUS,gpio interrupt status. 0: interrupt not active 1: interrupt active" "interrupt not active,interrupt active"
newline
bitfld.long 0x0 7. "INT_BOTH_EDGE,gpio interrupt both sensitive type 0: single edge sensitive 1: both edge sensitive" "single edge sensitive,both edge sensitive"
bitfld.long 0x0 6. "INT_POL,gpio interrupt polarity. 0: low active or negedge active 1: high active or posedge active" "low active or negedge active,high active or posedge active"
newline
bitfld.long 0x0 5. "INT_TYPE,gpio interrupt type 0: Interrupt is level sensitive 1: Interrupt is edge sensitive" "Interrupt is level sensitive,Interrupt is edge sensitive"
bitfld.long 0x0 4. "INT_MASK,gpio interrupt mask 0: interrupt not mask 1: interrupt mask" "interrupt not mask,interrupt mask"
newline
bitfld.long 0x0 3. "INT_EN,gpio interrupt enable 0: disable 1: enable" "disable,enable"
bitfld.long 0x0 2. "DATA_OUT,gpio output data" "0,1"
newline
rbitfld.long 0x0 1. "DATA_IN,gpio input data" "0,1"
bitfld.long 0x0 0. "DIR,gpio direction. 0: input mode 1: output mode" "input mode,output mode"
repeat.end
group.long 0x2000++0x3
line.long 0x0 "GPIO_DIR_0,gpio direction control for 32 gpio at once"
hexmask.long 0x0 0.--31. 1. "DIR,gpio direction control for 32 gpio at once"
rgroup.long 0x2200++0x3
line.long 0x0 "GPIO_DATA_IN_0,gpio data input"
hexmask.long 0x0 0.--31. 1. "DATA_IN,gpio data input"
group.long 0x2400++0x3
line.long 0x0 "GPIO_DATA_OUT_0,gpio data output"
hexmask.long 0x0 0.--31. 1. "DATA_OUT,gpio data output"
group.long 0x2600++0x3
line.long 0x0 "GPIO_INT_EN_0,gpio interrupt enable"
hexmask.long 0x0 0.--31. 1. "INT_EN,gpio interrupt enable"
group.long 0x2800++0x3
line.long 0x0 "GPIO_INT_MASK_0,gpio interrupt mask"
hexmask.long 0x0 0.--31. 1. "INT_MASK,gpio interrupt mask"
group.long 0x2A00++0x3
line.long 0x0 "GPIO_INT_TYPE_0,gpio interrupt type"
hexmask.long 0x0 0.--31. 1. "INT_TYPE,0: Interrupt is level sensitive 1: Interrupt is edge sensitive"
group.long 0x2C00++0x3
line.long 0x0 "GPIO_INT_POL_0,gpio interrupt polarity"
hexmask.long 0x0 0.--31. 1. "INT_POL,gpio interrupt polarity. 0: low active or negedge active 1: high active or posedge active"
group.long 0x2E00++0x3
line.long 0x0 "GPIO_INT_BOTH_EDGE_0,gpio interrupt both edge sensitive enable"
hexmask.long 0x0 0.--31. 1. "INT_BOTH_EDGE,gpio interrupt both sensitive type 0: single edge sensitive 1: both edge sensitive"
rgroup.long 0x3000++0x3
line.long 0x0 "GPIO_INT_STATUS_0,gpio interrupt status"
hexmask.long 0x0 0.--31. 1. "INT_STATUS,gpio interrupt status. 0: interrupt not active 1: interrupt active"
rgroup.long 0x3200++0x3
line.long 0x0 "GPIO_INT_STATUS_UNMASK_0,gpio interrupt unmasked status"
hexmask.long 0x0 0.--31. 1. "INT_STATUS_UNMASK,gpio interrupt unmasked status 0: interrupt not active 1: interrupt active"
group.long 0x3400++0x3
line.long 0x0 "GPIO_INT_EDGE_CLR_0,gpio edge sensitive interrupt clear. this bit is auto cleared. no need to clear it to 0 after program to 1."
hexmask.long 0x0 0.--31. 1. "INT_EDGE_CLR,gpio edge sensitive interrupt clear. this bit is auto cleared. no need to clear it to 0 after program to 1. 0: not clear 1: clear"
group.long 0x3600++0x3
line.long 0x0 "GPIO_INT_LEV_SYNC_0,gpio level sensitive sync enable"
hexmask.long 0x0 0.--31. 1. "INT_LEV_SYNC,pio level sensitive sync enable 0: disable 1: enable"
group.long 0x3800++0x3
line.long 0x0 "GPIO_INT_DEB_EN_0,gpio interrupt debounce enable."
hexmask.long 0x0 0.--31. 1. "INT_DEB_EN,gpio interrupt debounce enable. 0: disable 1: enable"
group.long 0x3A00++0x3
line.long 0x0 "GPIO_INT_CLK_SEL_0,gpio interrupt clock source select"
hexmask.long 0x0 0.--31. 1. "INT_CLK_SEL,gpio interrupt clock source select 0: pclk 1: 32kHz"
rgroup.long 0x3C00++0x3
line.long 0x0 "GPIO_INT_PCLK_ACTIVE_0,gpio pclk active status"
hexmask.long 0x0 0.--31. 1. "PCLK_ACTIVE,gpio pclk active status 1: pclk acvive 0: pclk not active for each bit"
tree.end
repeat.end
endif
tree.end
tree "GPU (GPU Controller)"
sif (CORENAME()=="CORTEXR5F")
tree "GPU1"
base ad:0xF4C00000
group.long 0x0++0x7
line.long 0x0 "RGX_CR_CLK_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 28.--29. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1,2,3"
newline
bitfld.long 0x0 26.--27. "MCU_L0,MCU_L0" "0,1,2,3"
newline
bitfld.long 0x0 24.--25. "TPU,TPU" "0,1,2,3"
newline
bitfld.long 0x0 22.--23. "Reserved_22,Reserved_22" "0,1,2,3"
newline
bitfld.long 0x0 20.--21. "USC,USC" "0,1,2,3"
newline
bitfld.long 0x0 18.--19. "Reserved_18,Reserved_18" "0,1,2,3"
newline
bitfld.long 0x0 16.--17. "SLC,SLC" "0,1,2,3"
newline
bitfld.long 0x0 14.--15. "UVS,UVS" "0,1,2,3"
newline
bitfld.long 0x0 12.--13. "PDS,PDS" "0,1,2,3"
newline
bitfld.long 0x0 10.--11. "VDM,VDM" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "PM,PM" "0,1,2,3"
newline
bitfld.long 0x0 6.--7. "GPP,GPP" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "TE,TE" "0,1,2,3"
newline
bitfld.long 0x0 2.--3. "TSP,TSP" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "ISP,ISP" "0,1,2,3"
line.long 0x4 "RGX_CR_CLK_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
hexmask.long.byte 0x4 28.--31. 1. "Reserved_60,Reserved_60"
newline
bitfld.long 0x4 26.--27. "FBC,FBC" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "FBDC,FBDC" "0,1,2,3"
newline
bitfld.long 0x4 22.--23. "FB_TLCACHE,FB_TLCACHE" "0,1,2,3"
newline
bitfld.long 0x4 20.--21. "USCS,USCS" "0,1,2,3"
newline
bitfld.long 0x4 18.--19. "PBE,PBE" "0,1,2,3"
newline
bitfld.long 0x4 16.--17. "MCU_L1,MCU_L1" "0,1,2,3"
newline
bitfld.long 0x4 14.--15. "CDM,CDM" "0,1,2,3"
newline
bitfld.long 0x4 12.--13. "SIDEKICK,SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 10.--11. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "BIF,BIF" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--7. 1. "Reserved_30,Reserved_30"
rgroup.long 0x8++0x7
line.long 0x0 "RGX_CR_CLK_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 28. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 27. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 26. "USCS,USCS" "0,1"
newline
bitfld.long 0x0 25. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 24. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 23. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 22. "SIDEKICK,SIDEKICK" "0,1"
newline
bitfld.long 0x0 21. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1"
newline
bitfld.long 0x0 20. "BIF,BIF" "0,1"
newline
hexmask.long.byte 0x0 15.--19. 1. "Reserved_15,Reserved_15"
newline
bitfld.long 0x0 14. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 13. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 12. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 11. "Reserved_11,Reserved_11" "0,1"
newline
bitfld.long 0x0 10. "USC,USC" "0,1"
newline
bitfld.long 0x0 9. "Reserved_9,Reserved_9" "0,1"
newline
bitfld.long 0x0 8. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 7. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 6. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 5. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 4. "PM,PM" "0,1"
newline
bitfld.long 0x0 3. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 2. "TE,TE" "0,1"
newline
bitfld.long 0x0 1. "TSP,TSP" "0,1"
newline
bitfld.long 0x0 0. "ISP,ISP" "0,1"
line.long 0x4 "RGX_CR_CLK_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MCU_FBTC,MCU_FBTC" "0,1"
rgroup.long 0x18++0x7
line.long 0x0 "RGX_CR_PRODUCT_ID_0,Reports the product ID Product ID Register"
hexmask.long.word 0x0 16.--31. 1. "IMG_PRODUCT_ID,IMG Product ID"
newline
hexmask.long.word 0x0 0.--15. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PRODUCT_ID_1,Reports the product ID Product ID Register"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x20)++0x3
line.long 0x0 "RGX_CR_CORE_ID_$1,Reports the product ID Core ID Register"
hexmask.long.word 0x0 16.--31. 1. "NUMBER_OF_SCALABLE_UNITS,N - Number of scalable Units"
newline
hexmask.long.word 0x0 0.--15. 1. "CONFIG_ID,C - Config ID"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x28)++0x3
line.long 0x0 "RGX_CR_CORE_IP_INTEGRATOR_ID_$1,Reports the product ID Core IP Integrator ID Register"
hexmask.long 0x0 0.--31. 1. "VALUE,IP company ID/Designer"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x30)++0x3
line.long 0x0 "RGX_CR_CORE_IP_CHANGELIST_$1,Reports the version control ID Core IP Changelist Register"
hexmask.long 0x0 0.--31. 1. "VALUE,Version control ID"
repeat.end
group.long 0x38++0x7
line.long 0x0 "RGX_CR_POWER_EVENT_0,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long.byte 0x0 24.--31. 1. "Reserved_24,Reserved_24"
newline
hexmask.long.word 0x0 8.--23. 1. "DOMAIN,sets which power island is enabled for the current power event request; bit0:jones bit1-8:dusts bit9-12:blackpearls"
newline
hexmask.long.byte 0x0 2.--7. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "REQ,Set when a power event operation is requested" "0,1"
newline
bitfld.long 0x0 0. "TYPE,The requested power event operation" "0,1"
line.long 0x4 "RGX_CR_POWER_EVENT_1,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long 0x4 0.--31. 1. "Reserved_24,Reserved_24"
group.long 0x50++0x7
line.long 0x0 "RGX_CR_DUSTS_ENABLE_0,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_ENABLE_1,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
rgroup.long 0x58++0x7
line.long 0x0 "RGX_CR_DUSTS_FUSE_0,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_FUSE_1,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x80++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x0 0.--31. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_CLK_XTPLUS_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x4 6.--31. 1. "Reserved_38,Reserved_38"
newline
bitfld.long 0x4 4.--5. "TDM,TDM" "0,1,2,3"
newline
bitfld.long 0x4 2.--3. "ASTC,ASTC" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
rgroup.long 0x88++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long.tbyte 0x0 11.--31. 1. "Reserved_11,Reserved_11"
newline
bitfld.long 0x0 10. "TDM,TDM" "0,1"
newline
bitfld.long 0x0 9. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 8. "COMPUTE,COMPUTE" "0,1"
newline
bitfld.long 0x0 7. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x0 6. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x0 5. "VERTEX,VERTEX" "0,1"
newline
bitfld.long 0x0 4. "Reserved_4,Reserved_4" "0,1"
newline
bitfld.long 0x0 3. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x0 2. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x0 1. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 0. "GEOMETRY,GEOMETRY" "0,1"
line.long 0x4 "RGX_CR_CLK_XTPLUS_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 0.--31. 1. "Reserved_11,Reserved_11"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE0)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_GRAY_$1,This register contains the value of a 64-bit external gray coded timer. (Available on 2.X.X.X cores and above)."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE8)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_BINARY_$1,This register contains the value of a 64-bit external binary coded timer."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
group.long 0x100++0xF
line.long 0x0 "RGX_CR_SOFT_RESET_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x0 31. "RASCAL_CORE,Note that the RASL_CORE bit affects logic related to the reading and writing of registers. This soft reset should therefore be used with caution. Upon power down events it is necessary to reset every register so this bit should be used but.." "0,1"
newline
bitfld.long 0x0 30. "DUST_B_CORE,DUST_B_CORE" "0,1"
newline
bitfld.long 0x0 29. "DUST_A_CORE,DUST_A_CORE" "0,1"
newline
bitfld.long 0x0 28. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 27. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 26. "Reserved_26,Reserved_26" "0,1"
newline
bitfld.long 0x0 25. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 24. "TE,TE" "0,1"
newline
bitfld.long 0x0 23. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 22. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 21. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 20. "PM,PM" "0,1"
newline
bitfld.long 0x0 19. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 18. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 17. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 16. "BIF,Bifpmcache BIF" "0,1"
newline
bitfld.long 0x0 15. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 14. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 13. "Reserved_13,Reserved_13" "0,1"
newline
bitfld.long 0x0 12. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 11. "ISP,ISP" "0,1"
newline
bitfld.long 0x0 10. "TSP,TSP" "0,1"
newline
hexmask.long.byte 0x0 5.--9. 1. "Reserved_5,Reserved_5"
newline
bitfld.long 0x0 4. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 3. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 2. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x0 0. "USC,USC" "0,1"
line.long 0x4 "RGX_CR_SOFT_RESET_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x4 29.--31. "Reserved_61,Reserved_61" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "JONES_CORE,JONES_CORE" "0,1"
newline
bitfld.long 0x4 27. "TILING_CORE,TILING_CORE" "0,1"
newline
bitfld.long 0x4 26. "TE3,TE3" "0,1"
newline
bitfld.long 0x4 25. "VCE,VCE" "0,1"
newline
bitfld.long 0x4 24. "VBS,VBS" "0,1"
newline
hexmask.long.byte 0x4 20.--23. 1. "Reserved_52,Reserved_52"
newline
bitfld.long 0x4 19. "FB_CDC,FB_CDC" "0,1"
newline
bitfld.long 0x4 17.--18. "Reserved_49,Reserved_49" "0,1,2,3"
newline
bitfld.long 0x4 16. "MCU_FBTC,MCU_FBTC" "0,1"
newline
hexmask.long.word 0x4 3.--15. 1. "Reserved_35,Reserved_35"
newline
bitfld.long 0x4 2. "MMU,MMU" "0,1"
newline
bitfld.long 0x4 1. "Reserved_33,Reserved_33" "0,1"
newline
bitfld.long 0x4 0. "GARTEN,Includes MTS and META or MIPS" "0,1"
line.long 0x8 "RGX_CR_SOFT_RESET2_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long.tbyte 0x8 12.--31. 1. "Reserved_12,Reserved_12"
newline
bitfld.long 0x8 11. "TDM,TDM" "0,1"
newline
bitfld.long 0x8 10. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x8 9. "BLACKPEARL,BLACKPEARL" "0,1"
newline
bitfld.long 0x8 8. "Reserved_8,Reserved_8" "0,1"
newline
bitfld.long 0x8 7. "IPF,IPF" "0,1"
newline
bitfld.long 0x8 6. "GEOMETRY,GEOMETRY" "0,1"
newline
bitfld.long 0x8 5. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x8 4. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x8 3. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x8 2. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x8 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x8 0. "VERTEX,VERTEX" "0,1"
line.long 0xC "RGX_CR_SOFT_RESET2_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long 0xC 0.--31. 1. "Reserved_12,Reserved_12"
group.long 0x120++0x17
line.long 0x0 "RGX_CR_CONTEXT_SWITCH_ENABLE_0,The use of the this register has been deprecated."
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "SOFT_RESET,SOFT_RESET" "0,1"
newline
bitfld.long 0x0 2. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 0. "CDM,CDM" "0,1"
line.long 0x4 "RGX_CR_CONTEXT_SWITCH_ENABLE_1,The use of the this register has been deprecated."
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x8 "RGX_CR_EVENT_ENABLE_0,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
bitfld.long 0x8 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x8 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x8 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x8 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x8 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x8 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x8 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x8 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x8 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x8 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x8 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x8 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x8 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x8 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x8 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x8 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x8 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x8 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x8 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x8 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x8 0. "Reserved_0,Reserved_0" "0,1"
line.long 0xC "RGX_CR_EVENT_ENABLE_1,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_STATUS_0,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
bitfld.long 0x10 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x10 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x10 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x10 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x10 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x10 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x10 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x10 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x10 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x10 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x10 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x10 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x10 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x10 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x10 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x10 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x10 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x10 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x10 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x10 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x10 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x14 "RGX_CR_EVENT_STATUS_1,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x138++0x7
line.long 0x0 "RGX_CR_EVENT_CLEAR_0,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
bitfld.long 0x0 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x0 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x0 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x0 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x0 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x0 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x0 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x0 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x0 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x0 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x0 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x0 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x0 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x0 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x0 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x0 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x0 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x0 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x0 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x0 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x0 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x4 "RGX_CR_EVENT_CLEAR_1,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
group.long 0x140++0xF
line.long 0x0 "RGX_CR_GPIO_OUTPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The data the firmware wants to transfer"
line.long 0x4 "RGX_CR_GPIO_OUTPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x8 "RGX_CR_GPIO_OUTPUT_REQ_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "REQ,Set when the firmware wants to communicate with a external HW" "0,1"
line.long 0xC "RGX_CR_GPIO_OUTPUT_REQ_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x150++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The incoming data from HW external to Rogue"
line.long 0x4 "RGX_CR_GPIO_INPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x158++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_ACK_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ACK,Set by the firmware when it has acknowledged the incoming request" "0,1"
line.long 0x4 "RGX_CR_GPIO_INPUT_ACK_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x160++0x7
line.long 0x0 "RGX_CR_TIMER_0,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
line.long 0x4 "RGX_CR_TIMER_1,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
bitfld.long 0x4 31. "BIT31,BIT31" "0,1"
newline
hexmask.long.word 0x4 16.--30. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.word 0x4 0.--15. 1. "VALUE,VALUE"
group.long 0x168++0x7
line.long 0x0 "RGX_CR_AXI_EXACCESS_0,AXI exclusive access enable register"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOCIF_ENABLE,enable the exclusive access logic in the socif img_axi2img. vhd module" "0,1"
line.long 0x4 "RGX_CR_AXI_EXACCESS_1,AXI exclusive access enable register"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x190++0x17
line.long 0x0 "RGX_CR_PM_TASK_MLIST_LOAD_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write to this register will cause the MLIST pointer to be loaded from either PM_MLIST0_START_OF or PM_MLIST1_START_OF depending upon the Context ID contained in PM_CONTEXT_ID_MLS_LS. A read to this register return '1' until this operation has.." "0,1"
line.long 0x4 "RGX_CR_PM_TASK_MLIST_LOAD_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_MLIST_CLEAR_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write to this register will cause the MLIST pointer to be reset to 0. A read to this register return '1' until this operation has completed." "0,1"
line.long 0xC "RGX_CR_PM_TASK_MLIST_CLEAR_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_MAX_RENDER_TARGET_0,This register is deprecated and has no function."
hexmask.long.tbyte 0x10 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x10 0.--10. 1. "ID,If used the software should program this with the maximum render target array index used within the Scene"
line.long 0x14 "RGX_CR_PM_TA_MAX_RENDER_TARGET_1,This register is deprecated and has no function."
hexmask.long 0x14 0.--31. 1. "Reserved_11,Reserved_11"
rgroup.long 0x1A8++0x7
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_0,This register is deprecated and has no function."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,OP" "0,1"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_1,This register is deprecated and has no function."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x1B0++0x1F
line.long 0x0 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ID,When set enable freeing of unused pages during TA phase" "0,1"
line.long 0x4 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_MMU_REMAP_PENDING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Pending status register corresponding to the MMU remapping operation it will become '1' when written and deassert when the operation complete." "0,1"
line.long 0xC "RGX_CR_PM_MMU_REMAP_PENDING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_PBE_FORCE_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ENABLE,When this bit is set PM will free all the 3D context Memory when a genuine pixelbe end of render is received." "0,1"
line.long 0x14 "RGX_CR_PM_PBE_FORCE_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_PDS_STARTOF_MTILEFREE_0,RGX_CR_PM_PDS_STARTOF_MTILEFREE_0"
hexmask.long.word 0x18 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x18 0.--16. 1. "OP,This startof register indicates the macrotile number of the PDSs current macrotile free request needs to be programmed by FW on a render start"
line.long 0x1C "RGX_CR_PM_PDS_STARTOF_MTILEFREE_1,RGX_CR_PM_PDS_STARTOF_MTILEFREE_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x200++0x1F
line.long 0x0 "RGX_CR_PM_TASK_3D_FREE_LOAD_0,RGX_CR_PM_TASK_3D_FREE_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write into this register will cause the 3D free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_3D_FREE_LOAD_1,RGX_CR_PM_TASK_3D_FREE_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_TA_FREE_LOAD_0,RGX_CR_PM_TASK_TA_FREE_LOAD_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write into this register will cause the TA free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_TA_FREE_LOAD_1,RGX_CR_PM_TASK_TA_FREE_LOAD_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_FSTACK_BASE_0,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_PM_TA_FSTACK_BASE_1,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
line.long 0x18 "RGX_CR_PM_3D_FSTACK_BASE_0,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long 0x18 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
newline
hexmask.long.byte 0x18 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x1C "RGX_CR_PM_3D_FSTACK_BASE_1,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long.tbyte 0x1C 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x1C 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x220)++0x3
line.long 0x0 "RGX_CR_PM_TA_FSTACK_$1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
repeat.end
group.long 0x230++0x7
line.long 0x0 "RGX_CR_PM_3D_FSTACK_0,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
line.long 0x4 "RGX_CR_PM_3D_FSTACK_1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.tbyte 0x4 12.--31. 1. "Reserved_44,Reserved_44"
newline
hexmask.long.word 0x4 0.--11. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
group.long 0x240++0x2F
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_0,Effective Immediately."
hexmask.long 0x0 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_1,Effective Immediately."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
line.long 0x8 "RGX_CR_PM_VHEAP_TABLE_0,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long 0x8 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_VHEAP_TABLE_1,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
line.long 0x10 "RGX_CR_PM_TASK_VHEAP_LOAD_0,RGX_CR_PM_TASK_VHEAP_LOAD_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "PENDING,Causes the vheap to be loaded as specified by the relevant configuration registers when it is done the hw will clear this bit" "0,1"
line.long 0x14 "RGX_CR_PM_TASK_VHEAP_LOAD_1,RGX_CR_PM_TASK_VHEAP_LOAD_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_TASK_VHEAP_CLEAR_0,RGX_CR_PM_TASK_VHEAP_CLEAR_0"
hexmask.long 0x18 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "PENDING,Causes the vheap to be cleared as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x1C "RGX_CR_PM_TASK_VHEAP_CLEAR_1,RGX_CR_PM_TASK_VHEAP_CLEAR_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x20 "RGX_CR_PM_TASK_VHEAP_STORE_0,RGX_CR_PM_TASK_VHEAP_STORE_0"
hexmask.long 0x20 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x20 0. "PENDING,Causes the vheap to be stored as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x24 "RGX_CR_PM_TASK_VHEAP_STORE_1,RGX_CR_PM_TASK_VHEAP_STORE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x28 "RGX_CR_PM_ALIST0_START_OF_0,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x28 0.--31. 1. "TAIL,allocation List 0 tail pointer"
line.long 0x2C "RGX_CR_PM_ALIST0_START_OF_1,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x2C 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x2C 0. "TAIL,allocation List 0 tail pointer" "0,1"
rgroup.long 0x270++0x7
line.long 0x0 "RGX_CR_PM_ALIST0_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST0_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x278++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_START_OF_0,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x0 0.--31. 1. "TAIL,start of the allocation list tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_START_OF_1,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,start of the allocation list tail pointer" "0,1"
rgroup.long 0x280++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x288++0x1F
line.long 0x0 "RGX_CR_PM_TASK_ALIST_LOAD_0,RGX_CR_PM_TASK_ALIST_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,the write to this register will cause allocation list to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_ALIST_LOAD_1,RGX_CR_PM_TASK_ALIST_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_ALIST_CLEAR_0,RGX_CR_PM_TASK_ALIST_CLEAR_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,the write to this register will causes the allocation list to be cleard from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_ALIST_CLEAR_1,RGX_CR_PM_TASK_ALIST_CLEAR_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x10 0.--15. 1. "OP,This is the start of the mask PM deallocation will be based on. Normally it is 0. However in ISP context resume or extra 3D timeout case the driver has to programme the value from the previous render."
line.long 0x14 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x18 "RGX_CR_PM_PAGE_MANAGEOP_0,RGX_CR_PM_PAGE_MANAGEOP_0"
hexmask.long 0x18 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x18 2. "COMBINE_DALLOC,1 means the PM writes to the free stack will be burst combined" "0,1"
newline
bitfld.long 0x18 1. "DISABLE_DALLOC,1 means the PM page management deallocation operation will be disabled" "0,1"
newline
bitfld.long 0x18 0. "DISABLE_ALLOC,1 means the PM page management allocation operation will be disabled" "0,1"
line.long 0x1C "RGX_CR_PM_PAGE_MANAGEOP_1,RGX_CR_PM_PAGE_MANAGEOP_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x2A8++0x7
line.long 0x0 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_0,RGX_CR_PM_PAGE_MANAGEOP_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "DALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
newline
bitfld.long 0x0 0. "ALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
line.long 0x4 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_1,RGX_CR_PM_PAGE_MANAGEOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x2B0++0xF
line.long 0x0 "RGX_CR_PM_CONTEXT_PB_BASE_0,RGX_CR_PM_CONTEXT_PB_BASE_0"
hexmask.long 0x0 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x0 0.--2. "CMP,Defines whether the TA/3D/HOST contexts are using the same parameter buffer. Setting a bit to '1' indicates that the context is using a different parameter buffer. Bit 0 = 0 : Unified Free List 3D context Parameter buffer = Unified Free List TA.." "MMU Free List 3D context Parameter buffer = MMU..,?,?,?,?,?,?,?"
line.long 0x4 "RGX_CR_PM_CONTEXT_PB_BASE_1,RGX_CR_PM_CONTEXT_PB_BASE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x8 "RGX_CR_PM_MLIST0_START_OF_0,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TAIL,allocation List 0 tail pointer"
line.long 0xC "RGX_CR_PM_MLIST0_START_OF_1,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2C0++0x7
line.long 0x0 "RGX_CR_PM_MLIST0_STATUS_0,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST0_STATUS_1,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2C8++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_START_OF_0,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,start of the allocation list 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_START_OF_1,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2D0++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_STATUS_0,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,mmu allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_STATUS_1,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2D8++0xF
line.long 0x0 "RGX_CR_PM_MLIST0_BASE_0,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long 0x0 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MLIST0_BASE_1,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
line.long 0x8 "RGX_CR_PM_MLIST1_BASE_0,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_MLIST1_BASE_1,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
rgroup.long 0x2F8++0x27
line.long 0x0 "RGX_CR_PM_VCE_VTOP_STATUS_0,RGX_CR_PM_VCE_VTOP_STATUS_0"
hexmask.long.word 0x0 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "OP,Virtual Page Pointer for the VCE 16KB granauality"
line.long 0x4 "RGX_CR_PM_VCE_VTOP_STATUS_1,RGX_CR_PM_VCE_VTOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x8 "RGX_CR_PM_TE_VTOP_STATUS_0,RGX_CR_PM_TE_VTOP_STATUS_0"
hexmask.long.word 0x8 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x8 0.--19. 1. "OP,Virtual Page Pointer for the TE 16KB granauality"
line.long 0xC "RGX_CR_PM_TE_VTOP_STATUS_1,RGX_CR_PM_TE_VTOP_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x10 "RGX_CR_PM_OUTOF_MEM_SRC_0,RGX_CR_PM_OUTOF_MEM_SRC_0"
hexmask.long 0x10 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x10 0.--2. "OP,one hot encoding indicating which part of resource runs out of memory bit 0: normal ta free list bit 1: unified ta free list bit 2: mmu free list" "normal ta free list,unified ta free list,mmu free list,?,?,?,?,?"
line.long 0x14 "RGX_CR_PM_OUTOF_MEM_SRC_1,RGX_CR_PM_OUTOF_MEM_SRC_1"
hexmask.long 0x14 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x18 "RGX_CR_PM_ALIST_VTOP_STATUS_0,RGX_CR_PM_ALIST_VTOP_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,Virtual Page Pointer for the allocation list 16KB granauality"
line.long 0x1C "RGX_CR_PM_ALIST_VTOP_STATUS_1,RGX_CR_PM_ALIST_VTOP_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_PM_MMU_VTOP_STATUS_0,RGX_CR_PM_MMU_VTOP_STATUS_0"
hexmask.long.word 0x20 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x20 0.--19. 1. "OP,Virtual Page Pointer for the MMU 4KB granauality"
line.long 0x24 "RGX_CR_PM_MMU_VTOP_STATUS_1,RGX_CR_PM_MMU_VTOP_STATUS_1"
hexmask.long 0x24 0.--31. 1. "Reserved_20,Reserved_20"
wgroup.long 0x320++0xF
line.long 0x0 "RGX_CR_PM_OUTOFMEM_ABORTALL_0,RGX_CR_PM_OUTOFMEM_ABORTALL_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Instruct the PM to Deny the TE allocation outstanding on Out Of Memory" "0,1"
line.long 0x4 "RGX_CR_PM_OUTOFMEM_ABORTALL_1,RGX_CR_PM_OUTOFMEM_ABORTALL_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_OUTOFMEM_RESTART_0,RGX_CR_PM_OUTOFMEM_RESTART_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Restart the PM after an Out of Memory and Abort sequence" "0,1"
line.long 0xC "RGX_CR_PM_OUTOFMEM_RESTART_1,RGX_CR_PM_OUTOFMEM_RESTART_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x330++0x7
line.long 0x0 "RGX_CR_PM_REQUESTING_SOURCE_0,RGX_CR_PM_REQUESTING_SOURCE_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "OP,Requesting source when out of memory. Bit 1 : VCE Bit 0 : TE" "TE,VCE,?,?"
line.long 0x4 "RGX_CR_PM_REQUESTING_SOURCE_1,RGX_CR_PM_REQUESTING_SOURCE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x338++0xF
line.long 0x0 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_0,RGX_CR_PM_PARTIAL_RENDER_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Partial Render Enable Bit" "0,1"
line.long 0x4 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_1,RGX_CR_PM_PARTIAL_RENDER_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0"
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 0.--4. 1. "OP,This register defines the deallocation behaviour of the PM: value > 2 is only for debug on ZLS mode 0 it can only set less than 2 0: PM will free the macrotile memory as soon as it is possible 1: PM only free one mtile for each traverse 2: PM only.."
line.long 0xC "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
rgroup.long 0x348++0xF
line.long 0x0 "RGX_CR_PM_TA_FSTACK_STATUS_0,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TOP,This status register indicated the ta context free list pointer status."
line.long 0x4 "RGX_CR_PM_TA_FSTACK_STATUS_1,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_FSTACK_STATUS_0,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TOP,This status register indicated the 3D context free list status"
line.long 0xC "RGX_CR_PM_3D_FSTACK_STATUS_1,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x358++0x7
line.long 0x0 "RGX_CR_PM_RESERVE_PAGES_0,RGX_CR_PM_RESERVE_PAGES_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "OP,This register defines the guard page required for one VCE/TE allocation. The requirement is set by the number of ppages needed to create the ALIST nodes when a vpage is closed. The MMU requirement is fixed at 3 ppages max for creatig a new ALIST.."
line.long 0x4 "RGX_CR_PM_RESERVE_PAGES_1,RGX_CR_PM_RESERVE_PAGES_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
rgroup.long 0x360++0x17
line.long 0x0 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_0,RGX_CR_PM_DEALLOCATED_MASK_STATUS_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "TOP,This status register contains a bitmask of the macrotiles freed at this point in the render"
line.long 0x4 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_1,RGX_CR_PM_DEALLOCATED_MASK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x8 "RGX_CR_PM_DEALLOCATING_MASK_STATUS_0,RGX_CR_PM_DEALLOCATING_MASK_STATUS_0"
hexmask.long.word 0x8 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x8 0.--15. 1. "TOP,This status register indicates the mtile mask being freed at the current traverse"
line.long 0xC "RGX_CR_PM_DEALLOCATING_MASK_STATUS_1,RGX_CR_PM_DEALLOCATING_MASK_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x10 "RGX_CR_PM_PDS_MTILEFREE_STATUS_0,RGX_CR_PM_PDS_MTILEFREE_STATUS_0"
hexmask.long.word 0x10 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x10 0.--16. 1. "OP,This status register indicates the macrotile number of the PDSs current macrotile free request"
line.long 0x14 "RGX_CR_PM_PDS_MTILEFREE_STATUS_1,RGX_CR_PM_PDS_MTILEFREE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x378++0x7
line.long 0x0 "RGX_CR_PM_TA_FREE_CONTEXT_0,RGX_CR_PM_TA_FREE_CONTEXT_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,free the ta context register operation" "0,1"
line.long 0x4 "RGX_CR_PM_TA_FREE_CONTEXT_1,RGX_CR_PM_TA_FREE_CONTEXT_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x380++0x7
line.long 0x0 "RGX_CR_PM_3D_TIMEOUT_NOW_0,RGX_CR_PM_3D_TIMEOUT_NOW_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,free the 3D context" "0,1"
line.long 0x4 "RGX_CR_PM_3D_TIMEOUT_NOW_1,RGX_CR_PM_3D_TIMEOUT_NOW_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x388++0x7
line.long 0x0 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_0,RGX_CR_PM_3D_DEALLOCATE_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,3D deallocate enable mode" "0,1"
line.long 0x4 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_1,RGX_CR_PM_3D_DEALLOCATE_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x390)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_TACONTEXT_$1,RGX_CR_PM_START_OF_TACONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of TA pages(4KB) on loading of the TA context"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x398)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_3DCONTEXT_$1,RGX_CR_PM_START_OF_3DCONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of 3D pages(4KB) on loading of the TA context"
repeat.end
rgroup.long 0x3A0++0x2F
line.long 0x0 "RGX_CR_PM_TA_PAGE_STATUS_0,RGX_CR_PM_TA_PAGE_STATUS_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "OP,The number of TA pages currently allocated"
line.long 0x4 "RGX_CR_PM_TA_PAGE_STATUS_1,RGX_CR_PM_TA_PAGE_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_PAGE_STATUS_0,RGX_CR_PM_3D_PAGE_STATUS_0"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "OP,The number of 3D pages currently allocated"
line.long 0xC "RGX_CR_PM_3D_PAGE_STATUS_1,RGX_CR_PM_3D_PAGE_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x10 "RGX_CR_PM_VCE_INFLIGHT_STATUS_0,RGX_CR_PM_VCE_INFLIGHT_STATUS_0"
hexmask.long.word 0x10 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x10 0.--19. 1. "OP,The Virtual Page Number in flight in the VCE Requestor"
line.long 0x14 "RGX_CR_PM_VCE_INFLIGHT_STATUS_1,RGX_CR_PM_VCE_INFLIGHT_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x18 "RGX_CR_PM_TE_INFLIGHT_STATUS_0,RGX_CR_PM_TE_INFLIGHT_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,The Virtual Page Number in flight in the TE Requestor"
line.long 0x1C "RGX_CR_PM_TE_INFLIGHT_STATUS_1,RGX_CR_PM_TE_INFLIGHT_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_BIFPM_IDLE_0,RGX_CR_BIFPM_IDLE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "MCU_L0_MEMIF,MCU L0 MEMIF Module IDLE" "0,1"
newline
bitfld.long 0x20 5. "PBE,PBE Module IDLE" "0,1"
newline
bitfld.long 0x20 4. "MCU_L0_PDSRW,MCU L0 PDSRW Module IDLE" "0,1"
newline
bitfld.long 0x20 3. "MCU_L1,MCU L1 Module IDLE" "0,1"
newline
bitfld.long 0x20 2. "USCS,USC Shared Module IDLE" "0,1"
newline
bitfld.long 0x20 1. "PM,PM Module IDLE" "0,1"
newline
bitfld.long 0x20 0. "BIF256,BIF256 Module IDLE" "0,1"
line.long 0x24 "RGX_CR_BIFPM_IDLE_1,RGX_CR_BIFPM_IDLE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_SIDEKICK_IDLE_0,RGX_CR_SIDEKICK_IDLE_0"
hexmask.long 0x28 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x28 6. "FB_CDC,FB CDC Module IDLE" "0,1"
newline
bitfld.long 0x28 5. "MMU,MMU Module IDLE" "0,1"
newline
bitfld.long 0x28 4. "BIF128,BIF128 Module IDLE" "0,1"
newline
bitfld.long 0x28 3. "TLA,TLA Module IDLE" "0,1"
newline
bitfld.long 0x28 2. "GARTEN,GARTEN Module IDLE" "0,1"
newline
bitfld.long 0x28 1. "HOSTIF,HOSTIF Module IDLE" "0,1"
newline
bitfld.long 0x28 0. "SOCIF,SOCIF Module IDLE" "0,1"
line.long 0x2C "RGX_CR_SIDEKICK_IDLE_1,RGX_CR_SIDEKICK_IDLE_1"
hexmask.long 0x2C 0.--31. 1. "Reserved_7,Reserved_7"
group.long 0x3D0++0x17
line.long 0x0 "RGX_CR_PM_CONTEXT_ID_0,RGX_CR_PM_CONTEXT_ID_0"
hexmask.long.byte 0x0 25.--31. 1. "Reserved_25,Reserved_25"
newline
bitfld.long 0x0 24. "MLIS_ALLOC,MMU page List (TE VCE aligned with this context )Allocation Context ID" "0,1"
newline
hexmask.long.byte 0x0 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "LS,Load Store Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "DALLOC,DeAllocation Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ALLOC,Allocation Context ID for the allocation list" "0,1"
line.long 0x4 "RGX_CR_PM_CONTEXT_ID_1,RGX_CR_PM_CONTEXT_ID_1"
hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x4 8. "MLIS_LS,MMU page List (TE VCE aligned with this context )Load Store Context ID" "0,1"
newline
hexmask.long.byte 0x4 1.--7. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MLIS_DALLOC,MMU page List (TE VCE aligned with this context )DeAllocation Context ID" "0,1"
line.long 0x8 "RGX_CR_PM_3D_RENDER_TARGET_INDEX_0,RGX_CR_PM_3D_RENDER_TARGET_INDEX_0"
hexmask.long.tbyte 0x8 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x8 0.--10. 1. "ID,Render Target ID which is being rendered"
line.long 0xC "RGX_CR_PM_3D_RENDER_TARGET_INDEX_1,RGX_CR_PM_3D_RENDER_TARGET_INDEX_1"
hexmask.long 0xC 0.--31. 1. "Reserved_11,Reserved_11"
line.long 0x10 "RGX_CR_PM_3D_RENDER_TARGET_LAST_0,RGX_CR_PM_3D_RENDER_TARGET_LAST_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ID,If this bit is set this means the render will be the last one in the whole render target array. If no multiple render target array is present this bit always needs set" "0,1"
line.long 0x14 "RGX_CR_PM_3D_RENDER_TARGET_LAST_1,RGX_CR_PM_3D_RENDER_TARGET_LAST_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x3E8++0x17
line.long 0x0 "RGX_CR_PM_LOCK_STATUS_0,RGX_CR_PM_LOCK_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "TD,Bit 1: 3D free list Lock Status. 0 idle/ 1 used" "0,1"
newline
bitfld.long 0x0 0. "TA,Bit 0: TA free list Lock Status. 0 idle/ 1 used." "TA free list Lock Status,?"
line.long 0x4 "RGX_CR_PM_LOCK_STATUS_1,RGX_CR_PM_LOCK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PM_LOCK_OWNER_0,RGX_CR_PM_LOCK_OWNER_0"
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "TD,Bit 1 :3D free list Lock owner. 0 PMA / 1 PMD" "0,1"
newline
bitfld.long 0x8 0. "TA,Bit 0: TA free list Lock Owner. 0 PMA / 1 PMD." "TA free list Lock Owner,?"
line.long 0xC "RGX_CR_PM_LOCK_OWNER_1,RGX_CR_PM_LOCK_OWNER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x10 "RGX_CR_PM_IDLE_STATUS_0,RGX_CR_PM_IDLE_STATUS_0"
hexmask.long.tbyte 0x10 8.--31. 1. "Reserved_8,Reserved_8"
newline
bitfld.long 0x10 7. "PMD_BIF,Idle Status Register of the PMD module bif state machine" "0,1"
newline
bitfld.long 0x10 6. "PMD_FRE,Idle Status Register of the PMD module master state machine" "0,1"
newline
bitfld.long 0x10 5. "BIF,Idle Status Register of the BIF Interface default" "0,1"
newline
bitfld.long 0x10 4. "BARB,Idle Status Register of the BIF Arbiter state BAR" "0,1"
newline
bitfld.long 0x10 3. "AMAN,Idle Status Register of the Alist state machine" "0,1"
newline
bitfld.long 0x10 2. "STA,Idle Status Register of the Stack Manager Modul" "0,1"
newline
bitfld.long 0x10 1. "PMD,Idle Status Register of the PMD module" "0,1"
newline
bitfld.long 0x10 0. "PMA,Idle Status Register of the PMA module" "0,1"
line.long 0x14 "RGX_CR_PM_IDLE_STATUS_1,RGX_CR_PM_IDLE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_8,Reserved_8"
wgroup.long 0x400++0x7
line.long 0x0 "RGX_CR_VDM_START_0,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start VDM" "0,1"
line.long 0x4 "RGX_CR_VDM_START_1,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x408++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_BASE_0,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_BASE_1,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
rgroup.long 0x410++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_CURRENT_0,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_CURRENT_1,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned address"
group.long 0x418++0x17
line.long 0x0 "RGX_CR_VDM_CALL_STACK_POINTER_0,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long 0x0 3.--31. 1. "ADDR,1TB range 64-bit aligned base address"
newline
bitfld.long 0x0 0.--2. "Reserved_0,Reserved_0" "0,1,2,3,4,5,6,7"
line.long 0x4 "RGX_CR_VDM_CALL_STACK_POINTER_1,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 64-bit aligned base address"
line.long 0x8 "RGX_CR_VDM_BATCH_0,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long.tbyte 0x8 14.--31. 1. "Reserved_14,Reserved_14"
newline
hexmask.long.word 0x8 0.--13. 1. "NUMBER,NUMBER"
line.long 0xC "RGX_CR_VDM_BATCH_1,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long 0xC 0.--31. 1. "Reserved_14,Reserved_14"
line.long 0x10 "RGX_CR_VDM_CONTEXT_STATE_BASE_0,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_VDM_CONTEXT_STATE_BASE_1,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x430++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 4.--7. 1. "LAST_PIPE,The TA pipe number to which the VDM last sent indices"
newline
bitfld.long 0x0 2.--3. "Reserved_2,Reserved_2" "0,1,2,3"
newline
bitfld.long 0x0 1. "NEED_RESUME,The VDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The VDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x438)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK0_$1,These words define the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Their.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x440)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK1_$1,This word defines the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Its.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x448)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK2_$1,These words defines the Stream Out Sync program which will be inserted into the VDM pipeline as a PPP State Update on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x450)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK0_$1,These words define the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x458)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK1_$1,This word defines the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x460)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK2_$1,These words defines the Stream Out Sync program which will be written. as a PPP State Update. by the VDM to its context resume control stream on a context store operation The function of this task is described in the.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
wgroup.long 0x468++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_START_0,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_START_1,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x470++0x7
line.long 0x0 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_0,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x0 4.--31. 1. "ADDR,ADDR"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_1,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x478++0x7
line.long 0x0 "RGX_CR_CDM_START_0,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start CDM" "0,1"
line.long 0x4 "RGX_CR_CDM_START_1,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x480++0x7
line.long 0x0 "RGX_CR_CDM_CTRL_STREAM_BASE_0,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_CDM_CTRL_STREAM_BASE_1,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
wgroup.long 0x488++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_0,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_1,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x490++0xF
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_0,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,PENDING" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_1,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_CDM_CONTEXT_STATE_BASE_0,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_CDM_CONTEXT_STATE_BASE_1,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x4A0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "NEED_RESUME,The CDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The CDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4A8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4B0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4B8)++0x3
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS_$1,This register contains the PDS Code and Data Addresses for the Terminate Program. This program is sent to PDS on Context Store after the Context Store Program"
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Terminate Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4C0++0x7
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS1_0,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store Terminate" "0,1"
line.long 0x4 "RGX_CR_CDM_TERMINATE_PDS1_1,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4D8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4E0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
group.long 0x600++0x7
line.long 0x0 "RGX_CR_PDS_CTRL_0,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long.byte 0x0 24.--31. 1. "MAX_NUM_CDM_TASKS,The maximum number of compute tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 16.--23. 1. "MAX_NUM_PDM_TASKS,The maximum number of pixel tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 8.--15. 1. "MAX_NUM_VDM_TASKS,The maximum number of vertex tasks (VS HS GS when Tess not enabled) allowed on each USC range 0 to 39 (Note reduced range to prevent Pixel/VDM system deadlock)"
newline
hexmask.long.byte 0x0 0.--7. 1. "MAX_NUM_TDM_TASKS,The maximum number of fastrender tasks allowed on each USC range 0 to 48"
line.long 0x4 "RGX_CR_PDS_CTRL_1,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x608++0x7
line.long 0x0 "RGX_CR_PDS_USC_COLLATOR_0,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Clusters Resource Collator" "0,1"
line.long 0x4 "RGX_CR_PDS_USC_COLLATOR_1,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x610++0x1F
line.long 0x0 "RGX_CR_PDS_EXEC_BASE_0,Base Address in memory where the PDS programs are located"
hexmask.long.word 0x0 20.--31. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_EXEC_BASE_1,Base Address in memory where the PDS programs are located"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
line.long 0x8 "RGX_CR_EVENT_PIXEL_PDS_CODE_0,RGX_CR_EVENT_PIXEL_PDS_CODE_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_PIXEL_PDS_CODE_1,RGX_CR_EVENT_PIXEL_PDS_CODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_PIXEL_PDS_DATA_0,RGX_CR_EVENT_PIXEL_PDS_DATA_0"
hexmask.long 0x10 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_EVENT_PIXEL_PDS_DATA_1,RGX_CR_EVENT_PIXEL_PDS_DATA_1"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x18 "RGX_CR_EVENT_PIXEL_PDS_INFO_0,RGX_CR_EVENT_PIXEL_PDS_INFO_0"
hexmask.long.tbyte 0x18 15.--31. 1. "Reserved_15,Reserved_15"
newline
hexmask.long.byte 0x18 9.--14. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x18 5.--8. 1. "TEMP_STRIDE,PDS Temp Size in 128 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x18 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x1C "RGX_CR_EVENT_PIXEL_PDS_INFO_1,RGX_CR_EVENT_PIXEL_PDS_INFO_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_15,Reserved_15"
wgroup.long 0x630++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_0,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Common Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_1,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x638++0xF
line.long 0x0 "RGX_CR_PDS_MAX_CSRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long.byte 0x0 27.--31. 1. "Reserved_27,Reserved_27"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Common Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in Common Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Common Store"
line.long 0x4 "RGX_CR_PDS_MAX_CSRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long 0x4 0.--31. 1. "Reserved_27,Reserved_27"
line.long 0x8 "RGX_CR_PDS_CSRM_MAX_COEFF_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x8 1.--5. 1. "LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to use for Coefficients before wrapping"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Coefficient Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_CSRM_MAX_COEFF_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_6,Reserved_6"
wgroup.long 0x648++0x7
line.long 0x0 "RGX_CR_PDS_USRM_0,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_1,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x650++0xF
line.long 0x0 "RGX_CR_PDS_MAX_USRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long.word 0x0 18.--31. 1. "Reserved_18,Reserved_18"
newline
hexmask.long.word 0x0 9.--17. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Unified Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Unified Store"
line.long 0x4 "RGX_CR_PDS_MAX_USRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long 0x4 0.--31. 1. "Reserved_18,Reserved_18"
line.long 0x8 "RGX_CR_PDS_USRM_MAX_TEMP_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 1.--4. 1. "LINE,Max Line for use as Temporaries"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_USRM_MAX_TEMP_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
wgroup.long 0x660++0x7
line.long 0x0 "RGX_CR_PDS_UVSRM_0,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Vertex Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_UVSRM_1,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x668++0x7
line.long 0x0 "RGX_CR_MCU_FBTC_ICTRL_0,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "COMP_DM,When set to 1 all entries in the tile cache that have been tagged as a compute data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 2. "VERTEX_DM,When set to 1 all entries in the tile cache that have been tagged as a vertex data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 1. "PIXEL_DM,When set to 1 all entries in the tile cache that have been tagged as a pixel data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 0. "PENDING,When written to 1 will invalidate the header cache in the FBDC when read as 1 invalidate is in progress when read as 0 invalidate is complete" "0,1"
line.long 0x4 "RGX_CR_MCU_FBTC_ICTRL_1,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
wgroup.long 0x670++0x7
line.long 0x0 "RGX_CR_PDS_STORERM_0,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_STORERM_1,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x678++0x27
line.long 0x0 "RGX_CR_PDS_MAX_STORERM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.byte 0x0 27.--31. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in PDS Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in PDS Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in PDS Store"
line.long 0x4 "RGX_CR_PDS_MAX_STORERM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0x4 4.--31. 1. "Reserved_36,Reserved_36"
newline
hexmask.long.byte 0x4 0.--3. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
line.long 0x8 "RGX_CR_PDS_STORERM_MAX_TEMP_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x8 1.--3. "LINE,Temporaries are allocated from Line 0 upwards this is the maximum Line to use for Temporaries before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_STORERM_MAX_TEMP_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x10 "RGX_CR_PDS_ICC_INVAL_0,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x10 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x10 5. "FASTRENDER_PENDING,PDS Instruction Cache Fastrender (DM 6) has been invalidated" "0,1"
newline
bitfld.long 0x10 3.--4. "Reserved_3,Reserved_3" "0,1,2,3"
newline
bitfld.long 0x10 2. "COMPUTE_PENDING,PDS Instruction Cache Compute (DM 2) has been invalidated" "0,1"
newline
bitfld.long 0x10 1. "PIXEL_PENDING,PDS Instruction Cache Pixel (DM 1) has been invalidated" "0,1"
newline
bitfld.long 0x10 0. "VERTEX_PENDING,PDS Instruction Cache Vertex (DM 0) has been invalidated" "0,1"
line.long 0x14 "RGX_CR_PDS_ICC_INVAL_1,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x14 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x18 "RGX_CR_PDS_MCU_REQ_CTRL_0,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 2.--3. "SMODE,SLC cache policy to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
newline
bitfld.long 0x18 0.--1. "CMODE,Cache Mode to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
line.long 0x1C "RGX_CR_PDS_MCU_REQ_CTRL_1,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_CSRM_MIN_SHARED_0,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x20 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x20 1.--5. 1. "LINE,Shared are allocated from top line downwards this is the minimum Line to use for Shared Registers before wrapping"
newline
bitfld.long 0x20 0. "LINE_ENABLE,Enable Min Shared Register Line Limit" "0,1"
line.long 0x24 "RGX_CR_PDS_CSRM_MIN_SHARED_1,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x24 0.--31. 1. "Reserved_6,Reserved_6"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A0)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A8)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x6B0++0x37
line.long 0x0 "RGX_CR_PDS_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0x8 23.--31. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 16.--22. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 10.--15. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 4.--9. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words"
newline
hexmask.long.byte 0x8 0.--3. 1. "PDS_TEMPSIZE,0 = 0 128 bit words 1 = 1 128 bit word this applies to coefficient uniform and varying state"
line.long 0xC "RGX_CR_PDS_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined)"
newline
hexmask.long.word 0xC 14.--22. 1. "Reserved_46,Reserved_46"
newline
hexmask.long.word 0xC 0.--13. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
line.long 0x10 "RGX_CR_PDS_USRM_MIN_ATTR_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x10 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x10 1.--4. 1. "LINE,Min Line for use for Attributes"
newline
bitfld.long 0x10 0. "LINE_ENABLE,Enable Min Attributes Line Limit" "0,1"
line.long 0x14 "RGX_CR_PDS_USRM_MIN_ATTR_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x14 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x18 "RGX_CR_PDS_STORERM_MIN_CONST_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 1.--3. "LINE,Constants are allocated from Line 7 down this is the minimum Line to use for Constants before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x18 0. "LINE_ENABLE,Enable Min Constants Line Limit" "0,1"
line.long 0x1C "RGX_CR_PDS_STORERM_MIN_CONST_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_PIXELMERGE_0,RGX_CR_PDS_PIXELMERGE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "TASK_DISABLE,Disable pixel merging within a whole pixel fragment task" "0,1"
newline
bitfld.long 0x20 5. "DISABLE,Disable pixel merging within each 2x2 pixel block of a pixel fragment task" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "GRADLIMIT,Gradient difference limit for PDS PP pixel merging"
line.long 0x24 "RGX_CR_PDS_PIXELMERGE_1,RGX_CR_PDS_PIXELMERGE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_PDS_CSRM_USC_DEBUG_0,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x28 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x28 0.--4. 1. "SIZE,Amount of Space (in 512-bit Allocation Regions) to allocate to USC Debug Space on a Shared Allocation."
line.long 0x2C "RGX_CR_PDS_CSRM_USC_DEBUG_1,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x2C 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x30 "RGX_CR_PDS_CSRM_DISABLE_0,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x30 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x30 2. "COEFF_SLIDE,Disable Slide of Coeff Allocations on Failure" "0,1"
newline
bitfld.long 0x30 1. "SHARED_SLIDE,Disable Slide of Shared Allocations on Failure" "0,1"
newline
bitfld.long 0x30 0. "PARTITIONS,Disable Partition Space Reservation in the CSRM" "0,1"
line.long 0x34 "RGX_CR_PDS_CSRM_DISABLE_1,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x34 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x6E8++0x7
line.long 0x0 "RGX_CR_HUB_IDLE_0,RGX_CR_HUB_IDLE_0"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "TDM,TDM Module IDLE" "0,1"
newline
bitfld.long 0x0 2. "CDM,CDM Module IDLE" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM Module IDLE" "0,1"
newline
bitfld.long 0x0 0. "PDS,PDS Module IDLE" "0,1"
line.long 0x4 "RGX_CR_HUB_IDLE_1,RGX_CR_HUB_IDLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x6F0)++0x3
line.long 0x0 "RGX_CR_HUB_PWR_$1,RGX_CR_HUB_PWR_0"
hexmask.long 0x0 0.--31. 1. "NUM_PDS_INST,Number of PDS instructions"
repeat.end
group.long 0x700++0xF
line.long 0x0 "RGX_CR_PDS_PASSGROUP_0,RGX_CR_PDS_PASSGROUP_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "FORCE_PT,Force the use of Hard SDs between punchthrough or depth feedback type passes" "0,1"
newline
bitfld.long 0x0 0. "ENABLE,Enable pass group optimisation within USC by replacing USC Hard SDs with USC Soft SDs for all pass groups in the PDS PP." "0,1"
line.long 0x4 "RGX_CR_PDS_PASSGROUP_1,RGX_CR_PDS_PASSGROUP_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "ENABLE,Enable thread barrier support in the PDS CDM_RR." "0,1"
line.long 0xC "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x720++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_SETUP_0,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "HALF,Top line is prefilled half full" "0,1"
newline
hexmask.long.byte 0x0 1.--4. 1. "MAX_LINE,(Lower 4 bits of) Maximum Line within the CSRM that can be allocated to Shared Registers/Coefficients"
newline
bitfld.long 0x0 0. "ENABLE,Enable use of this register to set the Maximum Line the CSRM can allocate on behalf of the USC Common Store" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_SETUP_1,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x738++0x7
line.long 0x0 "RGX_CR_PDS_USRM_DISABLE_0,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TEMP_SLIDE,Disable Slide of Temp Allocations on Failure" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_DISABLE_1,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x788++0xF
line.long 0x0 "RGX_CR_PDS_CSRM_PIXEL_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "MAX_LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to reserve for ONLY PDM Coefficients. The Max line of this region is set in the PDS_CSRM_MAX_COEFF register"
newline
bitfld.long 0x0 0. "MODE_ENABLE,Enable PIXEL RESERVE MODE in the PDS CSRM" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_PIXEL_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x8 "RGX_CR_PDS_MAX_STORERM_CHUNKS2_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x8 0.--8. 1. "TDM,Max Number of Allocation Regions to Allocate to the Fastrender Data Master in PDS Store"
line.long 0xC "RGX_CR_PDS_MAX_STORERM_CHUNKS2_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
group.long 0x800++0x67
line.long 0x0 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_0,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "ARB_PRIO_MODE,Priority mode for external memory access arbiter between GPU scheduler and SLC" "0,1,2,3"
line.long 0x4 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_1,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_FENCE_0,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "SYS_FENCE_ID,reserved address identifier for system fence events" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_FENCE_1,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_MIPS_WRAPPER_CONFIG_0,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.byte 0x10 28.--31. 1. "Reserved_28,Reserved_28"
newline
bitfld.long 0x10 25.--27. "OS_ID,The default OS_ID of the firmware" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x10 24. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x10 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x10 16. "BOOT_ISA_MODE,MIPS boot up mode. When set to 0 boot from MIPS32 mode or set to 1 to boot in microMIPS mode" "0,1"
newline
hexmask.long.word 0x10 0.--15. 1. "REGBANK_BASE_ADDR,16-bit aligned address that identifies rgx register bank transactions emitted from the MIPS core"
line.long 0x14 "RGX_CR_MIPS_WRAPPER_CONFIG_1,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.tbyte 0x14 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x14 8. "FW_IDLE_ENABLE,Set to 0x0 overwrites the value of GPU_IDLE to 0x0 set to 0x1 makes GPU Idle dependent on top level idles" "0,1"
newline
hexmask.long.byte 0x14 2.--7. 1. "Reserved_34,Reserved_34"
newline
bitfld.long 0x14 1. "DISABLE_BOOT,Stop the MIPS from boot-up even after a soft reset is triggered" "0,1"
newline
bitfld.long 0x14 0. "L2_CACHE_OFF,Turn off the L2 cache within the MIPS wrapper" "0,1"
line.long 0x18 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x18 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x18 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x1C "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x1C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x20 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x20 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x20 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x24 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x24 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x24 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x28 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x28 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x28 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x28 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x2C "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x2C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x30 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x30 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x30 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x30 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x34 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x34 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x34 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x38 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x38 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x38 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x38 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x3C "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x3C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x40 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x40 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x40 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x40 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x44 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x44 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x44 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x48 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x48 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x48 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x48 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x4C "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x50 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x50 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x50 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x50 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x54 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x54 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x54 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x58 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x58 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x58 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x58 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x5C "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x5C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x60 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x60 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x60 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x60 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x64 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x64 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x64 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
rgroup.long 0x868++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_0,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 0.--31. 1. "ADDRESS,Unmapped MIPS physical address"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_1,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "EVENT,An address from the MIPS was not remapped to a new range in the GPU" "0,1"
wgroup.long 0x870++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_0,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the unmapped exception event" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_1,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x878++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
bitfld.long 0x0 6. "Reserved_6,Reserved_6" "0,1"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,Select remap entry to configure. Valid range of Entry 0-31"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configure the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
wgroup.long 0x880++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_0,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,MIPS address remap entry to read"
newline
bitfld.long 0x0 0. "REQUEST,Issue a read request to the MIPS address remap range entries" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_1,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
rgroup.long 0x888++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_0,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
hexmask.long.byte 0x0 1.--6. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configures the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_1,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
group.long 0x8A0++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send interrupts to HOST" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "EVENT,Indicates an outstanding interrupt to HOST from RGX firmware" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8B0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the interrupt event to HOST from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8B8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send non-maskable interrupts to MIPS" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8C0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TRIGGER,Issue a non-maskable interrupt to the MIPS SI_NMI pin from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8C8++0x7
line.long 0x0 "RGX_CR_MIPS_DEBUG_CONFIG_0,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "DISABLE_PROBE_DEBUG,Enable MIPS SecureDebug. Disables EJTAG access to the MIPS core and PC Sampling" "0,1"
line.long 0x4 "RGX_CR_MIPS_DEBUG_CONFIG_1,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8D0++0x7
line.long 0x0 "RGX_CR_MIPS_EXCEPTION_STATUS_0,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "SI_SLEEP,Reflects the status of the MIPS SI_Sleep pin" "0,1"
newline
bitfld.long 0x0 4. "SI_NMI_TAKEN,Reflects the status of the MIPS SI_NMITaken pin" "0,1"
newline
bitfld.long 0x0 3. "SI_NEST_EXL,Reflects the status of the MIPS SI_NESTEXL pin" "0,1"
newline
bitfld.long 0x0 2. "SI_NEST_ERL,Reflects the status of the MIPS SI_NESTERL pin" "0,1"
newline
bitfld.long 0x0 1. "SI_EXL,Reflects the status of the MIPS SI_EXL pin" "0,1"
newline
bitfld.long 0x0 0. "SI_ERL,Reflects the status of the MIPS SI_ERL pin" "0,1"
line.long 0x4 "RGX_CR_MIPS_EXCEPTION_STATUS_1,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x8D8++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_0,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
newline
hexmask.long.byte 0x0 4.--11. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 1.--3. "OS_ID,OS_ID of the emitted fence" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "TRUSTED,Defines whether these accesses are trusted" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_1,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_0,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,MIPS wrapper L2 cache is being invalidated" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_1,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8E8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_STATUS_0,This register contains status information of the MIPS GPU scheduler."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "OUTSTANDING_REQUESTS,Outstanding requests by the MIPS"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_STATUS_1,This register contains status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x900++0x17
line.long 0x0 "RGX_CR_EVENT_TDM_PDS_CODE_0,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x0 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_EVENT_TDM_PDS_CODE_1,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_EVENT_TDM_PDS_DATA_0,RGX_CR_EVENT_TDM_PDS_DATA_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_TDM_PDS_DATA_1,RGX_CR_EVENT_TDM_PDS_DATA_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_TDM_PDS_INFO_0,RGX_CR_EVENT_TDM_PDS_INFO_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x10 10.--15. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x10 5.--9. 1. "TEMP_STRIDE,PDS Temp Size in 64 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x10 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x14 "RGX_CR_EVENT_TDM_PDS_INFO_1,RGX_CR_EVENT_TDM_PDS_INFO_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x918)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x920)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x928++0xF
line.long 0x0 "RGX_CR_PDS_TDM_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_TDM_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.byte 0x8 27.--31. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
newline
hexmask.long.byte 0x8 21.--26. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words 0=0. 32 max"
newline
hexmask.long.byte 0x8 15.--20. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.byte 0x8 9.--14. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.word 0x8 0.--8. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words 0=0. 256 max"
line.long 0xC "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "Reserved_55,Reserved_55"
newline
hexmask.long.word 0xC 14.--22. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined) 256 chunks max"
newline
hexmask.long.byte 0xC 9.--13. 1. "PDS_TEMPSIZE,0 = 0 64 bit words 1 = 1 64 bit word 248 bytes. This applies to coefficient uniform and texture state updates."
newline
hexmask.long.word 0xC 0.--8. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
group.long 0xB00++0xF
line.long 0x0 "RGX_CR_MTS_SCHEDULE_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_PROC_COMPLETE_0,This register allows firmware tasks to signal process completion."
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x8 0. "THREAD,Thread number. This is filled in by hardware and can have any value" "0,1"
line.long 0xC "RGX_CR_MTS_PROC_COMPLETE_1,This register allows firmware tasks to signal process completion."
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
rgroup.long 0xB10++0x1F
line.long 0x0 "RGX_CR_MTS_BGCTX_SBDATA0_0,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_BGCTX_SBDATA0_1,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_BGCTX_SBDATA1_0,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x8 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x8 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x8 0.--3. 1. "DM,DataMaster Type"
line.long 0xC "RGX_CR_MTS_BGCTX_SBDATA1_1,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x10 "RGX_CR_MTS_INTCTX_SBDATA0_0,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x10 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x10 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x10 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x14 "RGX_CR_MTS_INTCTX_SBDATA0_1,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x14 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x14 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x14 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x14 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
line.long 0x18 "RGX_CR_MTS_INTCTX_SBDATA1_0,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x18 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x18 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x18 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x1C "RGX_CR_MTS_INTCTX_SBDATA1_1,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x1C 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x1C 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x1C 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x1C 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
group.long 0xB30++0x27
line.long 0x0 "RGX_CR_MTS_BGCTX_THREAD0_DM_ASSOC_0,This register is the DataMaster assocation for the background context of thread 0. Bit
1 = System Bus..,?"
line.long 0x4 "RGX_CR_SYS_BUS_SECURE_1,Setting this register secures the IMG Configuration Registers from the System Bus. In secure mode all registers have read access only by default. When secure mode is being set. the register must be read back to confirm that the.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA500)++0x3
line.long 0x0 "RGX_CR_FB_CDC_V3_$1,Framebuffer constant detection configuraton registers"
hexmask.long.byte 0x0 24.--31. 1. "FBC_FBDC_UV_VAL1,video pixel format constant value"
newline
hexmask.long.byte 0x0 16.--23. 1. "FBC_FBDC_Y_VAL1,video pixel format constant value"
newline
hexmask.long.byte 0x0 8.--15. 1. "FBC_FBDC_UV_VAL0,video pixel format constant value"
newline
hexmask.long.byte 0x0 0.--7. 1. "FBC_FBDC_Y_VAL0,video pixel format constant value"
repeat.end
group.long 0xA508++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_0,FBCDC corrupt tile filter register"
hexmask.long 0x0 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x0 1.--4. 1. "CLEAR,Clear corrupt tile filter status 1 bit per requester"
newline
bitfld.long 0x0 0. "ENABLE,Enable corrupt tile filter" "0,1"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_1,FBCDC corrupt tile filter register"
hexmask.long 0x4 0.--31. 1. "Reserved_5,Reserved_5"
rgroup.long 0xA510++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_0,FBCDC corrupt tile filter register"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
hexmask.long.byte 0x0 0.--3. 1. "FBC_FBDC_CR_FILTER_STATUS,Status of corrupt tile filter 1 bit per requester"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_1,FBCDC corrupt tile filter register"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
group.long 0xA518++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_0,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EN,Enable FBC_FBDC mode V3_1 for FBCDC formats defaults to V3" "0,1"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_1,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA520)++0x3
line.long 0x0 "RGX_CR_FBCDC_CC_$1,RGX_CR_FBCDC_CC_0"
hexmask.long.byte 0x0 24.--31. 1. "CH3_VAL0,Constant colour detected value for channel 3."
newline
hexmask.long.byte 0x0 16.--23. 1. "CH2_VAL0,Constant colour detected value for channel 2."
newline
hexmask.long.byte 0x0 8.--15. 1. "CH1_VAL0,Constant colour detected value for channel 1."
newline
hexmask.long.byte 0x0 0.--7. 1. "CH0_VAL0,Constant colour detected value for channel 0."
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA528)++0x3
line.long 0x0 "RGX_CR_FBCDC_CC_YUV_$1,RGX_CR_FBCDC_CC_YUV_0"
hexmask.long.byte 0x0 26.--31. 1. "Reserved_26,Reserved_26"
newline
hexmask.long.word 0x0 16.--25. 1. "UV_VAL0,Constant colour detected value uv-plane."
newline
hexmask.long.byte 0x0 10.--15. 1. "Reserved_10,Reserved_10"
newline
hexmask.long.word 0x0 0.--9. 1. "Y_VAL0,Constant colour detected value y-plane."
repeat.end
group.long 0xB000++0x7
line.long 0x0 "RGX_CR_PIPELINE_STATS_ENABLE_0,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing."
hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "_3D,_3D" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TA,TA" "0,1"
line.long 0x4 "RGX_CR_PIPELINE_STATS_ENABLE_1,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing."
hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17"
wgroup.long 0xB008++0x7
line.long 0x0 "RGX_CR_PIPELINE_STATS_CLEAR_0,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick"
hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "_3D,_3D" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TA,TA" "0,1"
line.long 0x4 "RGX_CR_PIPELINE_STATS_CLEAR_1,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick"
hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB010)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_VERTICES_$1,Number of vertices the Input Assembly stage generated (not subtracting any caching)"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB018)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_PRIMITIVES_$1,Number of primitives the Input Assembly stage generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB020)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_VS_INVOCATIONS_$1,Number of times the Vertex Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB038)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_INVOCATIONS_$1,Number of times the Geometry Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB040)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_PRIMITIVES_$1,Number of primitives the Geometry Shader generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB048)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_C_INVOCATIONS_$1,Number of times the Clipper is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB050)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_C_PRIMITIVES_$1,Number of primitives the Clipper generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB058)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_PS_INVOCATIONS_$1,Number of times the Pixel Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB060)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_CS_INVOCATIONS_$1,Number of times the Compute Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
rgroup.long 0xE000++0x7
line.long 0x0 "RGX_CR_CACHE_CFI_EVENT_0,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.."
hexmask.long.word 0x0 16.--31. 1. "MCU_L0_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L0 cache (there can be up to 16 MCU L0 caches depending on the number of clusters)"
newline
hexmask.long.word 0x0 0.--15. 1. "MADD_PENDING,1 Indicates there is a pending global CFI operation on the specified MADD Texture cache (there can be up to 16 MADD caches depending on the number of clusters)"
line.long 0x4 "RGX_CR_CACHE_CFI_EVENT_1,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.."
hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x4 8. "SLC_PENDING,1 Indicates there is a pending global CFI operation on the SLC cache" "0,1"
newline
hexmask.long.byte 0x4 0.--7. 1. "MCU_L1_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L1 cache (there can be up to 8 MCU L1 caches depending on the number of clusters)"
group.long 0xE138++0x7
line.long 0x0 "RGX_CR_MMU_CTRL_INVAL_0,MMU invalidation control registers"
hexmask.long.tbyte 0x0 12.--31. 1. "Reserved_12,Reserved_12"
newline
bitfld.long 0x0 11. "ALL_CONTEXTS,When ALL_CONTEXTS is set all context ids get invalidated (global invalidation)" "0,1"
newline
hexmask.long.byte 0x0 3.--10. 1. "CONTEXT,When ALL_CONTEXTS is not set this field specifies the context id to be invalidated (per-context invalidation)"
newline
bitfld.long 0x0 2. "PC,Invalidates PC PD & PT" "0,1"
newline
bitfld.long 0x0 1. "PD,Invalidates PD & PT" "0,1"
newline
bitfld.long 0x0 0. "PT,Invalidates PT" "0,1"
line.long 0x4 "RGX_CR_MMU_CTRL_INVAL_1,MMU invalidation control registers"
hexmask.long 0x4 0.--31. 1. "Reserved_12,Reserved_12"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xF100)++0x3
line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_$1,Power Monitoring registers for the FBCDC"
hexmask.long 0x0 0.--31. 1. "FBDC,Number of accesses to the FBDC per clock"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xF108)++0x3
line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_MCU_$1,Power Monitoring registers for the FBCDC"
hexmask.long 0x0 0.--31. 1. "FBTC,Number of accesses to the MCU FBTC per clock"
repeat.end
rgroup.long 0xF220++0xF
line.long 0x0 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_0,Blackpearl BIF return FIFO word count"
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x0 0.--8. 1. "COUNTER,COUNTER"
line.long 0x4 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_1,Blackpearl BIF return FIFO word count"
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_0,Jones BIF return FIFO word count"
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x8 0.--8. 1. "COUNTER,COUNTER"
line.long 0xC "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_1,Jones BIF return FIFO word count"
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
group.long 0xF258++0x7
line.long 0x0 "RGX_CR_TDM_GRIDOFFSET_0,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 4.--7. 1. "GRID_Y,Unsigned sub-pixel offset"
newline
hexmask.long.byte 0x0 0.--3. 1. "GRID_X,Unsigned sub-pixel offset"
line.long 0x4 "RGX_CR_TDM_GRIDOFFSET_1,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xF260)++0x3
line.long 0x0 "RGX_CR_TDM_MULTISAMPLECTL_$1,Sample position grid offset for use in 2x. 4x. 8xMSAA and ODAA modes."
hexmask.long.byte 0x0 28.--31. 1. "MSAA_Y3,Unsigned sub-pixel offset for the 4th multisample Y position"
newline
hexmask.long.byte 0x0 24.--27. 1. "MSAA_X3,Unsigned sub-pixel offset for the 4th multisample X position"
newline
hexmask.long.byte 0x0 20.--23. 1. "MSAA_Y2,Unsigned sub-pixel offset for the 3rd multisample Y position"
newline
hexmask.long.byte 0x0 16.--19. 1. "MSAA_X2,Unsigned sub-pixel offset for the 3rd multisample X position"
newline
hexmask.long.byte 0x0 12.--15. 1. "MSAA_Y1,Unsigned sub-pixel offset for the 2nd multisample Y position"
newline
hexmask.long.byte 0x0 8.--11. 1. "MSAA_X1,Unsigned sub-pixel offset for the 2nd multisample X position"
newline
hexmask.long.byte 0x0 4.--7. 1. "MSAA_Y0,Unsigned sub-pixel offset for the 1st multisample Y position"
newline
hexmask.long.byte 0x0 0.--3. 1. "MSAA_X0,Unsigned sub-pixel offset for the 1st multisample X position"
repeat.end
group.long 0xF268++0x7
line.long 0x0 "RGX_CR_USC_CODE_BASE_2D_0,RGX_CR_USC_CODE_BASE_2D_0"
hexmask.long 0x0 6.--31. 1. "ADDR,2D Data Master Code Base Register bits"
newline
hexmask.long.byte 0x0 0.--5. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_USC_CODE_BASE_2D_1,RGX_CR_USC_CODE_BASE_2D_1"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,2D Data Master Code Base Register bits"
group.long 0x10B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE1_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE1_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x10B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX1_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX1_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX1_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX1_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x10BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x10BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x20B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE2_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE2_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x20B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX2_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX2_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX2_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX2_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x20BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x20BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x30B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE3_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE3_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x30B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX3_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX3_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX3_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX3_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x30BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x30BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x40B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE4_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE4_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x40B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX4_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX4_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX4_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX4_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x40BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x40BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x50B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE5_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE5_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x50B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX5_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX5_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX5_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX5_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x50BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x50BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x60B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE6_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE6_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x60B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX6_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX6_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX6_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX6_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x60BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x60BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x70B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE7_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE7_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x70B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX7_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX7_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX7_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX7_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x70BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x70BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
tree.end
tree "GPU2"
base ad:0xF4E00000
group.long 0x0++0x7
line.long 0x0 "RGX_CR_CLK_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 28.--29. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1,2,3"
newline
bitfld.long 0x0 26.--27. "MCU_L0,MCU_L0" "0,1,2,3"
newline
bitfld.long 0x0 24.--25. "TPU,TPU" "0,1,2,3"
newline
bitfld.long 0x0 22.--23. "Reserved_22,Reserved_22" "0,1,2,3"
newline
bitfld.long 0x0 20.--21. "USC,USC" "0,1,2,3"
newline
bitfld.long 0x0 18.--19. "Reserved_18,Reserved_18" "0,1,2,3"
newline
bitfld.long 0x0 16.--17. "SLC,SLC" "0,1,2,3"
newline
bitfld.long 0x0 14.--15. "UVS,UVS" "0,1,2,3"
newline
bitfld.long 0x0 12.--13. "PDS,PDS" "0,1,2,3"
newline
bitfld.long 0x0 10.--11. "VDM,VDM" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "PM,PM" "0,1,2,3"
newline
bitfld.long 0x0 6.--7. "GPP,GPP" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "TE,TE" "0,1,2,3"
newline
bitfld.long 0x0 2.--3. "TSP,TSP" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "ISP,ISP" "0,1,2,3"
line.long 0x4 "RGX_CR_CLK_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
hexmask.long.byte 0x4 28.--31. 1. "Reserved_60,Reserved_60"
newline
bitfld.long 0x4 26.--27. "FBC,FBC" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "FBDC,FBDC" "0,1,2,3"
newline
bitfld.long 0x4 22.--23. "FB_TLCACHE,FB_TLCACHE" "0,1,2,3"
newline
bitfld.long 0x4 20.--21. "USCS,USCS" "0,1,2,3"
newline
bitfld.long 0x4 18.--19. "PBE,PBE" "0,1,2,3"
newline
bitfld.long 0x4 16.--17. "MCU_L1,MCU_L1" "0,1,2,3"
newline
bitfld.long 0x4 14.--15. "CDM,CDM" "0,1,2,3"
newline
bitfld.long 0x4 12.--13. "SIDEKICK,SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 10.--11. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "BIF,BIF" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--7. 1. "Reserved_30,Reserved_30"
rgroup.long 0x8++0x7
line.long 0x0 "RGX_CR_CLK_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 28. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 27. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 26. "USCS,USCS" "0,1"
newline
bitfld.long 0x0 25. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 24. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 23. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 22. "SIDEKICK,SIDEKICK" "0,1"
newline
bitfld.long 0x0 21. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1"
newline
bitfld.long 0x0 20. "BIF,BIF" "0,1"
newline
hexmask.long.byte 0x0 15.--19. 1. "Reserved_15,Reserved_15"
newline
bitfld.long 0x0 14. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 13. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 12. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 11. "Reserved_11,Reserved_11" "0,1"
newline
bitfld.long 0x0 10. "USC,USC" "0,1"
newline
bitfld.long 0x0 9. "Reserved_9,Reserved_9" "0,1"
newline
bitfld.long 0x0 8. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 7. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 6. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 5. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 4. "PM,PM" "0,1"
newline
bitfld.long 0x0 3. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 2. "TE,TE" "0,1"
newline
bitfld.long 0x0 1. "TSP,TSP" "0,1"
newline
bitfld.long 0x0 0. "ISP,ISP" "0,1"
line.long 0x4 "RGX_CR_CLK_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MCU_FBTC,MCU_FBTC" "0,1"
rgroup.long 0x18++0x7
line.long 0x0 "RGX_CR_PRODUCT_ID_0,Reports the product ID Product ID Register"
hexmask.long.word 0x0 16.--31. 1. "IMG_PRODUCT_ID,IMG Product ID"
newline
hexmask.long.word 0x0 0.--15. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PRODUCT_ID_1,Reports the product ID Product ID Register"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x20)++0x3
line.long 0x0 "RGX_CR_CORE_ID_$1,Reports the product ID Core ID Register"
hexmask.long.word 0x0 16.--31. 1. "NUMBER_OF_SCALABLE_UNITS,N - Number of scalable Units"
newline
hexmask.long.word 0x0 0.--15. 1. "CONFIG_ID,C - Config ID"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x28)++0x3
line.long 0x0 "RGX_CR_CORE_IP_INTEGRATOR_ID_$1,Reports the product ID Core IP Integrator ID Register"
hexmask.long 0x0 0.--31. 1. "VALUE,IP company ID/Designer"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x30)++0x3
line.long 0x0 "RGX_CR_CORE_IP_CHANGELIST_$1,Reports the version control ID Core IP Changelist Register"
hexmask.long 0x0 0.--31. 1. "VALUE,Version control ID"
repeat.end
group.long 0x38++0x7
line.long 0x0 "RGX_CR_POWER_EVENT_0,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long.byte 0x0 24.--31. 1. "Reserved_24,Reserved_24"
newline
hexmask.long.word 0x0 8.--23. 1. "DOMAIN,sets which power island is enabled for the current power event request; bit0:jones bit1-8:dusts bit9-12:blackpearls"
newline
hexmask.long.byte 0x0 2.--7. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "REQ,Set when a power event operation is requested" "0,1"
newline
bitfld.long 0x0 0. "TYPE,The requested power event operation" "0,1"
line.long 0x4 "RGX_CR_POWER_EVENT_1,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long 0x4 0.--31. 1. "Reserved_24,Reserved_24"
group.long 0x50++0x7
line.long 0x0 "RGX_CR_DUSTS_ENABLE_0,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_ENABLE_1,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
rgroup.long 0x58++0x7
line.long 0x0 "RGX_CR_DUSTS_FUSE_0,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_FUSE_1,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x80++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x0 0.--31. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_CLK_XTPLUS_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x4 6.--31. 1. "Reserved_38,Reserved_38"
newline
bitfld.long 0x4 4.--5. "TDM,TDM" "0,1,2,3"
newline
bitfld.long 0x4 2.--3. "ASTC,ASTC" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
rgroup.long 0x88++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long.tbyte 0x0 11.--31. 1. "Reserved_11,Reserved_11"
newline
bitfld.long 0x0 10. "TDM,TDM" "0,1"
newline
bitfld.long 0x0 9. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 8. "COMPUTE,COMPUTE" "0,1"
newline
bitfld.long 0x0 7. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x0 6. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x0 5. "VERTEX,VERTEX" "0,1"
newline
bitfld.long 0x0 4. "Reserved_4,Reserved_4" "0,1"
newline
bitfld.long 0x0 3. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x0 2. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x0 1. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 0. "GEOMETRY,GEOMETRY" "0,1"
line.long 0x4 "RGX_CR_CLK_XTPLUS_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 0.--31. 1. "Reserved_11,Reserved_11"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE0)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_GRAY_$1,This register contains the value of a 64-bit external gray coded timer. (Available on 2.X.X.X cores and above)."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE8)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_BINARY_$1,This register contains the value of a 64-bit external binary coded timer."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
group.long 0x100++0xF
line.long 0x0 "RGX_CR_SOFT_RESET_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x0 31. "RASCAL_CORE,Note that the RASL_CORE bit affects logic related to the reading and writing of registers. This soft reset should therefore be used with caution. Upon power down events it is necessary to reset every register so this bit should be used but.." "0,1"
newline
bitfld.long 0x0 30. "DUST_B_CORE,DUST_B_CORE" "0,1"
newline
bitfld.long 0x0 29. "DUST_A_CORE,DUST_A_CORE" "0,1"
newline
bitfld.long 0x0 28. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 27. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 26. "Reserved_26,Reserved_26" "0,1"
newline
bitfld.long 0x0 25. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 24. "TE,TE" "0,1"
newline
bitfld.long 0x0 23. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 22. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 21. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 20. "PM,PM" "0,1"
newline
bitfld.long 0x0 19. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 18. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 17. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 16. "BIF,Bifpmcache BIF" "0,1"
newline
bitfld.long 0x0 15. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 14. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 13. "Reserved_13,Reserved_13" "0,1"
newline
bitfld.long 0x0 12. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 11. "ISP,ISP" "0,1"
newline
bitfld.long 0x0 10. "TSP,TSP" "0,1"
newline
hexmask.long.byte 0x0 5.--9. 1. "Reserved_5,Reserved_5"
newline
bitfld.long 0x0 4. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 3. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 2. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x0 0. "USC,USC" "0,1"
line.long 0x4 "RGX_CR_SOFT_RESET_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x4 29.--31. "Reserved_61,Reserved_61" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "JONES_CORE,JONES_CORE" "0,1"
newline
bitfld.long 0x4 27. "TILING_CORE,TILING_CORE" "0,1"
newline
bitfld.long 0x4 26. "TE3,TE3" "0,1"
newline
bitfld.long 0x4 25. "VCE,VCE" "0,1"
newline
bitfld.long 0x4 24. "VBS,VBS" "0,1"
newline
hexmask.long.byte 0x4 20.--23. 1. "Reserved_52,Reserved_52"
newline
bitfld.long 0x4 19. "FB_CDC,FB_CDC" "0,1"
newline
bitfld.long 0x4 17.--18. "Reserved_49,Reserved_49" "0,1,2,3"
newline
bitfld.long 0x4 16. "MCU_FBTC,MCU_FBTC" "0,1"
newline
hexmask.long.word 0x4 3.--15. 1. "Reserved_35,Reserved_35"
newline
bitfld.long 0x4 2. "MMU,MMU" "0,1"
newline
bitfld.long 0x4 1. "Reserved_33,Reserved_33" "0,1"
newline
bitfld.long 0x4 0. "GARTEN,Includes MTS and META or MIPS" "0,1"
line.long 0x8 "RGX_CR_SOFT_RESET2_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long.tbyte 0x8 12.--31. 1. "Reserved_12,Reserved_12"
newline
bitfld.long 0x8 11. "TDM,TDM" "0,1"
newline
bitfld.long 0x8 10. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x8 9. "BLACKPEARL,BLACKPEARL" "0,1"
newline
bitfld.long 0x8 8. "Reserved_8,Reserved_8" "0,1"
newline
bitfld.long 0x8 7. "IPF,IPF" "0,1"
newline
bitfld.long 0x8 6. "GEOMETRY,GEOMETRY" "0,1"
newline
bitfld.long 0x8 5. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x8 4. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x8 3. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x8 2. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x8 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x8 0. "VERTEX,VERTEX" "0,1"
line.long 0xC "RGX_CR_SOFT_RESET2_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long 0xC 0.--31. 1. "Reserved_12,Reserved_12"
group.long 0x120++0x17
line.long 0x0 "RGX_CR_CONTEXT_SWITCH_ENABLE_0,The use of the this register has been deprecated."
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "SOFT_RESET,SOFT_RESET" "0,1"
newline
bitfld.long 0x0 2. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 0. "CDM,CDM" "0,1"
line.long 0x4 "RGX_CR_CONTEXT_SWITCH_ENABLE_1,The use of the this register has been deprecated."
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x8 "RGX_CR_EVENT_ENABLE_0,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
bitfld.long 0x8 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x8 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x8 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x8 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x8 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x8 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x8 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x8 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x8 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x8 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x8 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x8 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x8 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x8 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x8 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x8 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x8 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x8 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x8 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x8 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x8 0. "Reserved_0,Reserved_0" "0,1"
line.long 0xC "RGX_CR_EVENT_ENABLE_1,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_STATUS_0,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
bitfld.long 0x10 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x10 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x10 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x10 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x10 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x10 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x10 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x10 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x10 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x10 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x10 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x10 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x10 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x10 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x10 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x10 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x10 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x10 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x10 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x10 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x10 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x14 "RGX_CR_EVENT_STATUS_1,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x138++0x7
line.long 0x0 "RGX_CR_EVENT_CLEAR_0,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
bitfld.long 0x0 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x0 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x0 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x0 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x0 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x0 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x0 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x0 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x0 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x0 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x0 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x0 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x0 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x0 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x0 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x0 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x0 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x0 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x0 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x0 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x0 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x4 "RGX_CR_EVENT_CLEAR_1,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
group.long 0x140++0xF
line.long 0x0 "RGX_CR_GPIO_OUTPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The data the firmware wants to transfer"
line.long 0x4 "RGX_CR_GPIO_OUTPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x8 "RGX_CR_GPIO_OUTPUT_REQ_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "REQ,Set when the firmware wants to communicate with a external HW" "0,1"
line.long 0xC "RGX_CR_GPIO_OUTPUT_REQ_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x150++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The incoming data from HW external to Rogue"
line.long 0x4 "RGX_CR_GPIO_INPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x158++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_ACK_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ACK,Set by the firmware when it has acknowledged the incoming request" "0,1"
line.long 0x4 "RGX_CR_GPIO_INPUT_ACK_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x160++0x7
line.long 0x0 "RGX_CR_TIMER_0,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
line.long 0x4 "RGX_CR_TIMER_1,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
bitfld.long 0x4 31. "BIT31,BIT31" "0,1"
newline
hexmask.long.word 0x4 16.--30. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.word 0x4 0.--15. 1. "VALUE,VALUE"
group.long 0x168++0x7
line.long 0x0 "RGX_CR_AXI_EXACCESS_0,AXI exclusive access enable register"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOCIF_ENABLE,enable the exclusive access logic in the socif img_axi2img. vhd module" "0,1"
line.long 0x4 "RGX_CR_AXI_EXACCESS_1,AXI exclusive access enable register"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x190++0x17
line.long 0x0 "RGX_CR_PM_TASK_MLIST_LOAD_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write to this register will cause the MLIST pointer to be loaded from either PM_MLIST0_START_OF or PM_MLIST1_START_OF depending upon the Context ID contained in PM_CONTEXT_ID_MLS_LS. A read to this register return '1' until this operation has.." "0,1"
line.long 0x4 "RGX_CR_PM_TASK_MLIST_LOAD_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_MLIST_CLEAR_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write to this register will cause the MLIST pointer to be reset to 0. A read to this register return '1' until this operation has completed." "0,1"
line.long 0xC "RGX_CR_PM_TASK_MLIST_CLEAR_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_MAX_RENDER_TARGET_0,This register is deprecated and has no function."
hexmask.long.tbyte 0x10 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x10 0.--10. 1. "ID,If used the software should program this with the maximum render target array index used within the Scene"
line.long 0x14 "RGX_CR_PM_TA_MAX_RENDER_TARGET_1,This register is deprecated and has no function."
hexmask.long 0x14 0.--31. 1. "Reserved_11,Reserved_11"
rgroup.long 0x1A8++0x7
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_0,This register is deprecated and has no function."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,OP" "0,1"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_1,This register is deprecated and has no function."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x1B0++0x1F
line.long 0x0 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ID,When set enable freeing of unused pages during TA phase" "0,1"
line.long 0x4 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_MMU_REMAP_PENDING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Pending status register corresponding to the MMU remapping operation it will become '1' when written and deassert when the operation complete." "0,1"
line.long 0xC "RGX_CR_PM_MMU_REMAP_PENDING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_PBE_FORCE_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ENABLE,When this bit is set PM will free all the 3D context Memory when a genuine pixelbe end of render is received." "0,1"
line.long 0x14 "RGX_CR_PM_PBE_FORCE_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_PDS_STARTOF_MTILEFREE_0,RGX_CR_PM_PDS_STARTOF_MTILEFREE_0"
hexmask.long.word 0x18 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x18 0.--16. 1. "OP,This startof register indicates the macrotile number of the PDSs current macrotile free request needs to be programmed by FW on a render start"
line.long 0x1C "RGX_CR_PM_PDS_STARTOF_MTILEFREE_1,RGX_CR_PM_PDS_STARTOF_MTILEFREE_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x200++0x1F
line.long 0x0 "RGX_CR_PM_TASK_3D_FREE_LOAD_0,RGX_CR_PM_TASK_3D_FREE_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write into this register will cause the 3D free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_3D_FREE_LOAD_1,RGX_CR_PM_TASK_3D_FREE_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_TA_FREE_LOAD_0,RGX_CR_PM_TASK_TA_FREE_LOAD_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write into this register will cause the TA free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_TA_FREE_LOAD_1,RGX_CR_PM_TASK_TA_FREE_LOAD_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_FSTACK_BASE_0,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_PM_TA_FSTACK_BASE_1,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
line.long 0x18 "RGX_CR_PM_3D_FSTACK_BASE_0,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long 0x18 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
newline
hexmask.long.byte 0x18 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x1C "RGX_CR_PM_3D_FSTACK_BASE_1,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long.tbyte 0x1C 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x1C 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x220)++0x3
line.long 0x0 "RGX_CR_PM_TA_FSTACK_$1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
repeat.end
group.long 0x230++0x7
line.long 0x0 "RGX_CR_PM_3D_FSTACK_0,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
line.long 0x4 "RGX_CR_PM_3D_FSTACK_1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.tbyte 0x4 12.--31. 1. "Reserved_44,Reserved_44"
newline
hexmask.long.word 0x4 0.--11. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
group.long 0x240++0x2F
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_0,Effective Immediately."
hexmask.long 0x0 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_1,Effective Immediately."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
line.long 0x8 "RGX_CR_PM_VHEAP_TABLE_0,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long 0x8 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_VHEAP_TABLE_1,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
line.long 0x10 "RGX_CR_PM_TASK_VHEAP_LOAD_0,RGX_CR_PM_TASK_VHEAP_LOAD_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "PENDING,Causes the vheap to be loaded as specified by the relevant configuration registers when it is done the hw will clear this bit" "0,1"
line.long 0x14 "RGX_CR_PM_TASK_VHEAP_LOAD_1,RGX_CR_PM_TASK_VHEAP_LOAD_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_TASK_VHEAP_CLEAR_0,RGX_CR_PM_TASK_VHEAP_CLEAR_0"
hexmask.long 0x18 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "PENDING,Causes the vheap to be cleared as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x1C "RGX_CR_PM_TASK_VHEAP_CLEAR_1,RGX_CR_PM_TASK_VHEAP_CLEAR_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x20 "RGX_CR_PM_TASK_VHEAP_STORE_0,RGX_CR_PM_TASK_VHEAP_STORE_0"
hexmask.long 0x20 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x20 0. "PENDING,Causes the vheap to be stored as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x24 "RGX_CR_PM_TASK_VHEAP_STORE_1,RGX_CR_PM_TASK_VHEAP_STORE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x28 "RGX_CR_PM_ALIST0_START_OF_0,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x28 0.--31. 1. "TAIL,allocation List 0 tail pointer"
line.long 0x2C "RGX_CR_PM_ALIST0_START_OF_1,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x2C 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x2C 0. "TAIL,allocation List 0 tail pointer" "0,1"
rgroup.long 0x270++0x7
line.long 0x0 "RGX_CR_PM_ALIST0_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST0_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x278++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_START_OF_0,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x0 0.--31. 1. "TAIL,start of the allocation list tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_START_OF_1,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,start of the allocation list tail pointer" "0,1"
rgroup.long 0x280++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x288++0x1F
line.long 0x0 "RGX_CR_PM_TASK_ALIST_LOAD_0,RGX_CR_PM_TASK_ALIST_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,the write to this register will cause allocation list to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_ALIST_LOAD_1,RGX_CR_PM_TASK_ALIST_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_ALIST_CLEAR_0,RGX_CR_PM_TASK_ALIST_CLEAR_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,the write to this register will causes the allocation list to be cleard from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_ALIST_CLEAR_1,RGX_CR_PM_TASK_ALIST_CLEAR_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x10 0.--15. 1. "OP,This is the start of the mask PM deallocation will be based on. Normally it is 0. However in ISP context resume or extra 3D timeout case the driver has to programme the value from the previous render."
line.long 0x14 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x18 "RGX_CR_PM_PAGE_MANAGEOP_0,RGX_CR_PM_PAGE_MANAGEOP_0"
hexmask.long 0x18 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x18 2. "COMBINE_DALLOC,1 means the PM writes to the free stack will be burst combined" "0,1"
newline
bitfld.long 0x18 1. "DISABLE_DALLOC,1 means the PM page management deallocation operation will be disabled" "0,1"
newline
bitfld.long 0x18 0. "DISABLE_ALLOC,1 means the PM page management allocation operation will be disabled" "0,1"
line.long 0x1C "RGX_CR_PM_PAGE_MANAGEOP_1,RGX_CR_PM_PAGE_MANAGEOP_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x2A8++0x7
line.long 0x0 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_0,RGX_CR_PM_PAGE_MANAGEOP_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "DALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
newline
bitfld.long 0x0 0. "ALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
line.long 0x4 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_1,RGX_CR_PM_PAGE_MANAGEOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x2B0++0xF
line.long 0x0 "RGX_CR_PM_CONTEXT_PB_BASE_0,RGX_CR_PM_CONTEXT_PB_BASE_0"
hexmask.long 0x0 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x0 0.--2. "CMP,Defines whether the TA/3D/HOST contexts are using the same parameter buffer. Setting a bit to '1' indicates that the context is using a different parameter buffer. Bit 0 = 0 : Unified Free List 3D context Parameter buffer = Unified Free List TA.." "MMU Free List 3D context Parameter buffer = MMU..,?,?,?,?,?,?,?"
line.long 0x4 "RGX_CR_PM_CONTEXT_PB_BASE_1,RGX_CR_PM_CONTEXT_PB_BASE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x8 "RGX_CR_PM_MLIST0_START_OF_0,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TAIL,allocation List 0 tail pointer"
line.long 0xC "RGX_CR_PM_MLIST0_START_OF_1,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2C0++0x7
line.long 0x0 "RGX_CR_PM_MLIST0_STATUS_0,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST0_STATUS_1,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2C8++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_START_OF_0,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,start of the allocation list 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_START_OF_1,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2D0++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_STATUS_0,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,mmu allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_STATUS_1,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2D8++0xF
line.long 0x0 "RGX_CR_PM_MLIST0_BASE_0,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long 0x0 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MLIST0_BASE_1,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
line.long 0x8 "RGX_CR_PM_MLIST1_BASE_0,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_MLIST1_BASE_1,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
rgroup.long 0x2F8++0x27
line.long 0x0 "RGX_CR_PM_VCE_VTOP_STATUS_0,RGX_CR_PM_VCE_VTOP_STATUS_0"
hexmask.long.word 0x0 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "OP,Virtual Page Pointer for the VCE 16KB granauality"
line.long 0x4 "RGX_CR_PM_VCE_VTOP_STATUS_1,RGX_CR_PM_VCE_VTOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x8 "RGX_CR_PM_TE_VTOP_STATUS_0,RGX_CR_PM_TE_VTOP_STATUS_0"
hexmask.long.word 0x8 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x8 0.--19. 1. "OP,Virtual Page Pointer for the TE 16KB granauality"
line.long 0xC "RGX_CR_PM_TE_VTOP_STATUS_1,RGX_CR_PM_TE_VTOP_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x10 "RGX_CR_PM_OUTOF_MEM_SRC_0,RGX_CR_PM_OUTOF_MEM_SRC_0"
hexmask.long 0x10 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x10 0.--2. "OP,one hot encoding indicating which part of resource runs out of memory bit 0: normal ta free list bit 1: unified ta free list bit 2: mmu free list" "normal ta free list,unified ta free list,mmu free list,?,?,?,?,?"
line.long 0x14 "RGX_CR_PM_OUTOF_MEM_SRC_1,RGX_CR_PM_OUTOF_MEM_SRC_1"
hexmask.long 0x14 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x18 "RGX_CR_PM_ALIST_VTOP_STATUS_0,RGX_CR_PM_ALIST_VTOP_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,Virtual Page Pointer for the allocation list 16KB granauality"
line.long 0x1C "RGX_CR_PM_ALIST_VTOP_STATUS_1,RGX_CR_PM_ALIST_VTOP_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_PM_MMU_VTOP_STATUS_0,RGX_CR_PM_MMU_VTOP_STATUS_0"
hexmask.long.word 0x20 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x20 0.--19. 1. "OP,Virtual Page Pointer for the MMU 4KB granauality"
line.long 0x24 "RGX_CR_PM_MMU_VTOP_STATUS_1,RGX_CR_PM_MMU_VTOP_STATUS_1"
hexmask.long 0x24 0.--31. 1. "Reserved_20,Reserved_20"
wgroup.long 0x320++0xF
line.long 0x0 "RGX_CR_PM_OUTOFMEM_ABORTALL_0,RGX_CR_PM_OUTOFMEM_ABORTALL_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Instruct the PM to Deny the TE allocation outstanding on Out Of Memory" "0,1"
line.long 0x4 "RGX_CR_PM_OUTOFMEM_ABORTALL_1,RGX_CR_PM_OUTOFMEM_ABORTALL_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_OUTOFMEM_RESTART_0,RGX_CR_PM_OUTOFMEM_RESTART_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Restart the PM after an Out of Memory and Abort sequence" "0,1"
line.long 0xC "RGX_CR_PM_OUTOFMEM_RESTART_1,RGX_CR_PM_OUTOFMEM_RESTART_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x330++0x7
line.long 0x0 "RGX_CR_PM_REQUESTING_SOURCE_0,RGX_CR_PM_REQUESTING_SOURCE_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "OP,Requesting source when out of memory. Bit 1 : VCE Bit 0 : TE" "TE,VCE,?,?"
line.long 0x4 "RGX_CR_PM_REQUESTING_SOURCE_1,RGX_CR_PM_REQUESTING_SOURCE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x338++0xF
line.long 0x0 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_0,RGX_CR_PM_PARTIAL_RENDER_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Partial Render Enable Bit" "0,1"
line.long 0x4 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_1,RGX_CR_PM_PARTIAL_RENDER_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0"
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 0.--4. 1. "OP,This register defines the deallocation behaviour of the PM: value > 2 is only for debug on ZLS mode 0 it can only set less than 2 0: PM will free the macrotile memory as soon as it is possible 1: PM only free one mtile for each traverse 2: PM only.."
line.long 0xC "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
rgroup.long 0x348++0xF
line.long 0x0 "RGX_CR_PM_TA_FSTACK_STATUS_0,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TOP,This status register indicated the ta context free list pointer status."
line.long 0x4 "RGX_CR_PM_TA_FSTACK_STATUS_1,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_FSTACK_STATUS_0,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TOP,This status register indicated the 3D context free list status"
line.long 0xC "RGX_CR_PM_3D_FSTACK_STATUS_1,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x358++0x7
line.long 0x0 "RGX_CR_PM_RESERVE_PAGES_0,RGX_CR_PM_RESERVE_PAGES_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "OP,This register defines the guard page required for one VCE/TE allocation. The requirement is set by the number of ppages needed to create the ALIST nodes when a vpage is closed. The MMU requirement is fixed at 3 ppages max for creatig a new ALIST.."
line.long 0x4 "RGX_CR_PM_RESERVE_PAGES_1,RGX_CR_PM_RESERVE_PAGES_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
rgroup.long 0x360++0x17
line.long 0x0 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_0,RGX_CR_PM_DEALLOCATED_MASK_STATUS_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "TOP,This status register contains a bitmask of the macrotiles freed at this point in the render"
line.long 0x4 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_1,RGX_CR_PM_DEALLOCATED_MASK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x8 "RGX_CR_PM_DEALLOCATING_MASK_STATUS_0,RGX_CR_PM_DEALLOCATING_MASK_STATUS_0"
hexmask.long.word 0x8 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x8 0.--15. 1. "TOP,This status register indicates the mtile mask being freed at the current traverse"
line.long 0xC "RGX_CR_PM_DEALLOCATING_MASK_STATUS_1,RGX_CR_PM_DEALLOCATING_MASK_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x10 "RGX_CR_PM_PDS_MTILEFREE_STATUS_0,RGX_CR_PM_PDS_MTILEFREE_STATUS_0"
hexmask.long.word 0x10 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x10 0.--16. 1. "OP,This status register indicates the macrotile number of the PDSs current macrotile free request"
line.long 0x14 "RGX_CR_PM_PDS_MTILEFREE_STATUS_1,RGX_CR_PM_PDS_MTILEFREE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x378++0x7
line.long 0x0 "RGX_CR_PM_TA_FREE_CONTEXT_0,RGX_CR_PM_TA_FREE_CONTEXT_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,free the ta context register operation" "0,1"
line.long 0x4 "RGX_CR_PM_TA_FREE_CONTEXT_1,RGX_CR_PM_TA_FREE_CONTEXT_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x380++0x7
line.long 0x0 "RGX_CR_PM_3D_TIMEOUT_NOW_0,RGX_CR_PM_3D_TIMEOUT_NOW_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,free the 3D context" "0,1"
line.long 0x4 "RGX_CR_PM_3D_TIMEOUT_NOW_1,RGX_CR_PM_3D_TIMEOUT_NOW_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x388++0x7
line.long 0x0 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_0,RGX_CR_PM_3D_DEALLOCATE_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,3D deallocate enable mode" "0,1"
line.long 0x4 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_1,RGX_CR_PM_3D_DEALLOCATE_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x390)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_TACONTEXT_$1,RGX_CR_PM_START_OF_TACONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of TA pages(4KB) on loading of the TA context"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x398)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_3DCONTEXT_$1,RGX_CR_PM_START_OF_3DCONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of 3D pages(4KB) on loading of the TA context"
repeat.end
rgroup.long 0x3A0++0x2F
line.long 0x0 "RGX_CR_PM_TA_PAGE_STATUS_0,RGX_CR_PM_TA_PAGE_STATUS_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "OP,The number of TA pages currently allocated"
line.long 0x4 "RGX_CR_PM_TA_PAGE_STATUS_1,RGX_CR_PM_TA_PAGE_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_PAGE_STATUS_0,RGX_CR_PM_3D_PAGE_STATUS_0"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "OP,The number of 3D pages currently allocated"
line.long 0xC "RGX_CR_PM_3D_PAGE_STATUS_1,RGX_CR_PM_3D_PAGE_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x10 "RGX_CR_PM_VCE_INFLIGHT_STATUS_0,RGX_CR_PM_VCE_INFLIGHT_STATUS_0"
hexmask.long.word 0x10 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x10 0.--19. 1. "OP,The Virtual Page Number in flight in the VCE Requestor"
line.long 0x14 "RGX_CR_PM_VCE_INFLIGHT_STATUS_1,RGX_CR_PM_VCE_INFLIGHT_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x18 "RGX_CR_PM_TE_INFLIGHT_STATUS_0,RGX_CR_PM_TE_INFLIGHT_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,The Virtual Page Number in flight in the TE Requestor"
line.long 0x1C "RGX_CR_PM_TE_INFLIGHT_STATUS_1,RGX_CR_PM_TE_INFLIGHT_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_BIFPM_IDLE_0,RGX_CR_BIFPM_IDLE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "MCU_L0_MEMIF,MCU L0 MEMIF Module IDLE" "0,1"
newline
bitfld.long 0x20 5. "PBE,PBE Module IDLE" "0,1"
newline
bitfld.long 0x20 4. "MCU_L0_PDSRW,MCU L0 PDSRW Module IDLE" "0,1"
newline
bitfld.long 0x20 3. "MCU_L1,MCU L1 Module IDLE" "0,1"
newline
bitfld.long 0x20 2. "USCS,USC Shared Module IDLE" "0,1"
newline
bitfld.long 0x20 1. "PM,PM Module IDLE" "0,1"
newline
bitfld.long 0x20 0. "BIF256,BIF256 Module IDLE" "0,1"
line.long 0x24 "RGX_CR_BIFPM_IDLE_1,RGX_CR_BIFPM_IDLE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_SIDEKICK_IDLE_0,RGX_CR_SIDEKICK_IDLE_0"
hexmask.long 0x28 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x28 6. "FB_CDC,FB CDC Module IDLE" "0,1"
newline
bitfld.long 0x28 5. "MMU,MMU Module IDLE" "0,1"
newline
bitfld.long 0x28 4. "BIF128,BIF128 Module IDLE" "0,1"
newline
bitfld.long 0x28 3. "TLA,TLA Module IDLE" "0,1"
newline
bitfld.long 0x28 2. "GARTEN,GARTEN Module IDLE" "0,1"
newline
bitfld.long 0x28 1. "HOSTIF,HOSTIF Module IDLE" "0,1"
newline
bitfld.long 0x28 0. "SOCIF,SOCIF Module IDLE" "0,1"
line.long 0x2C "RGX_CR_SIDEKICK_IDLE_1,RGX_CR_SIDEKICK_IDLE_1"
hexmask.long 0x2C 0.--31. 1. "Reserved_7,Reserved_7"
group.long 0x3D0++0x17
line.long 0x0 "RGX_CR_PM_CONTEXT_ID_0,RGX_CR_PM_CONTEXT_ID_0"
hexmask.long.byte 0x0 25.--31. 1. "Reserved_25,Reserved_25"
newline
bitfld.long 0x0 24. "MLIS_ALLOC,MMU page List (TE VCE aligned with this context )Allocation Context ID" "0,1"
newline
hexmask.long.byte 0x0 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "LS,Load Store Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "DALLOC,DeAllocation Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ALLOC,Allocation Context ID for the allocation list" "0,1"
line.long 0x4 "RGX_CR_PM_CONTEXT_ID_1,RGX_CR_PM_CONTEXT_ID_1"
hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x4 8. "MLIS_LS,MMU page List (TE VCE aligned with this context )Load Store Context ID" "0,1"
newline
hexmask.long.byte 0x4 1.--7. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MLIS_DALLOC,MMU page List (TE VCE aligned with this context )DeAllocation Context ID" "0,1"
line.long 0x8 "RGX_CR_PM_3D_RENDER_TARGET_INDEX_0,RGX_CR_PM_3D_RENDER_TARGET_INDEX_0"
hexmask.long.tbyte 0x8 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x8 0.--10. 1. "ID,Render Target ID which is being rendered"
line.long 0xC "RGX_CR_PM_3D_RENDER_TARGET_INDEX_1,RGX_CR_PM_3D_RENDER_TARGET_INDEX_1"
hexmask.long 0xC 0.--31. 1. "Reserved_11,Reserved_11"
line.long 0x10 "RGX_CR_PM_3D_RENDER_TARGET_LAST_0,RGX_CR_PM_3D_RENDER_TARGET_LAST_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ID,If this bit is set this means the render will be the last one in the whole render target array. If no multiple render target array is present this bit always needs set" "0,1"
line.long 0x14 "RGX_CR_PM_3D_RENDER_TARGET_LAST_1,RGX_CR_PM_3D_RENDER_TARGET_LAST_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x3E8++0x17
line.long 0x0 "RGX_CR_PM_LOCK_STATUS_0,RGX_CR_PM_LOCK_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "TD,Bit 1: 3D free list Lock Status. 0 idle/ 1 used" "0,1"
newline
bitfld.long 0x0 0. "TA,Bit 0: TA free list Lock Status. 0 idle/ 1 used." "TA free list Lock Status,?"
line.long 0x4 "RGX_CR_PM_LOCK_STATUS_1,RGX_CR_PM_LOCK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PM_LOCK_OWNER_0,RGX_CR_PM_LOCK_OWNER_0"
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "TD,Bit 1 :3D free list Lock owner. 0 PMA / 1 PMD" "0,1"
newline
bitfld.long 0x8 0. "TA,Bit 0: TA free list Lock Owner. 0 PMA / 1 PMD." "TA free list Lock Owner,?"
line.long 0xC "RGX_CR_PM_LOCK_OWNER_1,RGX_CR_PM_LOCK_OWNER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x10 "RGX_CR_PM_IDLE_STATUS_0,RGX_CR_PM_IDLE_STATUS_0"
hexmask.long.tbyte 0x10 8.--31. 1. "Reserved_8,Reserved_8"
newline
bitfld.long 0x10 7. "PMD_BIF,Idle Status Register of the PMD module bif state machine" "0,1"
newline
bitfld.long 0x10 6. "PMD_FRE,Idle Status Register of the PMD module master state machine" "0,1"
newline
bitfld.long 0x10 5. "BIF,Idle Status Register of the BIF Interface default" "0,1"
newline
bitfld.long 0x10 4. "BARB,Idle Status Register of the BIF Arbiter state BAR" "0,1"
newline
bitfld.long 0x10 3. "AMAN,Idle Status Register of the Alist state machine" "0,1"
newline
bitfld.long 0x10 2. "STA,Idle Status Register of the Stack Manager Modul" "0,1"
newline
bitfld.long 0x10 1. "PMD,Idle Status Register of the PMD module" "0,1"
newline
bitfld.long 0x10 0. "PMA,Idle Status Register of the PMA module" "0,1"
line.long 0x14 "RGX_CR_PM_IDLE_STATUS_1,RGX_CR_PM_IDLE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_8,Reserved_8"
wgroup.long 0x400++0x7
line.long 0x0 "RGX_CR_VDM_START_0,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start VDM" "0,1"
line.long 0x4 "RGX_CR_VDM_START_1,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x408++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_BASE_0,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_BASE_1,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
rgroup.long 0x410++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_CURRENT_0,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_CURRENT_1,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned address"
group.long 0x418++0x17
line.long 0x0 "RGX_CR_VDM_CALL_STACK_POINTER_0,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long 0x0 3.--31. 1. "ADDR,1TB range 64-bit aligned base address"
newline
bitfld.long 0x0 0.--2. "Reserved_0,Reserved_0" "0,1,2,3,4,5,6,7"
line.long 0x4 "RGX_CR_VDM_CALL_STACK_POINTER_1,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 64-bit aligned base address"
line.long 0x8 "RGX_CR_VDM_BATCH_0,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long.tbyte 0x8 14.--31. 1. "Reserved_14,Reserved_14"
newline
hexmask.long.word 0x8 0.--13. 1. "NUMBER,NUMBER"
line.long 0xC "RGX_CR_VDM_BATCH_1,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long 0xC 0.--31. 1. "Reserved_14,Reserved_14"
line.long 0x10 "RGX_CR_VDM_CONTEXT_STATE_BASE_0,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_VDM_CONTEXT_STATE_BASE_1,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x430++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 4.--7. 1. "LAST_PIPE,The TA pipe number to which the VDM last sent indices"
newline
bitfld.long 0x0 2.--3. "Reserved_2,Reserved_2" "0,1,2,3"
newline
bitfld.long 0x0 1. "NEED_RESUME,The VDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The VDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x438)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK0_$1,These words define the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Their.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x440)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK1_$1,This word defines the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Its.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x448)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK2_$1,These words defines the Stream Out Sync program which will be inserted into the VDM pipeline as a PPP State Update on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x450)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK0_$1,These words define the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x458)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK1_$1,This word defines the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x460)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK2_$1,These words defines the Stream Out Sync program which will be written. as a PPP State Update. by the VDM to its context resume control stream on a context store operation The function of this task is described in the.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
wgroup.long 0x468++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_START_0,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_START_1,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x470++0x7
line.long 0x0 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_0,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x0 4.--31. 1. "ADDR,ADDR"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_1,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x478++0x7
line.long 0x0 "RGX_CR_CDM_START_0,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start CDM" "0,1"
line.long 0x4 "RGX_CR_CDM_START_1,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x480++0x7
line.long 0x0 "RGX_CR_CDM_CTRL_STREAM_BASE_0,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_CDM_CTRL_STREAM_BASE_1,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
wgroup.long 0x488++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_0,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_1,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x490++0xF
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_0,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,PENDING" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_1,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_CDM_CONTEXT_STATE_BASE_0,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_CDM_CONTEXT_STATE_BASE_1,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x4A0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "NEED_RESUME,The CDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The CDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4A8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4B0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4B8)++0x3
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS_$1,This register contains the PDS Code and Data Addresses for the Terminate Program. This program is sent to PDS on Context Store after the Context Store Program"
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Terminate Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4C0++0x7
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS1_0,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store Terminate" "0,1"
line.long 0x4 "RGX_CR_CDM_TERMINATE_PDS1_1,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4D8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4E0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
group.long 0x600++0x7
line.long 0x0 "RGX_CR_PDS_CTRL_0,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long.byte 0x0 24.--31. 1. "MAX_NUM_CDM_TASKS,The maximum number of compute tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 16.--23. 1. "MAX_NUM_PDM_TASKS,The maximum number of pixel tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 8.--15. 1. "MAX_NUM_VDM_TASKS,The maximum number of vertex tasks (VS HS GS when Tess not enabled) allowed on each USC range 0 to 39 (Note reduced range to prevent Pixel/VDM system deadlock)"
newline
hexmask.long.byte 0x0 0.--7. 1. "MAX_NUM_TDM_TASKS,The maximum number of fastrender tasks allowed on each USC range 0 to 48"
line.long 0x4 "RGX_CR_PDS_CTRL_1,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x608++0x7
line.long 0x0 "RGX_CR_PDS_USC_COLLATOR_0,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Clusters Resource Collator" "0,1"
line.long 0x4 "RGX_CR_PDS_USC_COLLATOR_1,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x610++0x1F
line.long 0x0 "RGX_CR_PDS_EXEC_BASE_0,Base Address in memory where the PDS programs are located"
hexmask.long.word 0x0 20.--31. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_EXEC_BASE_1,Base Address in memory where the PDS programs are located"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
line.long 0x8 "RGX_CR_EVENT_PIXEL_PDS_CODE_0,RGX_CR_EVENT_PIXEL_PDS_CODE_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_PIXEL_PDS_CODE_1,RGX_CR_EVENT_PIXEL_PDS_CODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_PIXEL_PDS_DATA_0,RGX_CR_EVENT_PIXEL_PDS_DATA_0"
hexmask.long 0x10 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_EVENT_PIXEL_PDS_DATA_1,RGX_CR_EVENT_PIXEL_PDS_DATA_1"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x18 "RGX_CR_EVENT_PIXEL_PDS_INFO_0,RGX_CR_EVENT_PIXEL_PDS_INFO_0"
hexmask.long.tbyte 0x18 15.--31. 1. "Reserved_15,Reserved_15"
newline
hexmask.long.byte 0x18 9.--14. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x18 5.--8. 1. "TEMP_STRIDE,PDS Temp Size in 128 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x18 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x1C "RGX_CR_EVENT_PIXEL_PDS_INFO_1,RGX_CR_EVENT_PIXEL_PDS_INFO_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_15,Reserved_15"
wgroup.long 0x630++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_0,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Common Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_1,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x638++0xF
line.long 0x0 "RGX_CR_PDS_MAX_CSRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long.byte 0x0 27.--31. 1. "Reserved_27,Reserved_27"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Common Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in Common Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Common Store"
line.long 0x4 "RGX_CR_PDS_MAX_CSRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long 0x4 0.--31. 1. "Reserved_27,Reserved_27"
line.long 0x8 "RGX_CR_PDS_CSRM_MAX_COEFF_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x8 1.--5. 1. "LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to use for Coefficients before wrapping"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Coefficient Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_CSRM_MAX_COEFF_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_6,Reserved_6"
wgroup.long 0x648++0x7
line.long 0x0 "RGX_CR_PDS_USRM_0,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_1,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x650++0xF
line.long 0x0 "RGX_CR_PDS_MAX_USRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long.word 0x0 18.--31. 1. "Reserved_18,Reserved_18"
newline
hexmask.long.word 0x0 9.--17. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Unified Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Unified Store"
line.long 0x4 "RGX_CR_PDS_MAX_USRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long 0x4 0.--31. 1. "Reserved_18,Reserved_18"
line.long 0x8 "RGX_CR_PDS_USRM_MAX_TEMP_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 1.--4. 1. "LINE,Max Line for use as Temporaries"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_USRM_MAX_TEMP_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
wgroup.long 0x660++0x7
line.long 0x0 "RGX_CR_PDS_UVSRM_0,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Vertex Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_UVSRM_1,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x668++0x7
line.long 0x0 "RGX_CR_MCU_FBTC_ICTRL_0,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "COMP_DM,When set to 1 all entries in the tile cache that have been tagged as a compute data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 2. "VERTEX_DM,When set to 1 all entries in the tile cache that have been tagged as a vertex data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 1. "PIXEL_DM,When set to 1 all entries in the tile cache that have been tagged as a pixel data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 0. "PENDING,When written to 1 will invalidate the header cache in the FBDC when read as 1 invalidate is in progress when read as 0 invalidate is complete" "0,1"
line.long 0x4 "RGX_CR_MCU_FBTC_ICTRL_1,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
wgroup.long 0x670++0x7
line.long 0x0 "RGX_CR_PDS_STORERM_0,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_STORERM_1,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x678++0x27
line.long 0x0 "RGX_CR_PDS_MAX_STORERM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.byte 0x0 27.--31. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in PDS Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in PDS Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in PDS Store"
line.long 0x4 "RGX_CR_PDS_MAX_STORERM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0x4 4.--31. 1. "Reserved_36,Reserved_36"
newline
hexmask.long.byte 0x4 0.--3. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
line.long 0x8 "RGX_CR_PDS_STORERM_MAX_TEMP_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x8 1.--3. "LINE,Temporaries are allocated from Line 0 upwards this is the maximum Line to use for Temporaries before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_STORERM_MAX_TEMP_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x10 "RGX_CR_PDS_ICC_INVAL_0,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x10 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x10 5. "FASTRENDER_PENDING,PDS Instruction Cache Fastrender (DM 6) has been invalidated" "0,1"
newline
bitfld.long 0x10 3.--4. "Reserved_3,Reserved_3" "0,1,2,3"
newline
bitfld.long 0x10 2. "COMPUTE_PENDING,PDS Instruction Cache Compute (DM 2) has been invalidated" "0,1"
newline
bitfld.long 0x10 1. "PIXEL_PENDING,PDS Instruction Cache Pixel (DM 1) has been invalidated" "0,1"
newline
bitfld.long 0x10 0. "VERTEX_PENDING,PDS Instruction Cache Vertex (DM 0) has been invalidated" "0,1"
line.long 0x14 "RGX_CR_PDS_ICC_INVAL_1,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x14 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x18 "RGX_CR_PDS_MCU_REQ_CTRL_0,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 2.--3. "SMODE,SLC cache policy to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
newline
bitfld.long 0x18 0.--1. "CMODE,Cache Mode to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
line.long 0x1C "RGX_CR_PDS_MCU_REQ_CTRL_1,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_CSRM_MIN_SHARED_0,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x20 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x20 1.--5. 1. "LINE,Shared are allocated from top line downwards this is the minimum Line to use for Shared Registers before wrapping"
newline
bitfld.long 0x20 0. "LINE_ENABLE,Enable Min Shared Register Line Limit" "0,1"
line.long 0x24 "RGX_CR_PDS_CSRM_MIN_SHARED_1,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x24 0.--31. 1. "Reserved_6,Reserved_6"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A0)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A8)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x6B0++0x37
line.long 0x0 "RGX_CR_PDS_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0x8 23.--31. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 16.--22. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 10.--15. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 4.--9. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words"
newline
hexmask.long.byte 0x8 0.--3. 1. "PDS_TEMPSIZE,0 = 0 128 bit words 1 = 1 128 bit word this applies to coefficient uniform and varying state"
line.long 0xC "RGX_CR_PDS_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined)"
newline
hexmask.long.word 0xC 14.--22. 1. "Reserved_46,Reserved_46"
newline
hexmask.long.word 0xC 0.--13. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
line.long 0x10 "RGX_CR_PDS_USRM_MIN_ATTR_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x10 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x10 1.--4. 1. "LINE,Min Line for use for Attributes"
newline
bitfld.long 0x10 0. "LINE_ENABLE,Enable Min Attributes Line Limit" "0,1"
line.long 0x14 "RGX_CR_PDS_USRM_MIN_ATTR_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x14 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x18 "RGX_CR_PDS_STORERM_MIN_CONST_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 1.--3. "LINE,Constants are allocated from Line 7 down this is the minimum Line to use for Constants before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x18 0. "LINE_ENABLE,Enable Min Constants Line Limit" "0,1"
line.long 0x1C "RGX_CR_PDS_STORERM_MIN_CONST_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_PIXELMERGE_0,RGX_CR_PDS_PIXELMERGE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "TASK_DISABLE,Disable pixel merging within a whole pixel fragment task" "0,1"
newline
bitfld.long 0x20 5. "DISABLE,Disable pixel merging within each 2x2 pixel block of a pixel fragment task" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "GRADLIMIT,Gradient difference limit for PDS PP pixel merging"
line.long 0x24 "RGX_CR_PDS_PIXELMERGE_1,RGX_CR_PDS_PIXELMERGE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_PDS_CSRM_USC_DEBUG_0,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x28 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x28 0.--4. 1. "SIZE,Amount of Space (in 512-bit Allocation Regions) to allocate to USC Debug Space on a Shared Allocation."
line.long 0x2C "RGX_CR_PDS_CSRM_USC_DEBUG_1,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x2C 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x30 "RGX_CR_PDS_CSRM_DISABLE_0,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x30 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x30 2. "COEFF_SLIDE,Disable Slide of Coeff Allocations on Failure" "0,1"
newline
bitfld.long 0x30 1. "SHARED_SLIDE,Disable Slide of Shared Allocations on Failure" "0,1"
newline
bitfld.long 0x30 0. "PARTITIONS,Disable Partition Space Reservation in the CSRM" "0,1"
line.long 0x34 "RGX_CR_PDS_CSRM_DISABLE_1,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x34 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x6E8++0x7
line.long 0x0 "RGX_CR_HUB_IDLE_0,RGX_CR_HUB_IDLE_0"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "TDM,TDM Module IDLE" "0,1"
newline
bitfld.long 0x0 2. "CDM,CDM Module IDLE" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM Module IDLE" "0,1"
newline
bitfld.long 0x0 0. "PDS,PDS Module IDLE" "0,1"
line.long 0x4 "RGX_CR_HUB_IDLE_1,RGX_CR_HUB_IDLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x6F0)++0x3
line.long 0x0 "RGX_CR_HUB_PWR_$1,RGX_CR_HUB_PWR_0"
hexmask.long 0x0 0.--31. 1. "NUM_PDS_INST,Number of PDS instructions"
repeat.end
group.long 0x700++0xF
line.long 0x0 "RGX_CR_PDS_PASSGROUP_0,RGX_CR_PDS_PASSGROUP_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "FORCE_PT,Force the use of Hard SDs between punchthrough or depth feedback type passes" "0,1"
newline
bitfld.long 0x0 0. "ENABLE,Enable pass group optimisation within USC by replacing USC Hard SDs with USC Soft SDs for all pass groups in the PDS PP." "0,1"
line.long 0x4 "RGX_CR_PDS_PASSGROUP_1,RGX_CR_PDS_PASSGROUP_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "ENABLE,Enable thread barrier support in the PDS CDM_RR." "0,1"
line.long 0xC "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x720++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_SETUP_0,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "HALF,Top line is prefilled half full" "0,1"
newline
hexmask.long.byte 0x0 1.--4. 1. "MAX_LINE,(Lower 4 bits of) Maximum Line within the CSRM that can be allocated to Shared Registers/Coefficients"
newline
bitfld.long 0x0 0. "ENABLE,Enable use of this register to set the Maximum Line the CSRM can allocate on behalf of the USC Common Store" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_SETUP_1,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x738++0x7
line.long 0x0 "RGX_CR_PDS_USRM_DISABLE_0,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TEMP_SLIDE,Disable Slide of Temp Allocations on Failure" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_DISABLE_1,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x788++0xF
line.long 0x0 "RGX_CR_PDS_CSRM_PIXEL_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "MAX_LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to reserve for ONLY PDM Coefficients. The Max line of this region is set in the PDS_CSRM_MAX_COEFF register"
newline
bitfld.long 0x0 0. "MODE_ENABLE,Enable PIXEL RESERVE MODE in the PDS CSRM" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_PIXEL_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x8 "RGX_CR_PDS_MAX_STORERM_CHUNKS2_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x8 0.--8. 1. "TDM,Max Number of Allocation Regions to Allocate to the Fastrender Data Master in PDS Store"
line.long 0xC "RGX_CR_PDS_MAX_STORERM_CHUNKS2_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
group.long 0x800++0x67
line.long 0x0 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_0,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "ARB_PRIO_MODE,Priority mode for external memory access arbiter between GPU scheduler and SLC" "0,1,2,3"
line.long 0x4 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_1,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_FENCE_0,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "SYS_FENCE_ID,reserved address identifier for system fence events" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_FENCE_1,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_MIPS_WRAPPER_CONFIG_0,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.byte 0x10 28.--31. 1. "Reserved_28,Reserved_28"
newline
bitfld.long 0x10 25.--27. "OS_ID,The default OS_ID of the firmware" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x10 24. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x10 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x10 16. "BOOT_ISA_MODE,MIPS boot up mode. When set to 0 boot from MIPS32 mode or set to 1 to boot in microMIPS mode" "0,1"
newline
hexmask.long.word 0x10 0.--15. 1. "REGBANK_BASE_ADDR,16-bit aligned address that identifies rgx register bank transactions emitted from the MIPS core"
line.long 0x14 "RGX_CR_MIPS_WRAPPER_CONFIG_1,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.tbyte 0x14 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x14 8. "FW_IDLE_ENABLE,Set to 0x0 overwrites the value of GPU_IDLE to 0x0 set to 0x1 makes GPU Idle dependent on top level idles" "0,1"
newline
hexmask.long.byte 0x14 2.--7. 1. "Reserved_34,Reserved_34"
newline
bitfld.long 0x14 1. "DISABLE_BOOT,Stop the MIPS from boot-up even after a soft reset is triggered" "0,1"
newline
bitfld.long 0x14 0. "L2_CACHE_OFF,Turn off the L2 cache within the MIPS wrapper" "0,1"
line.long 0x18 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x18 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x18 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x1C "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x1C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x20 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x20 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x20 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x24 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x24 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x24 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x28 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x28 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x28 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x28 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x2C "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x2C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x30 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x30 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x30 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x30 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x34 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x34 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x34 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x38 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x38 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x38 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x38 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x3C "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x3C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x40 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x40 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x40 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x40 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x44 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x44 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x44 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x48 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x48 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x48 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x48 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x4C "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x50 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x50 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x50 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x50 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x54 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x54 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x54 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x58 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x58 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x58 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x58 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x5C "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x5C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x60 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x60 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x60 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x60 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x64 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x64 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x64 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
rgroup.long 0x868++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_0,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 0.--31. 1. "ADDRESS,Unmapped MIPS physical address"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_1,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "EVENT,An address from the MIPS was not remapped to a new range in the GPU" "0,1"
wgroup.long 0x870++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_0,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the unmapped exception event" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_1,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x878++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
bitfld.long 0x0 6. "Reserved_6,Reserved_6" "0,1"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,Select remap entry to configure. Valid range of Entry 0-31"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configure the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
wgroup.long 0x880++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_0,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,MIPS address remap entry to read"
newline
bitfld.long 0x0 0. "REQUEST,Issue a read request to the MIPS address remap range entries" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_1,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
rgroup.long 0x888++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_0,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
hexmask.long.byte 0x0 1.--6. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configures the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_1,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
group.long 0x8A0++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send interrupts to HOST" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "EVENT,Indicates an outstanding interrupt to HOST from RGX firmware" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8B0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the interrupt event to HOST from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8B8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send non-maskable interrupts to MIPS" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8C0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TRIGGER,Issue a non-maskable interrupt to the MIPS SI_NMI pin from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8C8++0x7
line.long 0x0 "RGX_CR_MIPS_DEBUG_CONFIG_0,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "DISABLE_PROBE_DEBUG,Enable MIPS SecureDebug. Disables EJTAG access to the MIPS core and PC Sampling" "0,1"
line.long 0x4 "RGX_CR_MIPS_DEBUG_CONFIG_1,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8D0++0x7
line.long 0x0 "RGX_CR_MIPS_EXCEPTION_STATUS_0,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "SI_SLEEP,Reflects the status of the MIPS SI_Sleep pin" "0,1"
newline
bitfld.long 0x0 4. "SI_NMI_TAKEN,Reflects the status of the MIPS SI_NMITaken pin" "0,1"
newline
bitfld.long 0x0 3. "SI_NEST_EXL,Reflects the status of the MIPS SI_NESTEXL pin" "0,1"
newline
bitfld.long 0x0 2. "SI_NEST_ERL,Reflects the status of the MIPS SI_NESTERL pin" "0,1"
newline
bitfld.long 0x0 1. "SI_EXL,Reflects the status of the MIPS SI_EXL pin" "0,1"
newline
bitfld.long 0x0 0. "SI_ERL,Reflects the status of the MIPS SI_ERL pin" "0,1"
line.long 0x4 "RGX_CR_MIPS_EXCEPTION_STATUS_1,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x8D8++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_0,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
newline
hexmask.long.byte 0x0 4.--11. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 1.--3. "OS_ID,OS_ID of the emitted fence" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "TRUSTED,Defines whether these accesses are trusted" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_1,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_0,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,MIPS wrapper L2 cache is being invalidated" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_1,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8E8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_STATUS_0,This register contains status information of the MIPS GPU scheduler."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "OUTSTANDING_REQUESTS,Outstanding requests by the MIPS"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_STATUS_1,This register contains status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x900++0x17
line.long 0x0 "RGX_CR_EVENT_TDM_PDS_CODE_0,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x0 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_EVENT_TDM_PDS_CODE_1,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_EVENT_TDM_PDS_DATA_0,RGX_CR_EVENT_TDM_PDS_DATA_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_TDM_PDS_DATA_1,RGX_CR_EVENT_TDM_PDS_DATA_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_TDM_PDS_INFO_0,RGX_CR_EVENT_TDM_PDS_INFO_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x10 10.--15. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x10 5.--9. 1. "TEMP_STRIDE,PDS Temp Size in 64 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x10 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x14 "RGX_CR_EVENT_TDM_PDS_INFO_1,RGX_CR_EVENT_TDM_PDS_INFO_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x918)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x920)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x928++0xF
line.long 0x0 "RGX_CR_PDS_TDM_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_TDM_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.byte 0x8 27.--31. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
newline
hexmask.long.byte 0x8 21.--26. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words 0=0. 32 max"
newline
hexmask.long.byte 0x8 15.--20. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.byte 0x8 9.--14. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.word 0x8 0.--8. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words 0=0. 256 max"
line.long 0xC "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "Reserved_55,Reserved_55"
newline
hexmask.long.word 0xC 14.--22. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined) 256 chunks max"
newline
hexmask.long.byte 0xC 9.--13. 1. "PDS_TEMPSIZE,0 = 0 64 bit words 1 = 1 64 bit word 248 bytes. This applies to coefficient uniform and texture state updates."
newline
hexmask.long.word 0xC 0.--8. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
group.long 0xB00++0xF
line.long 0x0 "RGX_CR_MTS_SCHEDULE_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_PROC_COMPLETE_0,This register allows firmware tasks to signal process completion."
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x8 0. "THREAD,Thread number. This is filled in by hardware and can have any value" "0,1"
line.long 0xC "RGX_CR_MTS_PROC_COMPLETE_1,This register allows firmware tasks to signal process completion."
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
rgroup.long 0xB10++0x1F
line.long 0x0 "RGX_CR_MTS_BGCTX_SBDATA0_0,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_BGCTX_SBDATA0_1,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_BGCTX_SBDATA1_0,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x8 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x8 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x8 0.--3. 1. "DM,DataMaster Type"
line.long 0xC "RGX_CR_MTS_BGCTX_SBDATA1_1,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x10 "RGX_CR_MTS_INTCTX_SBDATA0_0,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x10 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x10 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x10 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x14 "RGX_CR_MTS_INTCTX_SBDATA0_1,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x14 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x14 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x14 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x14 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
line.long 0x18 "RGX_CR_MTS_INTCTX_SBDATA1_0,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x18 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x18 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x18 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x1C "RGX_CR_MTS_INTCTX_SBDATA1_1,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x1C 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x1C 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x1C 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x1C 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
group.long 0xB30++0x27
line.long 0x0 "RGX_CR_MTS_BGCTX_THREAD0_DM_ASSOC_0,This register is the DataMaster assocation for the background context of thread 0. Bit 0 = No System Bus Security 1 = System Bus Restricted
1 = System Bus..,?"
line.long 0x4 "RGX_CR_SYS_BUS_SECURE_1,Setting this register secures the IMG Configuration Registers from the System Bus. In secure mode all registers have read access only by default. When secure mode is being set. the register must be read back to confirm that the.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA500)++0x3
line.long 0x0 "RGX_CR_FB_CDC_V3_$1,Framebuffer constant detection configuraton registers"
hexmask.long.byte 0x0 24.--31. 1. "FBC_FBDC_UV_VAL1,video pixel format constant value"
newline
hexmask.long.byte 0x0 16.--23. 1. "FBC_FBDC_Y_VAL1,video pixel format constant value"
newline
hexmask.long.byte 0x0 8.--15. 1. "FBC_FBDC_UV_VAL0,video pixel format constant value"
newline
hexmask.long.byte 0x0 0.--7. 1. "FBC_FBDC_Y_VAL0,video pixel format constant value"
repeat.end
group.long 0xA508++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_0,FBCDC corrupt tile filter register"
hexmask.long 0x0 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x0 1.--4. 1. "CLEAR,Clear corrupt tile filter status 1 bit per requester"
newline
bitfld.long 0x0 0. "ENABLE,Enable corrupt tile filter" "0,1"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_1,FBCDC corrupt tile filter register"
hexmask.long 0x4 0.--31. 1. "Reserved_5,Reserved_5"
rgroup.long 0xA510++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_0,FBCDC corrupt tile filter register"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
hexmask.long.byte 0x0 0.--3. 1. "FBC_FBDC_CR_FILTER_STATUS,Status of corrupt tile filter 1 bit per requester"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_1,FBCDC corrupt tile filter register"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
group.long 0xA518++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_0,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EN,Enable FBC_FBDC mode V3_1 for FBCDC formats defaults to V3" "0,1"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_1,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA520)++0x3
line.long 0x0 "RGX_CR_FBCDC_CC_$1,RGX_CR_FBCDC_CC_0"
hexmask.long.byte 0x0 24.--31. 1. "CH3_VAL0,Constant colour detected value for channel 3."
newline
hexmask.long.byte 0x0 16.--23. 1. "CH2_VAL0,Constant colour detected value for channel 2."
newline
hexmask.long.byte 0x0 8.--15. 1. "CH1_VAL0,Constant colour detected value for channel 1."
newline
hexmask.long.byte 0x0 0.--7. 1. "CH0_VAL0,Constant colour detected value for channel 0."
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA528)++0x3
line.long 0x0 "RGX_CR_FBCDC_CC_YUV_$1,RGX_CR_FBCDC_CC_YUV_0"
hexmask.long.byte 0x0 26.--31. 1. "Reserved_26,Reserved_26"
newline
hexmask.long.word 0x0 16.--25. 1. "UV_VAL0,Constant colour detected value uv-plane."
newline
hexmask.long.byte 0x0 10.--15. 1. "Reserved_10,Reserved_10"
newline
hexmask.long.word 0x0 0.--9. 1. "Y_VAL0,Constant colour detected value y-plane."
repeat.end
group.long 0xB000++0x7
line.long 0x0 "RGX_CR_PIPELINE_STATS_ENABLE_0,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing."
hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "_3D,_3D" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TA,TA" "0,1"
line.long 0x4 "RGX_CR_PIPELINE_STATS_ENABLE_1,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing."
hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17"
wgroup.long 0xB008++0x7
line.long 0x0 "RGX_CR_PIPELINE_STATS_CLEAR_0,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick"
hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "_3D,_3D" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TA,TA" "0,1"
line.long 0x4 "RGX_CR_PIPELINE_STATS_CLEAR_1,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick"
hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB010)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_VERTICES_$1,Number of vertices the Input Assembly stage generated (not subtracting any caching)"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB018)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_PRIMITIVES_$1,Number of primitives the Input Assembly stage generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB020)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_VS_INVOCATIONS_$1,Number of times the Vertex Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB038)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_INVOCATIONS_$1,Number of times the Geometry Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB040)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_PRIMITIVES_$1,Number of primitives the Geometry Shader generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB048)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_C_INVOCATIONS_$1,Number of times the Clipper is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB050)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_C_PRIMITIVES_$1,Number of primitives the Clipper generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB058)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_PS_INVOCATIONS_$1,Number of times the Pixel Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB060)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_CS_INVOCATIONS_$1,Number of times the Compute Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
rgroup.long 0xE000++0x7
line.long 0x0 "RGX_CR_CACHE_CFI_EVENT_0,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.."
hexmask.long.word 0x0 16.--31. 1. "MCU_L0_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L0 cache (there can be up to 16 MCU L0 caches depending on the number of clusters)"
newline
hexmask.long.word 0x0 0.--15. 1. "MADD_PENDING,1 Indicates there is a pending global CFI operation on the specified MADD Texture cache (there can be up to 16 MADD caches depending on the number of clusters)"
line.long 0x4 "RGX_CR_CACHE_CFI_EVENT_1,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.."
hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x4 8. "SLC_PENDING,1 Indicates there is a pending global CFI operation on the SLC cache" "0,1"
newline
hexmask.long.byte 0x4 0.--7. 1. "MCU_L1_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L1 cache (there can be up to 8 MCU L1 caches depending on the number of clusters)"
group.long 0xE138++0x7
line.long 0x0 "RGX_CR_MMU_CTRL_INVAL_0,MMU invalidation control registers"
hexmask.long.tbyte 0x0 12.--31. 1. "Reserved_12,Reserved_12"
newline
bitfld.long 0x0 11. "ALL_CONTEXTS,When ALL_CONTEXTS is set all context ids get invalidated (global invalidation)" "0,1"
newline
hexmask.long.byte 0x0 3.--10. 1. "CONTEXT,When ALL_CONTEXTS is not set this field specifies the context id to be invalidated (per-context invalidation)"
newline
bitfld.long 0x0 2. "PC,Invalidates PC PD & PT" "0,1"
newline
bitfld.long 0x0 1. "PD,Invalidates PD & PT" "0,1"
newline
bitfld.long 0x0 0. "PT,Invalidates PT" "0,1"
line.long 0x4 "RGX_CR_MMU_CTRL_INVAL_1,MMU invalidation control registers"
hexmask.long 0x4 0.--31. 1. "Reserved_12,Reserved_12"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xF100)++0x3
line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_$1,Power Monitoring registers for the FBCDC"
hexmask.long 0x0 0.--31. 1. "FBDC,Number of accesses to the FBDC per clock"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xF108)++0x3
line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_MCU_$1,Power Monitoring registers for the FBCDC"
hexmask.long 0x0 0.--31. 1. "FBTC,Number of accesses to the MCU FBTC per clock"
repeat.end
rgroup.long 0xF220++0xF
line.long 0x0 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_0,Blackpearl BIF return FIFO word count"
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x0 0.--8. 1. "COUNTER,COUNTER"
line.long 0x4 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_1,Blackpearl BIF return FIFO word count"
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_0,Jones BIF return FIFO word count"
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x8 0.--8. 1. "COUNTER,COUNTER"
line.long 0xC "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_1,Jones BIF return FIFO word count"
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
group.long 0xF258++0x7
line.long 0x0 "RGX_CR_TDM_GRIDOFFSET_0,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 4.--7. 1. "GRID_Y,Unsigned sub-pixel offset"
newline
hexmask.long.byte 0x0 0.--3. 1. "GRID_X,Unsigned sub-pixel offset"
line.long 0x4 "RGX_CR_TDM_GRIDOFFSET_1,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xF260)++0x3
line.long 0x0 "RGX_CR_TDM_MULTISAMPLECTL_$1,Sample position grid offset for use in 2x. 4x. 8xMSAA and ODAA modes."
hexmask.long.byte 0x0 28.--31. 1. "MSAA_Y3,Unsigned sub-pixel offset for the 4th multisample Y position"
newline
hexmask.long.byte 0x0 24.--27. 1. "MSAA_X3,Unsigned sub-pixel offset for the 4th multisample X position"
newline
hexmask.long.byte 0x0 20.--23. 1. "MSAA_Y2,Unsigned sub-pixel offset for the 3rd multisample Y position"
newline
hexmask.long.byte 0x0 16.--19. 1. "MSAA_X2,Unsigned sub-pixel offset for the 3rd multisample X position"
newline
hexmask.long.byte 0x0 12.--15. 1. "MSAA_Y1,Unsigned sub-pixel offset for the 2nd multisample Y position"
newline
hexmask.long.byte 0x0 8.--11. 1. "MSAA_X1,Unsigned sub-pixel offset for the 2nd multisample X position"
newline
hexmask.long.byte 0x0 4.--7. 1. "MSAA_Y0,Unsigned sub-pixel offset for the 1st multisample Y position"
newline
hexmask.long.byte 0x0 0.--3. 1. "MSAA_X0,Unsigned sub-pixel offset for the 1st multisample X position"
repeat.end
group.long 0xF268++0x7
line.long 0x0 "RGX_CR_USC_CODE_BASE_2D_0,RGX_CR_USC_CODE_BASE_2D_0"
hexmask.long 0x0 6.--31. 1. "ADDR,2D Data Master Code Base Register bits"
newline
hexmask.long.byte 0x0 0.--5. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_USC_CODE_BASE_2D_1,RGX_CR_USC_CODE_BASE_2D_1"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,2D Data Master Code Base Register bits"
group.long 0x10B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE1_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE1_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x10B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX1_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX1_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX1_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX1_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x10BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x10BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x20B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE2_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE2_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x20B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX2_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX2_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX2_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX2_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x20BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x20BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x30B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE3_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE3_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x30B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX3_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX3_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX3_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX3_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x30BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x30BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x40B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE4_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE4_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x40B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX4_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX4_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX4_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX4_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x40BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x40BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x50B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE5_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE5_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x50B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX5_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX5_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX5_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX5_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x50BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x50BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x60B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE6_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE6_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x60B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX6_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX6_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX6_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX6_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x60BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x60BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x70B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE7_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE7_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x70B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX7_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX7_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX7_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX7_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x70BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x70BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
tree.end
elif (CORENAME()=="CORTEXA55")
tree "GPU1"
base ad:0x34C00000
group.long 0x0++0x7
line.long 0x0 "RGX_CR_CLK_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 28.--29. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1,2,3"
newline
bitfld.long 0x0 26.--27. "MCU_L0,MCU_L0" "0,1,2,3"
newline
bitfld.long 0x0 24.--25. "TPU,TPU" "0,1,2,3"
newline
bitfld.long 0x0 22.--23. "Reserved_22,Reserved_22" "0,1,2,3"
newline
bitfld.long 0x0 20.--21. "USC,USC" "0,1,2,3"
newline
bitfld.long 0x0 18.--19. "Reserved_18,Reserved_18" "0,1,2,3"
newline
bitfld.long 0x0 16.--17. "SLC,SLC" "0,1,2,3"
newline
bitfld.long 0x0 14.--15. "UVS,UVS" "0,1,2,3"
newline
bitfld.long 0x0 12.--13. "PDS,PDS" "0,1,2,3"
newline
bitfld.long 0x0 10.--11. "VDM,VDM" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "PM,PM" "0,1,2,3"
newline
bitfld.long 0x0 6.--7. "GPP,GPP" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "TE,TE" "0,1,2,3"
newline
bitfld.long 0x0 2.--3. "TSP,TSP" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "ISP,ISP" "0,1,2,3"
line.long 0x4 "RGX_CR_CLK_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
hexmask.long.byte 0x4 28.--31. 1. "Reserved_60,Reserved_60"
newline
bitfld.long 0x4 26.--27. "FBC,FBC" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "FBDC,FBDC" "0,1,2,3"
newline
bitfld.long 0x4 22.--23. "FB_TLCACHE,FB_TLCACHE" "0,1,2,3"
newline
bitfld.long 0x4 20.--21. "USCS,USCS" "0,1,2,3"
newline
bitfld.long 0x4 18.--19. "PBE,PBE" "0,1,2,3"
newline
bitfld.long 0x4 16.--17. "MCU_L1,MCU_L1" "0,1,2,3"
newline
bitfld.long 0x4 14.--15. "CDM,CDM" "0,1,2,3"
newline
bitfld.long 0x4 12.--13. "SIDEKICK,SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 10.--11. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "BIF,BIF" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--7. 1. "Reserved_30,Reserved_30"
rgroup.long 0x8++0x7
line.long 0x0 "RGX_CR_CLK_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 28. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 27. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 26. "USCS,USCS" "0,1"
newline
bitfld.long 0x0 25. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 24. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 23. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 22. "SIDEKICK,SIDEKICK" "0,1"
newline
bitfld.long 0x0 21. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1"
newline
bitfld.long 0x0 20. "BIF,BIF" "0,1"
newline
hexmask.long.byte 0x0 15.--19. 1. "Reserved_15,Reserved_15"
newline
bitfld.long 0x0 14. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 13. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 12. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 11. "Reserved_11,Reserved_11" "0,1"
newline
bitfld.long 0x0 10. "USC,USC" "0,1"
newline
bitfld.long 0x0 9. "Reserved_9,Reserved_9" "0,1"
newline
bitfld.long 0x0 8. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 7. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 6. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 5. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 4. "PM,PM" "0,1"
newline
bitfld.long 0x0 3. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 2. "TE,TE" "0,1"
newline
bitfld.long 0x0 1. "TSP,TSP" "0,1"
newline
bitfld.long 0x0 0. "ISP,ISP" "0,1"
line.long 0x4 "RGX_CR_CLK_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MCU_FBTC,MCU_FBTC" "0,1"
rgroup.long 0x18++0x7
line.long 0x0 "RGX_CR_PRODUCT_ID_0,Reports the product ID Product ID Register"
hexmask.long.word 0x0 16.--31. 1. "IMG_PRODUCT_ID,IMG Product ID"
newline
hexmask.long.word 0x0 0.--15. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PRODUCT_ID_1,Reports the product ID Product ID Register"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x20)++0x3
line.long 0x0 "RGX_CR_CORE_ID_$1,Reports the product ID Core ID Register"
hexmask.long.word 0x0 16.--31. 1. "NUMBER_OF_SCALABLE_UNITS,N - Number of scalable Units"
newline
hexmask.long.word 0x0 0.--15. 1. "CONFIG_ID,C - Config ID"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x28)++0x3
line.long 0x0 "RGX_CR_CORE_IP_INTEGRATOR_ID_$1,Reports the product ID Core IP Integrator ID Register"
hexmask.long 0x0 0.--31. 1. "VALUE,IP company ID/Designer"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x30)++0x3
line.long 0x0 "RGX_CR_CORE_IP_CHANGELIST_$1,Reports the version control ID Core IP Changelist Register"
hexmask.long 0x0 0.--31. 1. "VALUE,Version control ID"
repeat.end
group.long 0x38++0x7
line.long 0x0 "RGX_CR_POWER_EVENT_0,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long.byte 0x0 24.--31. 1. "Reserved_24,Reserved_24"
newline
hexmask.long.word 0x0 8.--23. 1. "DOMAIN,sets which power island is enabled for the current power event request; bit0:jones bit1-8:dusts bit9-12:blackpearls"
newline
hexmask.long.byte 0x0 2.--7. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "REQ,Set when a power event operation is requested" "0,1"
newline
bitfld.long 0x0 0. "TYPE,The requested power event operation" "0,1"
line.long 0x4 "RGX_CR_POWER_EVENT_1,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long 0x4 0.--31. 1. "Reserved_24,Reserved_24"
group.long 0x50++0x7
line.long 0x0 "RGX_CR_DUSTS_ENABLE_0,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_ENABLE_1,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
rgroup.long 0x58++0x7
line.long 0x0 "RGX_CR_DUSTS_FUSE_0,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_FUSE_1,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x80++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x0 0.--31. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_CLK_XTPLUS_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x4 6.--31. 1. "Reserved_38,Reserved_38"
newline
bitfld.long 0x4 4.--5. "TDM,TDM" "0,1,2,3"
newline
bitfld.long 0x4 2.--3. "ASTC,ASTC" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
rgroup.long 0x88++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long.tbyte 0x0 11.--31. 1. "Reserved_11,Reserved_11"
newline
bitfld.long 0x0 10. "TDM,TDM" "0,1"
newline
bitfld.long 0x0 9. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 8. "COMPUTE,COMPUTE" "0,1"
newline
bitfld.long 0x0 7. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x0 6. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x0 5. "VERTEX,VERTEX" "0,1"
newline
bitfld.long 0x0 4. "Reserved_4,Reserved_4" "0,1"
newline
bitfld.long 0x0 3. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x0 2. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x0 1. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 0. "GEOMETRY,GEOMETRY" "0,1"
line.long 0x4 "RGX_CR_CLK_XTPLUS_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 0.--31. 1. "Reserved_11,Reserved_11"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE0)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_GRAY_$1,This register contains the value of a 64-bit external gray coded timer. (Available on 2.X.X.X cores and above)."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE8)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_BINARY_$1,This register contains the value of a 64-bit external binary coded timer."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
group.long 0x100++0xF
line.long 0x0 "RGX_CR_SOFT_RESET_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x0 31. "RASCAL_CORE,Note that the RASL_CORE bit affects logic related to the reading and writing of registers. This soft reset should therefore be used with caution. Upon power down events it is necessary to reset every register so this bit should be used but.." "0,1"
newline
bitfld.long 0x0 30. "DUST_B_CORE,DUST_B_CORE" "0,1"
newline
bitfld.long 0x0 29. "DUST_A_CORE,DUST_A_CORE" "0,1"
newline
bitfld.long 0x0 28. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 27. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 26. "Reserved_26,Reserved_26" "0,1"
newline
bitfld.long 0x0 25. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 24. "TE,TE" "0,1"
newline
bitfld.long 0x0 23. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 22. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 21. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 20. "PM,PM" "0,1"
newline
bitfld.long 0x0 19. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 18. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 17. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 16. "BIF,Bifpmcache BIF" "0,1"
newline
bitfld.long 0x0 15. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 14. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 13. "Reserved_13,Reserved_13" "0,1"
newline
bitfld.long 0x0 12. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 11. "ISP,ISP" "0,1"
newline
bitfld.long 0x0 10. "TSP,TSP" "0,1"
newline
hexmask.long.byte 0x0 5.--9. 1. "Reserved_5,Reserved_5"
newline
bitfld.long 0x0 4. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 3. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 2. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x0 0. "USC,USC" "0,1"
line.long 0x4 "RGX_CR_SOFT_RESET_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x4 29.--31. "Reserved_61,Reserved_61" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "JONES_CORE,JONES_CORE" "0,1"
newline
bitfld.long 0x4 27. "TILING_CORE,TILING_CORE" "0,1"
newline
bitfld.long 0x4 26. "TE3,TE3" "0,1"
newline
bitfld.long 0x4 25. "VCE,VCE" "0,1"
newline
bitfld.long 0x4 24. "VBS,VBS" "0,1"
newline
hexmask.long.byte 0x4 20.--23. 1. "Reserved_52,Reserved_52"
newline
bitfld.long 0x4 19. "FB_CDC,FB_CDC" "0,1"
newline
bitfld.long 0x4 17.--18. "Reserved_49,Reserved_49" "0,1,2,3"
newline
bitfld.long 0x4 16. "MCU_FBTC,MCU_FBTC" "0,1"
newline
hexmask.long.word 0x4 3.--15. 1. "Reserved_35,Reserved_35"
newline
bitfld.long 0x4 2. "MMU,MMU" "0,1"
newline
bitfld.long 0x4 1. "Reserved_33,Reserved_33" "0,1"
newline
bitfld.long 0x4 0. "GARTEN,Includes MTS and META or MIPS" "0,1"
line.long 0x8 "RGX_CR_SOFT_RESET2_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long.tbyte 0x8 12.--31. 1. "Reserved_12,Reserved_12"
newline
bitfld.long 0x8 11. "TDM,TDM" "0,1"
newline
bitfld.long 0x8 10. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x8 9. "BLACKPEARL,BLACKPEARL" "0,1"
newline
bitfld.long 0x8 8. "Reserved_8,Reserved_8" "0,1"
newline
bitfld.long 0x8 7. "IPF,IPF" "0,1"
newline
bitfld.long 0x8 6. "GEOMETRY,GEOMETRY" "0,1"
newline
bitfld.long 0x8 5. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x8 4. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x8 3. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x8 2. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x8 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x8 0. "VERTEX,VERTEX" "0,1"
line.long 0xC "RGX_CR_SOFT_RESET2_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long 0xC 0.--31. 1. "Reserved_12,Reserved_12"
group.long 0x120++0x17
line.long 0x0 "RGX_CR_CONTEXT_SWITCH_ENABLE_0,The use of the this register has been deprecated."
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "SOFT_RESET,SOFT_RESET" "0,1"
newline
bitfld.long 0x0 2. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 0. "CDM,CDM" "0,1"
line.long 0x4 "RGX_CR_CONTEXT_SWITCH_ENABLE_1,The use of the this register has been deprecated."
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x8 "RGX_CR_EVENT_ENABLE_0,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
bitfld.long 0x8 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x8 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x8 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x8 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x8 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x8 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x8 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x8 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x8 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x8 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x8 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x8 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x8 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x8 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x8 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x8 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x8 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x8 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x8 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x8 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x8 0. "Reserved_0,Reserved_0" "0,1"
line.long 0xC "RGX_CR_EVENT_ENABLE_1,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_STATUS_0,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
bitfld.long 0x10 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x10 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x10 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x10 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x10 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x10 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x10 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x10 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x10 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x10 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x10 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x10 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x10 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x10 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x10 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x10 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x10 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x10 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x10 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x10 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x10 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x14 "RGX_CR_EVENT_STATUS_1,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x138++0x7
line.long 0x0 "RGX_CR_EVENT_CLEAR_0,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
bitfld.long 0x0 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x0 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x0 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x0 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x0 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x0 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x0 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x0 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x0 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x0 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x0 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x0 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x0 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x0 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x0 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x0 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x0 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x0 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x0 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x0 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x0 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x4 "RGX_CR_EVENT_CLEAR_1,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
group.long 0x140++0xF
line.long 0x0 "RGX_CR_GPIO_OUTPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The data the firmware wants to transfer"
line.long 0x4 "RGX_CR_GPIO_OUTPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x8 "RGX_CR_GPIO_OUTPUT_REQ_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "REQ,Set when the firmware wants to communicate with a external HW" "0,1"
line.long 0xC "RGX_CR_GPIO_OUTPUT_REQ_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x150++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The incoming data from HW external to Rogue"
line.long 0x4 "RGX_CR_GPIO_INPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x158++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_ACK_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ACK,Set by the firmware when it has acknowledged the incoming request" "0,1"
line.long 0x4 "RGX_CR_GPIO_INPUT_ACK_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x160++0x7
line.long 0x0 "RGX_CR_TIMER_0,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
line.long 0x4 "RGX_CR_TIMER_1,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
bitfld.long 0x4 31. "BIT31,BIT31" "0,1"
newline
hexmask.long.word 0x4 16.--30. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.word 0x4 0.--15. 1. "VALUE,VALUE"
group.long 0x168++0x7
line.long 0x0 "RGX_CR_AXI_EXACCESS_0,AXI exclusive access enable register"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOCIF_ENABLE,enable the exclusive access logic in the socif img_axi2img. vhd module" "0,1"
line.long 0x4 "RGX_CR_AXI_EXACCESS_1,AXI exclusive access enable register"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x190++0x17
line.long 0x0 "RGX_CR_PM_TASK_MLIST_LOAD_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write to this register will cause the MLIST pointer to be loaded from either PM_MLIST0_START_OF or PM_MLIST1_START_OF depending upon the Context ID contained in PM_CONTEXT_ID_MLS_LS. A read to this register return '1' until this operation has.." "0,1"
line.long 0x4 "RGX_CR_PM_TASK_MLIST_LOAD_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_MLIST_CLEAR_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write to this register will cause the MLIST pointer to be reset to 0. A read to this register return '1' until this operation has completed." "0,1"
line.long 0xC "RGX_CR_PM_TASK_MLIST_CLEAR_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_MAX_RENDER_TARGET_0,This register is deprecated and has no function."
hexmask.long.tbyte 0x10 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x10 0.--10. 1. "ID,If used the software should program this with the maximum render target array index used within the Scene"
line.long 0x14 "RGX_CR_PM_TA_MAX_RENDER_TARGET_1,This register is deprecated and has no function."
hexmask.long 0x14 0.--31. 1. "Reserved_11,Reserved_11"
rgroup.long 0x1A8++0x7
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_0,This register is deprecated and has no function."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,OP" "0,1"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_1,This register is deprecated and has no function."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x1B0++0x1F
line.long 0x0 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ID,When set enable freeing of unused pages during TA phase" "0,1"
line.long 0x4 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_MMU_REMAP_PENDING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Pending status register corresponding to the MMU remapping operation it will become '1' when written and deassert when the operation complete." "0,1"
line.long 0xC "RGX_CR_PM_MMU_REMAP_PENDING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_PBE_FORCE_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ENABLE,When this bit is set PM will free all the 3D context Memory when a genuine pixelbe end of render is received." "0,1"
line.long 0x14 "RGX_CR_PM_PBE_FORCE_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_PDS_STARTOF_MTILEFREE_0,RGX_CR_PM_PDS_STARTOF_MTILEFREE_0"
hexmask.long.word 0x18 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x18 0.--16. 1. "OP,This startof register indicates the macrotile number of the PDSs current macrotile free request needs to be programmed by FW on a render start"
line.long 0x1C "RGX_CR_PM_PDS_STARTOF_MTILEFREE_1,RGX_CR_PM_PDS_STARTOF_MTILEFREE_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x200++0x1F
line.long 0x0 "RGX_CR_PM_TASK_3D_FREE_LOAD_0,RGX_CR_PM_TASK_3D_FREE_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write into this register will cause the 3D free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_3D_FREE_LOAD_1,RGX_CR_PM_TASK_3D_FREE_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_TA_FREE_LOAD_0,RGX_CR_PM_TASK_TA_FREE_LOAD_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write into this register will cause the TA free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_TA_FREE_LOAD_1,RGX_CR_PM_TASK_TA_FREE_LOAD_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_FSTACK_BASE_0,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_PM_TA_FSTACK_BASE_1,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
line.long 0x18 "RGX_CR_PM_3D_FSTACK_BASE_0,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long 0x18 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
newline
hexmask.long.byte 0x18 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x1C "RGX_CR_PM_3D_FSTACK_BASE_1,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long.tbyte 0x1C 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x1C 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x220)++0x3
line.long 0x0 "RGX_CR_PM_TA_FSTACK_$1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
repeat.end
group.long 0x230++0x7
line.long 0x0 "RGX_CR_PM_3D_FSTACK_0,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
line.long 0x4 "RGX_CR_PM_3D_FSTACK_1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.tbyte 0x4 12.--31. 1. "Reserved_44,Reserved_44"
newline
hexmask.long.word 0x4 0.--11. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
group.long 0x240++0x2F
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_0,Effective Immediately."
hexmask.long 0x0 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_1,Effective Immediately."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
line.long 0x8 "RGX_CR_PM_VHEAP_TABLE_0,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long 0x8 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_VHEAP_TABLE_1,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
line.long 0x10 "RGX_CR_PM_TASK_VHEAP_LOAD_0,RGX_CR_PM_TASK_VHEAP_LOAD_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "PENDING,Causes the vheap to be loaded as specified by the relevant configuration registers when it is done the hw will clear this bit" "0,1"
line.long 0x14 "RGX_CR_PM_TASK_VHEAP_LOAD_1,RGX_CR_PM_TASK_VHEAP_LOAD_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_TASK_VHEAP_CLEAR_0,RGX_CR_PM_TASK_VHEAP_CLEAR_0"
hexmask.long 0x18 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "PENDING,Causes the vheap to be cleared as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x1C "RGX_CR_PM_TASK_VHEAP_CLEAR_1,RGX_CR_PM_TASK_VHEAP_CLEAR_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x20 "RGX_CR_PM_TASK_VHEAP_STORE_0,RGX_CR_PM_TASK_VHEAP_STORE_0"
hexmask.long 0x20 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x20 0. "PENDING,Causes the vheap to be stored as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x24 "RGX_CR_PM_TASK_VHEAP_STORE_1,RGX_CR_PM_TASK_VHEAP_STORE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x28 "RGX_CR_PM_ALIST0_START_OF_0,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x28 0.--31. 1. "TAIL,allocation List 0 tail pointer"
line.long 0x2C "RGX_CR_PM_ALIST0_START_OF_1,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x2C 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x2C 0. "TAIL,allocation List 0 tail pointer" "0,1"
rgroup.long 0x270++0x7
line.long 0x0 "RGX_CR_PM_ALIST0_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST0_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x278++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_START_OF_0,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x0 0.--31. 1. "TAIL,start of the allocation list tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_START_OF_1,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,start of the allocation list tail pointer" "0,1"
rgroup.long 0x280++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x288++0x1F
line.long 0x0 "RGX_CR_PM_TASK_ALIST_LOAD_0,RGX_CR_PM_TASK_ALIST_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,the write to this register will cause allocation list to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_ALIST_LOAD_1,RGX_CR_PM_TASK_ALIST_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_ALIST_CLEAR_0,RGX_CR_PM_TASK_ALIST_CLEAR_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,the write to this register will causes the allocation list to be cleard from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_ALIST_CLEAR_1,RGX_CR_PM_TASK_ALIST_CLEAR_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x10 0.--15. 1. "OP,This is the start of the mask PM deallocation will be based on. Normally it is 0. However in ISP context resume or extra 3D timeout case the driver has to programme the value from the previous render."
line.long 0x14 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x18 "RGX_CR_PM_PAGE_MANAGEOP_0,RGX_CR_PM_PAGE_MANAGEOP_0"
hexmask.long 0x18 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x18 2. "COMBINE_DALLOC,1 means the PM writes to the free stack will be burst combined" "0,1"
newline
bitfld.long 0x18 1. "DISABLE_DALLOC,1 means the PM page management deallocation operation will be disabled" "0,1"
newline
bitfld.long 0x18 0. "DISABLE_ALLOC,1 means the PM page management allocation operation will be disabled" "0,1"
line.long 0x1C "RGX_CR_PM_PAGE_MANAGEOP_1,RGX_CR_PM_PAGE_MANAGEOP_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x2A8++0x7
line.long 0x0 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_0,RGX_CR_PM_PAGE_MANAGEOP_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "DALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
newline
bitfld.long 0x0 0. "ALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
line.long 0x4 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_1,RGX_CR_PM_PAGE_MANAGEOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x2B0++0xF
line.long 0x0 "RGX_CR_PM_CONTEXT_PB_BASE_0,RGX_CR_PM_CONTEXT_PB_BASE_0"
hexmask.long 0x0 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x0 0.--2. "CMP,Defines whether the TA/3D/HOST contexts are using the same parameter buffer. Setting a bit to '1' indicates that the context is using a different parameter buffer. Bit 0 = 0 : Unified Free List 3D context Parameter buffer = Unified Free List TA.." "MMU Free List 3D context Parameter buffer = MMU..,?,?,?,?,?,?,?"
line.long 0x4 "RGX_CR_PM_CONTEXT_PB_BASE_1,RGX_CR_PM_CONTEXT_PB_BASE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x8 "RGX_CR_PM_MLIST0_START_OF_0,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TAIL,allocation List 0 tail pointer"
line.long 0xC "RGX_CR_PM_MLIST0_START_OF_1,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2C0++0x7
line.long 0x0 "RGX_CR_PM_MLIST0_STATUS_0,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST0_STATUS_1,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2C8++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_START_OF_0,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,start of the allocation list 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_START_OF_1,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2D0++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_STATUS_0,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,mmu allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_STATUS_1,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2D8++0xF
line.long 0x0 "RGX_CR_PM_MLIST0_BASE_0,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long 0x0 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MLIST0_BASE_1,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
line.long 0x8 "RGX_CR_PM_MLIST1_BASE_0,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_MLIST1_BASE_1,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
rgroup.long 0x2F8++0x27
line.long 0x0 "RGX_CR_PM_VCE_VTOP_STATUS_0,RGX_CR_PM_VCE_VTOP_STATUS_0"
hexmask.long.word 0x0 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "OP,Virtual Page Pointer for the VCE 16KB granauality"
line.long 0x4 "RGX_CR_PM_VCE_VTOP_STATUS_1,RGX_CR_PM_VCE_VTOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x8 "RGX_CR_PM_TE_VTOP_STATUS_0,RGX_CR_PM_TE_VTOP_STATUS_0"
hexmask.long.word 0x8 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x8 0.--19. 1. "OP,Virtual Page Pointer for the TE 16KB granauality"
line.long 0xC "RGX_CR_PM_TE_VTOP_STATUS_1,RGX_CR_PM_TE_VTOP_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x10 "RGX_CR_PM_OUTOF_MEM_SRC_0,RGX_CR_PM_OUTOF_MEM_SRC_0"
hexmask.long 0x10 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x10 0.--2. "OP,one hot encoding indicating which part of resource runs out of memory bit 0: normal ta free list bit 1: unified ta free list bit 2: mmu free list" "normal ta free list,unified ta free list,mmu free list,?,?,?,?,?"
line.long 0x14 "RGX_CR_PM_OUTOF_MEM_SRC_1,RGX_CR_PM_OUTOF_MEM_SRC_1"
hexmask.long 0x14 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x18 "RGX_CR_PM_ALIST_VTOP_STATUS_0,RGX_CR_PM_ALIST_VTOP_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,Virtual Page Pointer for the allocation list 16KB granauality"
line.long 0x1C "RGX_CR_PM_ALIST_VTOP_STATUS_1,RGX_CR_PM_ALIST_VTOP_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_PM_MMU_VTOP_STATUS_0,RGX_CR_PM_MMU_VTOP_STATUS_0"
hexmask.long.word 0x20 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x20 0.--19. 1. "OP,Virtual Page Pointer for the MMU 4KB granauality"
line.long 0x24 "RGX_CR_PM_MMU_VTOP_STATUS_1,RGX_CR_PM_MMU_VTOP_STATUS_1"
hexmask.long 0x24 0.--31. 1. "Reserved_20,Reserved_20"
wgroup.long 0x320++0xF
line.long 0x0 "RGX_CR_PM_OUTOFMEM_ABORTALL_0,RGX_CR_PM_OUTOFMEM_ABORTALL_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Instruct the PM to Deny the TE allocation outstanding on Out Of Memory" "0,1"
line.long 0x4 "RGX_CR_PM_OUTOFMEM_ABORTALL_1,RGX_CR_PM_OUTOFMEM_ABORTALL_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_OUTOFMEM_RESTART_0,RGX_CR_PM_OUTOFMEM_RESTART_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Restart the PM after an Out of Memory and Abort sequence" "0,1"
line.long 0xC "RGX_CR_PM_OUTOFMEM_RESTART_1,RGX_CR_PM_OUTOFMEM_RESTART_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x330++0x7
line.long 0x0 "RGX_CR_PM_REQUESTING_SOURCE_0,RGX_CR_PM_REQUESTING_SOURCE_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "OP,Requesting source when out of memory. Bit 1 : VCE Bit 0 : TE" "TE,VCE,?,?"
line.long 0x4 "RGX_CR_PM_REQUESTING_SOURCE_1,RGX_CR_PM_REQUESTING_SOURCE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x338++0xF
line.long 0x0 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_0,RGX_CR_PM_PARTIAL_RENDER_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Partial Render Enable Bit" "0,1"
line.long 0x4 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_1,RGX_CR_PM_PARTIAL_RENDER_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0"
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 0.--4. 1. "OP,This register defines the deallocation behaviour of the PM: value > 2 is only for debug on ZLS mode 0 it can only set less than 2 0: PM will free the macrotile memory as soon as it is possible 1: PM only free one mtile for each traverse 2: PM only.."
line.long 0xC "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
rgroup.long 0x348++0xF
line.long 0x0 "RGX_CR_PM_TA_FSTACK_STATUS_0,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TOP,This status register indicated the ta context free list pointer status."
line.long 0x4 "RGX_CR_PM_TA_FSTACK_STATUS_1,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_FSTACK_STATUS_0,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TOP,This status register indicated the 3D context free list status"
line.long 0xC "RGX_CR_PM_3D_FSTACK_STATUS_1,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x358++0x7
line.long 0x0 "RGX_CR_PM_RESERVE_PAGES_0,RGX_CR_PM_RESERVE_PAGES_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "OP,This register defines the guard page required for one VCE/TE allocation. The requirement is set by the number of ppages needed to create the ALIST nodes when a vpage is closed. The MMU requirement is fixed at 3 ppages max for creatig a new ALIST.."
line.long 0x4 "RGX_CR_PM_RESERVE_PAGES_1,RGX_CR_PM_RESERVE_PAGES_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
rgroup.long 0x360++0x17
line.long 0x0 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_0,RGX_CR_PM_DEALLOCATED_MASK_STATUS_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "TOP,This status register contains a bitmask of the macrotiles freed at this point in the render"
line.long 0x4 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_1,RGX_CR_PM_DEALLOCATED_MASK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x8 "RGX_CR_PM_DEALLOCATING_MASK_STATUS_0,RGX_CR_PM_DEALLOCATING_MASK_STATUS_0"
hexmask.long.word 0x8 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x8 0.--15. 1. "TOP,This status register indicates the mtile mask being freed at the current traverse"
line.long 0xC "RGX_CR_PM_DEALLOCATING_MASK_STATUS_1,RGX_CR_PM_DEALLOCATING_MASK_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x10 "RGX_CR_PM_PDS_MTILEFREE_STATUS_0,RGX_CR_PM_PDS_MTILEFREE_STATUS_0"
hexmask.long.word 0x10 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x10 0.--16. 1. "OP,This status register indicates the macrotile number of the PDSs current macrotile free request"
line.long 0x14 "RGX_CR_PM_PDS_MTILEFREE_STATUS_1,RGX_CR_PM_PDS_MTILEFREE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x378++0x7
line.long 0x0 "RGX_CR_PM_TA_FREE_CONTEXT_0,RGX_CR_PM_TA_FREE_CONTEXT_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,free the ta context register operation" "0,1"
line.long 0x4 "RGX_CR_PM_TA_FREE_CONTEXT_1,RGX_CR_PM_TA_FREE_CONTEXT_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x380++0x7
line.long 0x0 "RGX_CR_PM_3D_TIMEOUT_NOW_0,RGX_CR_PM_3D_TIMEOUT_NOW_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,free the 3D context" "0,1"
line.long 0x4 "RGX_CR_PM_3D_TIMEOUT_NOW_1,RGX_CR_PM_3D_TIMEOUT_NOW_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x388++0x7
line.long 0x0 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_0,RGX_CR_PM_3D_DEALLOCATE_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,3D deallocate enable mode" "0,1"
line.long 0x4 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_1,RGX_CR_PM_3D_DEALLOCATE_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x390)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_TACONTEXT_$1,RGX_CR_PM_START_OF_TACONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of TA pages(4KB) on loading of the TA context"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x398)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_3DCONTEXT_$1,RGX_CR_PM_START_OF_3DCONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of 3D pages(4KB) on loading of the TA context"
repeat.end
rgroup.long 0x3A0++0x2F
line.long 0x0 "RGX_CR_PM_TA_PAGE_STATUS_0,RGX_CR_PM_TA_PAGE_STATUS_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "OP,The number of TA pages currently allocated"
line.long 0x4 "RGX_CR_PM_TA_PAGE_STATUS_1,RGX_CR_PM_TA_PAGE_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_PAGE_STATUS_0,RGX_CR_PM_3D_PAGE_STATUS_0"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "OP,The number of 3D pages currently allocated"
line.long 0xC "RGX_CR_PM_3D_PAGE_STATUS_1,RGX_CR_PM_3D_PAGE_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x10 "RGX_CR_PM_VCE_INFLIGHT_STATUS_0,RGX_CR_PM_VCE_INFLIGHT_STATUS_0"
hexmask.long.word 0x10 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x10 0.--19. 1. "OP,The Virtual Page Number in flight in the VCE Requestor"
line.long 0x14 "RGX_CR_PM_VCE_INFLIGHT_STATUS_1,RGX_CR_PM_VCE_INFLIGHT_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x18 "RGX_CR_PM_TE_INFLIGHT_STATUS_0,RGX_CR_PM_TE_INFLIGHT_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,The Virtual Page Number in flight in the TE Requestor"
line.long 0x1C "RGX_CR_PM_TE_INFLIGHT_STATUS_1,RGX_CR_PM_TE_INFLIGHT_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_BIFPM_IDLE_0,RGX_CR_BIFPM_IDLE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "MCU_L0_MEMIF,MCU L0 MEMIF Module IDLE" "0,1"
newline
bitfld.long 0x20 5. "PBE,PBE Module IDLE" "0,1"
newline
bitfld.long 0x20 4. "MCU_L0_PDSRW,MCU L0 PDSRW Module IDLE" "0,1"
newline
bitfld.long 0x20 3. "MCU_L1,MCU L1 Module IDLE" "0,1"
newline
bitfld.long 0x20 2. "USCS,USC Shared Module IDLE" "0,1"
newline
bitfld.long 0x20 1. "PM,PM Module IDLE" "0,1"
newline
bitfld.long 0x20 0. "BIF256,BIF256 Module IDLE" "0,1"
line.long 0x24 "RGX_CR_BIFPM_IDLE_1,RGX_CR_BIFPM_IDLE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_SIDEKICK_IDLE_0,RGX_CR_SIDEKICK_IDLE_0"
hexmask.long 0x28 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x28 6. "FB_CDC,FB CDC Module IDLE" "0,1"
newline
bitfld.long 0x28 5. "MMU,MMU Module IDLE" "0,1"
newline
bitfld.long 0x28 4. "BIF128,BIF128 Module IDLE" "0,1"
newline
bitfld.long 0x28 3. "TLA,TLA Module IDLE" "0,1"
newline
bitfld.long 0x28 2. "GARTEN,GARTEN Module IDLE" "0,1"
newline
bitfld.long 0x28 1. "HOSTIF,HOSTIF Module IDLE" "0,1"
newline
bitfld.long 0x28 0. "SOCIF,SOCIF Module IDLE" "0,1"
line.long 0x2C "RGX_CR_SIDEKICK_IDLE_1,RGX_CR_SIDEKICK_IDLE_1"
hexmask.long 0x2C 0.--31. 1. "Reserved_7,Reserved_7"
group.long 0x3D0++0x17
line.long 0x0 "RGX_CR_PM_CONTEXT_ID_0,RGX_CR_PM_CONTEXT_ID_0"
hexmask.long.byte 0x0 25.--31. 1. "Reserved_25,Reserved_25"
newline
bitfld.long 0x0 24. "MLIS_ALLOC,MMU page List (TE VCE aligned with this context )Allocation Context ID" "0,1"
newline
hexmask.long.byte 0x0 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "LS,Load Store Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "DALLOC,DeAllocation Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ALLOC,Allocation Context ID for the allocation list" "0,1"
line.long 0x4 "RGX_CR_PM_CONTEXT_ID_1,RGX_CR_PM_CONTEXT_ID_1"
hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x4 8. "MLIS_LS,MMU page List (TE VCE aligned with this context )Load Store Context ID" "0,1"
newline
hexmask.long.byte 0x4 1.--7. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MLIS_DALLOC,MMU page List (TE VCE aligned with this context )DeAllocation Context ID" "0,1"
line.long 0x8 "RGX_CR_PM_3D_RENDER_TARGET_INDEX_0,RGX_CR_PM_3D_RENDER_TARGET_INDEX_0"
hexmask.long.tbyte 0x8 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x8 0.--10. 1. "ID,Render Target ID which is being rendered"
line.long 0xC "RGX_CR_PM_3D_RENDER_TARGET_INDEX_1,RGX_CR_PM_3D_RENDER_TARGET_INDEX_1"
hexmask.long 0xC 0.--31. 1. "Reserved_11,Reserved_11"
line.long 0x10 "RGX_CR_PM_3D_RENDER_TARGET_LAST_0,RGX_CR_PM_3D_RENDER_TARGET_LAST_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ID,If this bit is set this means the render will be the last one in the whole render target array. If no multiple render target array is present this bit always needs set" "0,1"
line.long 0x14 "RGX_CR_PM_3D_RENDER_TARGET_LAST_1,RGX_CR_PM_3D_RENDER_TARGET_LAST_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x3E8++0x17
line.long 0x0 "RGX_CR_PM_LOCK_STATUS_0,RGX_CR_PM_LOCK_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "TD,Bit 1: 3D free list Lock Status. 0 idle/ 1 used" "0,1"
newline
bitfld.long 0x0 0. "TA,Bit 0: TA free list Lock Status. 0 idle/ 1 used." "TA free list Lock Status,?"
line.long 0x4 "RGX_CR_PM_LOCK_STATUS_1,RGX_CR_PM_LOCK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PM_LOCK_OWNER_0,RGX_CR_PM_LOCK_OWNER_0"
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "TD,Bit 1 :3D free list Lock owner. 0 PMA / 1 PMD" "0,1"
newline
bitfld.long 0x8 0. "TA,Bit 0: TA free list Lock Owner. 0 PMA / 1 PMD." "TA free list Lock Owner,?"
line.long 0xC "RGX_CR_PM_LOCK_OWNER_1,RGX_CR_PM_LOCK_OWNER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x10 "RGX_CR_PM_IDLE_STATUS_0,RGX_CR_PM_IDLE_STATUS_0"
hexmask.long.tbyte 0x10 8.--31. 1. "Reserved_8,Reserved_8"
newline
bitfld.long 0x10 7. "PMD_BIF,Idle Status Register of the PMD module bif state machine" "0,1"
newline
bitfld.long 0x10 6. "PMD_FRE,Idle Status Register of the PMD module master state machine" "0,1"
newline
bitfld.long 0x10 5. "BIF,Idle Status Register of the BIF Interface default" "0,1"
newline
bitfld.long 0x10 4. "BARB,Idle Status Register of the BIF Arbiter state BAR" "0,1"
newline
bitfld.long 0x10 3. "AMAN,Idle Status Register of the Alist state machine" "0,1"
newline
bitfld.long 0x10 2. "STA,Idle Status Register of the Stack Manager Modul" "0,1"
newline
bitfld.long 0x10 1. "PMD,Idle Status Register of the PMD module" "0,1"
newline
bitfld.long 0x10 0. "PMA,Idle Status Register of the PMA module" "0,1"
line.long 0x14 "RGX_CR_PM_IDLE_STATUS_1,RGX_CR_PM_IDLE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_8,Reserved_8"
wgroup.long 0x400++0x7
line.long 0x0 "RGX_CR_VDM_START_0,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start VDM" "0,1"
line.long 0x4 "RGX_CR_VDM_START_1,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x408++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_BASE_0,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_BASE_1,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
rgroup.long 0x410++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_CURRENT_0,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_CURRENT_1,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned address"
group.long 0x418++0x17
line.long 0x0 "RGX_CR_VDM_CALL_STACK_POINTER_0,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long 0x0 3.--31. 1. "ADDR,1TB range 64-bit aligned base address"
newline
bitfld.long 0x0 0.--2. "Reserved_0,Reserved_0" "0,1,2,3,4,5,6,7"
line.long 0x4 "RGX_CR_VDM_CALL_STACK_POINTER_1,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 64-bit aligned base address"
line.long 0x8 "RGX_CR_VDM_BATCH_0,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long.tbyte 0x8 14.--31. 1. "Reserved_14,Reserved_14"
newline
hexmask.long.word 0x8 0.--13. 1. "NUMBER,NUMBER"
line.long 0xC "RGX_CR_VDM_BATCH_1,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long 0xC 0.--31. 1. "Reserved_14,Reserved_14"
line.long 0x10 "RGX_CR_VDM_CONTEXT_STATE_BASE_0,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_VDM_CONTEXT_STATE_BASE_1,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x430++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 4.--7. 1. "LAST_PIPE,The TA pipe number to which the VDM last sent indices"
newline
bitfld.long 0x0 2.--3. "Reserved_2,Reserved_2" "0,1,2,3"
newline
bitfld.long 0x0 1. "NEED_RESUME,The VDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The VDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x438)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK0_$1,These words define the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Their.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x440)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK1_$1,This word defines the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Its.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x448)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK2_$1,These words defines the Stream Out Sync program which will be inserted into the VDM pipeline as a PPP State Update on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x450)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK0_$1,These words define the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x458)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK1_$1,This word defines the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x460)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK2_$1,These words defines the Stream Out Sync program which will be written. as a PPP State Update. by the VDM to its context resume control stream on a context store operation The function of this task is described in the.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
wgroup.long 0x468++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_START_0,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_START_1,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x470++0x7
line.long 0x0 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_0,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x0 4.--31. 1. "ADDR,ADDR"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_1,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x478++0x7
line.long 0x0 "RGX_CR_CDM_START_0,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start CDM" "0,1"
line.long 0x4 "RGX_CR_CDM_START_1,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x480++0x7
line.long 0x0 "RGX_CR_CDM_CTRL_STREAM_BASE_0,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_CDM_CTRL_STREAM_BASE_1,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
wgroup.long 0x488++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_0,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_1,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x490++0xF
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_0,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,PENDING" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_1,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_CDM_CONTEXT_STATE_BASE_0,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_CDM_CONTEXT_STATE_BASE_1,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x4A0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "NEED_RESUME,The CDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The CDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4A8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4B0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4B8)++0x3
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS_$1,This register contains the PDS Code and Data Addresses for the Terminate Program. This program is sent to PDS on Context Store after the Context Store Program"
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Terminate Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4C0++0x7
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS1_0,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store Terminate" "0,1"
line.long 0x4 "RGX_CR_CDM_TERMINATE_PDS1_1,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4D8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4E0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
group.long 0x600++0x7
line.long 0x0 "RGX_CR_PDS_CTRL_0,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long.byte 0x0 24.--31. 1. "MAX_NUM_CDM_TASKS,The maximum number of compute tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 16.--23. 1. "MAX_NUM_PDM_TASKS,The maximum number of pixel tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 8.--15. 1. "MAX_NUM_VDM_TASKS,The maximum number of vertex tasks (VS HS GS when Tess not enabled) allowed on each USC range 0 to 39 (Note reduced range to prevent Pixel/VDM system deadlock)"
newline
hexmask.long.byte 0x0 0.--7. 1. "MAX_NUM_TDM_TASKS,The maximum number of fastrender tasks allowed on each USC range 0 to 48"
line.long 0x4 "RGX_CR_PDS_CTRL_1,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x608++0x7
line.long 0x0 "RGX_CR_PDS_USC_COLLATOR_0,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Clusters Resource Collator" "0,1"
line.long 0x4 "RGX_CR_PDS_USC_COLLATOR_1,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x610++0x1F
line.long 0x0 "RGX_CR_PDS_EXEC_BASE_0,Base Address in memory where the PDS programs are located"
hexmask.long.word 0x0 20.--31. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_EXEC_BASE_1,Base Address in memory where the PDS programs are located"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
line.long 0x8 "RGX_CR_EVENT_PIXEL_PDS_CODE_0,RGX_CR_EVENT_PIXEL_PDS_CODE_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_PIXEL_PDS_CODE_1,RGX_CR_EVENT_PIXEL_PDS_CODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_PIXEL_PDS_DATA_0,RGX_CR_EVENT_PIXEL_PDS_DATA_0"
hexmask.long 0x10 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_EVENT_PIXEL_PDS_DATA_1,RGX_CR_EVENT_PIXEL_PDS_DATA_1"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x18 "RGX_CR_EVENT_PIXEL_PDS_INFO_0,RGX_CR_EVENT_PIXEL_PDS_INFO_0"
hexmask.long.tbyte 0x18 15.--31. 1. "Reserved_15,Reserved_15"
newline
hexmask.long.byte 0x18 9.--14. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x18 5.--8. 1. "TEMP_STRIDE,PDS Temp Size in 128 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x18 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x1C "RGX_CR_EVENT_PIXEL_PDS_INFO_1,RGX_CR_EVENT_PIXEL_PDS_INFO_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_15,Reserved_15"
wgroup.long 0x630++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_0,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Common Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_1,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x638++0xF
line.long 0x0 "RGX_CR_PDS_MAX_CSRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long.byte 0x0 27.--31. 1. "Reserved_27,Reserved_27"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Common Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in Common Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Common Store"
line.long 0x4 "RGX_CR_PDS_MAX_CSRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long 0x4 0.--31. 1. "Reserved_27,Reserved_27"
line.long 0x8 "RGX_CR_PDS_CSRM_MAX_COEFF_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x8 1.--5. 1. "LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to use for Coefficients before wrapping"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Coefficient Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_CSRM_MAX_COEFF_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_6,Reserved_6"
wgroup.long 0x648++0x7
line.long 0x0 "RGX_CR_PDS_USRM_0,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_1,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x650++0xF
line.long 0x0 "RGX_CR_PDS_MAX_USRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long.word 0x0 18.--31. 1. "Reserved_18,Reserved_18"
newline
hexmask.long.word 0x0 9.--17. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Unified Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Unified Store"
line.long 0x4 "RGX_CR_PDS_MAX_USRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long 0x4 0.--31. 1. "Reserved_18,Reserved_18"
line.long 0x8 "RGX_CR_PDS_USRM_MAX_TEMP_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 1.--4. 1. "LINE,Max Line for use as Temporaries"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_USRM_MAX_TEMP_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
wgroup.long 0x660++0x7
line.long 0x0 "RGX_CR_PDS_UVSRM_0,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Vertex Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_UVSRM_1,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x668++0x7
line.long 0x0 "RGX_CR_MCU_FBTC_ICTRL_0,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "COMP_DM,When set to 1 all entries in the tile cache that have been tagged as a compute data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 2. "VERTEX_DM,When set to 1 all entries in the tile cache that have been tagged as a vertex data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 1. "PIXEL_DM,When set to 1 all entries in the tile cache that have been tagged as a pixel data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 0. "PENDING,When written to 1 will invalidate the header cache in the FBDC when read as 1 invalidate is in progress when read as 0 invalidate is complete" "0,1"
line.long 0x4 "RGX_CR_MCU_FBTC_ICTRL_1,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
wgroup.long 0x670++0x7
line.long 0x0 "RGX_CR_PDS_STORERM_0,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_STORERM_1,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x678++0x27
line.long 0x0 "RGX_CR_PDS_MAX_STORERM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.byte 0x0 27.--31. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in PDS Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in PDS Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in PDS Store"
line.long 0x4 "RGX_CR_PDS_MAX_STORERM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0x4 4.--31. 1. "Reserved_36,Reserved_36"
newline
hexmask.long.byte 0x4 0.--3. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
line.long 0x8 "RGX_CR_PDS_STORERM_MAX_TEMP_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x8 1.--3. "LINE,Temporaries are allocated from Line 0 upwards this is the maximum Line to use for Temporaries before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_STORERM_MAX_TEMP_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x10 "RGX_CR_PDS_ICC_INVAL_0,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x10 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x10 5. "FASTRENDER_PENDING,PDS Instruction Cache Fastrender (DM 6) has been invalidated" "0,1"
newline
bitfld.long 0x10 3.--4. "Reserved_3,Reserved_3" "0,1,2,3"
newline
bitfld.long 0x10 2. "COMPUTE_PENDING,PDS Instruction Cache Compute (DM 2) has been invalidated" "0,1"
newline
bitfld.long 0x10 1. "PIXEL_PENDING,PDS Instruction Cache Pixel (DM 1) has been invalidated" "0,1"
newline
bitfld.long 0x10 0. "VERTEX_PENDING,PDS Instruction Cache Vertex (DM 0) has been invalidated" "0,1"
line.long 0x14 "RGX_CR_PDS_ICC_INVAL_1,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x14 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x18 "RGX_CR_PDS_MCU_REQ_CTRL_0,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 2.--3. "SMODE,SLC cache policy to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
newline
bitfld.long 0x18 0.--1. "CMODE,Cache Mode to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
line.long 0x1C "RGX_CR_PDS_MCU_REQ_CTRL_1,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_CSRM_MIN_SHARED_0,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x20 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x20 1.--5. 1. "LINE,Shared are allocated from top line downwards this is the minimum Line to use for Shared Registers before wrapping"
newline
bitfld.long 0x20 0. "LINE_ENABLE,Enable Min Shared Register Line Limit" "0,1"
line.long 0x24 "RGX_CR_PDS_CSRM_MIN_SHARED_1,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x24 0.--31. 1. "Reserved_6,Reserved_6"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A0)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A8)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x6B0++0x37
line.long 0x0 "RGX_CR_PDS_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0x8 23.--31. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 16.--22. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 10.--15. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 4.--9. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words"
newline
hexmask.long.byte 0x8 0.--3. 1. "PDS_TEMPSIZE,0 = 0 128 bit words 1 = 1 128 bit word this applies to coefficient uniform and varying state"
line.long 0xC "RGX_CR_PDS_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined)"
newline
hexmask.long.word 0xC 14.--22. 1. "Reserved_46,Reserved_46"
newline
hexmask.long.word 0xC 0.--13. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
line.long 0x10 "RGX_CR_PDS_USRM_MIN_ATTR_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x10 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x10 1.--4. 1. "LINE,Min Line for use for Attributes"
newline
bitfld.long 0x10 0. "LINE_ENABLE,Enable Min Attributes Line Limit" "0,1"
line.long 0x14 "RGX_CR_PDS_USRM_MIN_ATTR_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x14 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x18 "RGX_CR_PDS_STORERM_MIN_CONST_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 1.--3. "LINE,Constants are allocated from Line 7 down this is the minimum Line to use for Constants before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x18 0. "LINE_ENABLE,Enable Min Constants Line Limit" "0,1"
line.long 0x1C "RGX_CR_PDS_STORERM_MIN_CONST_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_PIXELMERGE_0,RGX_CR_PDS_PIXELMERGE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "TASK_DISABLE,Disable pixel merging within a whole pixel fragment task" "0,1"
newline
bitfld.long 0x20 5. "DISABLE,Disable pixel merging within each 2x2 pixel block of a pixel fragment task" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "GRADLIMIT,Gradient difference limit for PDS PP pixel merging"
line.long 0x24 "RGX_CR_PDS_PIXELMERGE_1,RGX_CR_PDS_PIXELMERGE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_PDS_CSRM_USC_DEBUG_0,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x28 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x28 0.--4. 1. "SIZE,Amount of Space (in 512-bit Allocation Regions) to allocate to USC Debug Space on a Shared Allocation."
line.long 0x2C "RGX_CR_PDS_CSRM_USC_DEBUG_1,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x2C 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x30 "RGX_CR_PDS_CSRM_DISABLE_0,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x30 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x30 2. "COEFF_SLIDE,Disable Slide of Coeff Allocations on Failure" "0,1"
newline
bitfld.long 0x30 1. "SHARED_SLIDE,Disable Slide of Shared Allocations on Failure" "0,1"
newline
bitfld.long 0x30 0. "PARTITIONS,Disable Partition Space Reservation in the CSRM" "0,1"
line.long 0x34 "RGX_CR_PDS_CSRM_DISABLE_1,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x34 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x6E8++0x7
line.long 0x0 "RGX_CR_HUB_IDLE_0,RGX_CR_HUB_IDLE_0"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "TDM,TDM Module IDLE" "0,1"
newline
bitfld.long 0x0 2. "CDM,CDM Module IDLE" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM Module IDLE" "0,1"
newline
bitfld.long 0x0 0. "PDS,PDS Module IDLE" "0,1"
line.long 0x4 "RGX_CR_HUB_IDLE_1,RGX_CR_HUB_IDLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x6F0)++0x3
line.long 0x0 "RGX_CR_HUB_PWR_$1,RGX_CR_HUB_PWR_0"
hexmask.long 0x0 0.--31. 1. "NUM_PDS_INST,Number of PDS instructions"
repeat.end
group.long 0x700++0xF
line.long 0x0 "RGX_CR_PDS_PASSGROUP_0,RGX_CR_PDS_PASSGROUP_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "FORCE_PT,Force the use of Hard SDs between punchthrough or depth feedback type passes" "0,1"
newline
bitfld.long 0x0 0. "ENABLE,Enable pass group optimisation within USC by replacing USC Hard SDs with USC Soft SDs for all pass groups in the PDS PP." "0,1"
line.long 0x4 "RGX_CR_PDS_PASSGROUP_1,RGX_CR_PDS_PASSGROUP_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "ENABLE,Enable thread barrier support in the PDS CDM_RR." "0,1"
line.long 0xC "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x720++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_SETUP_0,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "HALF,Top line is prefilled half full" "0,1"
newline
hexmask.long.byte 0x0 1.--4. 1. "MAX_LINE,(Lower 4 bits of) Maximum Line within the CSRM that can be allocated to Shared Registers/Coefficients"
newline
bitfld.long 0x0 0. "ENABLE,Enable use of this register to set the Maximum Line the CSRM can allocate on behalf of the USC Common Store" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_SETUP_1,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x738++0x7
line.long 0x0 "RGX_CR_PDS_USRM_DISABLE_0,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TEMP_SLIDE,Disable Slide of Temp Allocations on Failure" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_DISABLE_1,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x788++0xF
line.long 0x0 "RGX_CR_PDS_CSRM_PIXEL_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "MAX_LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to reserve for ONLY PDM Coefficients. The Max line of this region is set in the PDS_CSRM_MAX_COEFF register"
newline
bitfld.long 0x0 0. "MODE_ENABLE,Enable PIXEL RESERVE MODE in the PDS CSRM" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_PIXEL_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x8 "RGX_CR_PDS_MAX_STORERM_CHUNKS2_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x8 0.--8. 1. "TDM,Max Number of Allocation Regions to Allocate to the Fastrender Data Master in PDS Store"
line.long 0xC "RGX_CR_PDS_MAX_STORERM_CHUNKS2_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
group.long 0x800++0x67
line.long 0x0 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_0,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "ARB_PRIO_MODE,Priority mode for external memory access arbiter between GPU scheduler and SLC" "0,1,2,3"
line.long 0x4 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_1,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_FENCE_0,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "SYS_FENCE_ID,reserved address identifier for system fence events" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_FENCE_1,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_MIPS_WRAPPER_CONFIG_0,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.byte 0x10 28.--31. 1. "Reserved_28,Reserved_28"
newline
bitfld.long 0x10 25.--27. "OS_ID,The default OS_ID of the firmware" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x10 24. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x10 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x10 16. "BOOT_ISA_MODE,MIPS boot up mode. When set to 0 boot from MIPS32 mode or set to 1 to boot in microMIPS mode" "0,1"
newline
hexmask.long.word 0x10 0.--15. 1. "REGBANK_BASE_ADDR,16-bit aligned address that identifies rgx register bank transactions emitted from the MIPS core"
line.long 0x14 "RGX_CR_MIPS_WRAPPER_CONFIG_1,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.tbyte 0x14 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x14 8. "FW_IDLE_ENABLE,Set to 0x0 overwrites the value of GPU_IDLE to 0x0 set to 0x1 makes GPU Idle dependent on top level idles" "0,1"
newline
hexmask.long.byte 0x14 2.--7. 1. "Reserved_34,Reserved_34"
newline
bitfld.long 0x14 1. "DISABLE_BOOT,Stop the MIPS from boot-up even after a soft reset is triggered" "0,1"
newline
bitfld.long 0x14 0. "L2_CACHE_OFF,Turn off the L2 cache within the MIPS wrapper" "0,1"
line.long 0x18 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x18 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x18 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x1C "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x1C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x20 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x20 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x20 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x24 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x24 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x24 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x28 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x28 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x28 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x28 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x2C "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x2C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x30 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x30 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x30 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x30 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x34 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x34 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x34 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x38 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x38 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x38 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x38 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x3C "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x3C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x40 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x40 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x40 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x40 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x44 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x44 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x44 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x48 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x48 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x48 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x48 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x4C "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x50 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x50 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x50 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x50 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x54 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x54 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x54 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x58 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x58 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x58 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x58 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x5C "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x5C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x60 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x60 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x60 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x60 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x64 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x64 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x64 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
rgroup.long 0x868++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_0,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 0.--31. 1. "ADDRESS,Unmapped MIPS physical address"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_1,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "EVENT,An address from the MIPS was not remapped to a new range in the GPU" "0,1"
wgroup.long 0x870++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_0,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the unmapped exception event" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_1,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x878++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
bitfld.long 0x0 6. "Reserved_6,Reserved_6" "0,1"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,Select remap entry to configure. Valid range of Entry 0-31"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configure the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
wgroup.long 0x880++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_0,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,MIPS address remap entry to read"
newline
bitfld.long 0x0 0. "REQUEST,Issue a read request to the MIPS address remap range entries" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_1,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
rgroup.long 0x888++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_0,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
hexmask.long.byte 0x0 1.--6. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configures the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_1,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
group.long 0x8A0++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send interrupts to HOST" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "EVENT,Indicates an outstanding interrupt to HOST from RGX firmware" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8B0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the interrupt event to HOST from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8B8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send non-maskable interrupts to MIPS" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8C0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TRIGGER,Issue a non-maskable interrupt to the MIPS SI_NMI pin from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8C8++0x7
line.long 0x0 "RGX_CR_MIPS_DEBUG_CONFIG_0,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "DISABLE_PROBE_DEBUG,Enable MIPS SecureDebug. Disables EJTAG access to the MIPS core and PC Sampling" "0,1"
line.long 0x4 "RGX_CR_MIPS_DEBUG_CONFIG_1,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8D0++0x7
line.long 0x0 "RGX_CR_MIPS_EXCEPTION_STATUS_0,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "SI_SLEEP,Reflects the status of the MIPS SI_Sleep pin" "0,1"
newline
bitfld.long 0x0 4. "SI_NMI_TAKEN,Reflects the status of the MIPS SI_NMITaken pin" "0,1"
newline
bitfld.long 0x0 3. "SI_NEST_EXL,Reflects the status of the MIPS SI_NESTEXL pin" "0,1"
newline
bitfld.long 0x0 2. "SI_NEST_ERL,Reflects the status of the MIPS SI_NESTERL pin" "0,1"
newline
bitfld.long 0x0 1. "SI_EXL,Reflects the status of the MIPS SI_EXL pin" "0,1"
newline
bitfld.long 0x0 0. "SI_ERL,Reflects the status of the MIPS SI_ERL pin" "0,1"
line.long 0x4 "RGX_CR_MIPS_EXCEPTION_STATUS_1,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x8D8++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_0,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
newline
hexmask.long.byte 0x0 4.--11. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 1.--3. "OS_ID,OS_ID of the emitted fence" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "TRUSTED,Defines whether these accesses are trusted" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_1,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_0,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,MIPS wrapper L2 cache is being invalidated" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_1,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8E8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_STATUS_0,This register contains status information of the MIPS GPU scheduler."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "OUTSTANDING_REQUESTS,Outstanding requests by the MIPS"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_STATUS_1,This register contains status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x900++0x17
line.long 0x0 "RGX_CR_EVENT_TDM_PDS_CODE_0,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x0 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_EVENT_TDM_PDS_CODE_1,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_EVENT_TDM_PDS_DATA_0,RGX_CR_EVENT_TDM_PDS_DATA_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_TDM_PDS_DATA_1,RGX_CR_EVENT_TDM_PDS_DATA_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_TDM_PDS_INFO_0,RGX_CR_EVENT_TDM_PDS_INFO_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x10 10.--15. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x10 5.--9. 1. "TEMP_STRIDE,PDS Temp Size in 64 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x10 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x14 "RGX_CR_EVENT_TDM_PDS_INFO_1,RGX_CR_EVENT_TDM_PDS_INFO_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x918)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x920)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x928++0xF
line.long 0x0 "RGX_CR_PDS_TDM_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_TDM_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.byte 0x8 27.--31. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
newline
hexmask.long.byte 0x8 21.--26. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words 0=0. 32 max"
newline
hexmask.long.byte 0x8 15.--20. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.byte 0x8 9.--14. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.word 0x8 0.--8. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words 0=0. 256 max"
line.long 0xC "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "Reserved_55,Reserved_55"
newline
hexmask.long.word 0xC 14.--22. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined) 256 chunks max"
newline
hexmask.long.byte 0xC 9.--13. 1. "PDS_TEMPSIZE,0 = 0 64 bit words 1 = 1 64 bit word 248 bytes. This applies to coefficient uniform and texture state updates."
newline
hexmask.long.word 0xC 0.--8. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
group.long 0xB00++0xF
line.long 0x0 "RGX_CR_MTS_SCHEDULE_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_PROC_COMPLETE_0,This register allows firmware tasks to signal process completion."
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x8 0. "THREAD,Thread number. This is filled in by hardware and can have any value" "0,1"
line.long 0xC "RGX_CR_MTS_PROC_COMPLETE_1,This register allows firmware tasks to signal process completion."
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
rgroup.long 0xB10++0x1F
line.long 0x0 "RGX_CR_MTS_BGCTX_SBDATA0_0,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_BGCTX_SBDATA0_1,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_BGCTX_SBDATA1_0,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x8 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x8 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x8 0.--3. 1. "DM,DataMaster Type"
line.long 0xC "RGX_CR_MTS_BGCTX_SBDATA1_1,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x10 "RGX_CR_MTS_INTCTX_SBDATA0_0,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x10 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x10 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x10 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x14 "RGX_CR_MTS_INTCTX_SBDATA0_1,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x14 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x14 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x14 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x14 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
line.long 0x18 "RGX_CR_MTS_INTCTX_SBDATA1_0,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x18 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x18 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x18 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x1C "RGX_CR_MTS_INTCTX_SBDATA1_1,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x1C 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x1C 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x1C 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x1C 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
group.long 0xB30++0x27
line.long 0x0 "RGX_CR_MTS_BGCTX_THREAD0_DM_ASSOC_0,This register is the DataMaster assocation for the background context of thread 0. Bit 0 = No System Bus Security 1 = System Bus Restricted
1 = System Bus..,?"
line.long 0x4 "RGX_CR_SYS_BUS_SECURE_1,Setting this register secures the IMG Configuration Registers from the System Bus. In secure mode all registers have read access only by default. When secure mode is being set. the register must be read back to confirm that the.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA500)++0x3
line.long 0x0 "RGX_CR_FB_CDC_V3_$1,Framebuffer constant detection configuraton registers"
hexmask.long.byte 0x0 24.--31. 1. "FBC_FBDC_UV_VAL1,video pixel format constant value"
newline
hexmask.long.byte 0x0 16.--23. 1. "FBC_FBDC_Y_VAL1,video pixel format constant value"
newline
hexmask.long.byte 0x0 8.--15. 1. "FBC_FBDC_UV_VAL0,video pixel format constant value"
newline
hexmask.long.byte 0x0 0.--7. 1. "FBC_FBDC_Y_VAL0,video pixel format constant value"
repeat.end
group.long 0xA508++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_0,FBCDC corrupt tile filter register"
hexmask.long 0x0 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x0 1.--4. 1. "CLEAR,Clear corrupt tile filter status 1 bit per requester"
newline
bitfld.long 0x0 0. "ENABLE,Enable corrupt tile filter" "0,1"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_1,FBCDC corrupt tile filter register"
hexmask.long 0x4 0.--31. 1. "Reserved_5,Reserved_5"
rgroup.long 0xA510++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_0,FBCDC corrupt tile filter register"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
hexmask.long.byte 0x0 0.--3. 1. "FBC_FBDC_CR_FILTER_STATUS,Status of corrupt tile filter 1 bit per requester"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_1,FBCDC corrupt tile filter register"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
group.long 0xA518++0x7
line.long 0x0 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_0,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EN,Enable FBC_FBDC mode V3_1 for FBCDC formats defaults to V3" "0,1"
line.long 0x4 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_1,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA520)++0x3
line.long 0x0 "RGX_CR_FBCDC_CC_$1,RGX_CR_FBCDC_CC_0"
hexmask.long.byte 0x0 24.--31. 1. "CH3_VAL0,Constant colour detected value for channel 3."
newline
hexmask.long.byte 0x0 16.--23. 1. "CH2_VAL0,Constant colour detected value for channel 2."
newline
hexmask.long.byte 0x0 8.--15. 1. "CH1_VAL0,Constant colour detected value for channel 1."
newline
hexmask.long.byte 0x0 0.--7. 1. "CH0_VAL0,Constant colour detected value for channel 0."
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xA528)++0x3
line.long 0x0 "RGX_CR_FBCDC_CC_YUV_$1,RGX_CR_FBCDC_CC_YUV_0"
hexmask.long.byte 0x0 26.--31. 1. "Reserved_26,Reserved_26"
newline
hexmask.long.word 0x0 16.--25. 1. "UV_VAL0,Constant colour detected value uv-plane."
newline
hexmask.long.byte 0x0 10.--15. 1. "Reserved_10,Reserved_10"
newline
hexmask.long.word 0x0 0.--9. 1. "Y_VAL0,Constant colour detected value y-plane."
repeat.end
group.long 0xB000++0x7
line.long 0x0 "RGX_CR_PIPELINE_STATS_ENABLE_0,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing."
hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "_3D,_3D" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TA,TA" "0,1"
line.long 0x4 "RGX_CR_PIPELINE_STATS_ENABLE_1,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing."
hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17"
wgroup.long 0xB008++0x7
line.long 0x0 "RGX_CR_PIPELINE_STATS_CLEAR_0,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick"
hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "_3D,_3D" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TA,TA" "0,1"
line.long 0x4 "RGX_CR_PIPELINE_STATS_CLEAR_1,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick"
hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB010)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_VERTICES_$1,Number of vertices the Input Assembly stage generated (not subtracting any caching)"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB018)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_PRIMITIVES_$1,Number of primitives the Input Assembly stage generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB020)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_VS_INVOCATIONS_$1,Number of times the Vertex Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB038)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_INVOCATIONS_$1,Number of times the Geometry Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB040)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_PRIMITIVES_$1,Number of primitives the Geometry Shader generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB048)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_C_INVOCATIONS_$1,Number of times the Clipper is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB050)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_C_PRIMITIVES_$1,Number of primitives the Clipper generated"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB058)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_PS_INVOCATIONS_$1,Number of times the Pixel Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xB060)++0x3
line.long 0x0 "RGX_CR_PIPELINE_STATS_CS_INVOCATIONS_$1,Number of times the Compute Shader is executed"
hexmask.long 0x0 0.--31. 1. "COUNT,COUNT"
repeat.end
rgroup.long 0xE000++0x7
line.long 0x0 "RGX_CR_CACHE_CFI_EVENT_0,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.."
hexmask.long.word 0x0 16.--31. 1. "MCU_L0_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L0 cache (there can be up to 16 MCU L0 caches depending on the number of clusters)"
newline
hexmask.long.word 0x0 0.--15. 1. "MADD_PENDING,1 Indicates there is a pending global CFI operation on the specified MADD Texture cache (there can be up to 16 MADD caches depending on the number of clusters)"
line.long 0x4 "RGX_CR_CACHE_CFI_EVENT_1,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.."
hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x4 8. "SLC_PENDING,1 Indicates there is a pending global CFI operation on the SLC cache" "0,1"
newline
hexmask.long.byte 0x4 0.--7. 1. "MCU_L1_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L1 cache (there can be up to 8 MCU L1 caches depending on the number of clusters)"
group.long 0xE138++0x7
line.long 0x0 "RGX_CR_MMU_CTRL_INVAL_0,MMU invalidation control registers"
hexmask.long.tbyte 0x0 12.--31. 1. "Reserved_12,Reserved_12"
newline
bitfld.long 0x0 11. "ALL_CONTEXTS,When ALL_CONTEXTS is set all context ids get invalidated (global invalidation)" "0,1"
newline
hexmask.long.byte 0x0 3.--10. 1. "CONTEXT,When ALL_CONTEXTS is not set this field specifies the context id to be invalidated (per-context invalidation)"
newline
bitfld.long 0x0 2. "PC,Invalidates PC PD & PT" "0,1"
newline
bitfld.long 0x0 1. "PD,Invalidates PD & PT" "0,1"
newline
bitfld.long 0x0 0. "PT,Invalidates PT" "0,1"
line.long 0x4 "RGX_CR_MMU_CTRL_INVAL_1,MMU invalidation control registers"
hexmask.long 0x4 0.--31. 1. "Reserved_12,Reserved_12"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xF100)++0x3
line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_$1,Power Monitoring registers for the FBCDC"
hexmask.long 0x0 0.--31. 1. "FBDC,Number of accesses to the FBDC per clock"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xF108)++0x3
line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_MCU_$1,Power Monitoring registers for the FBCDC"
hexmask.long 0x0 0.--31. 1. "FBTC,Number of accesses to the MCU FBTC per clock"
repeat.end
rgroup.long 0xF220++0xF
line.long 0x0 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_0,Blackpearl BIF return FIFO word count"
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x0 0.--8. 1. "COUNTER,COUNTER"
line.long 0x4 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_1,Blackpearl BIF return FIFO word count"
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_0,Jones BIF return FIFO word count"
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x8 0.--8. 1. "COUNTER,COUNTER"
line.long 0xC "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_1,Jones BIF return FIFO word count"
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
group.long 0xF258++0x7
line.long 0x0 "RGX_CR_TDM_GRIDOFFSET_0,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 4.--7. 1. "GRID_Y,Unsigned sub-pixel offset"
newline
hexmask.long.byte 0x0 0.--3. 1. "GRID_X,Unsigned sub-pixel offset"
line.long 0x4 "RGX_CR_TDM_GRIDOFFSET_1,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0xF260)++0x3
line.long 0x0 "RGX_CR_TDM_MULTISAMPLECTL_$1,Sample position grid offset for use in 2x. 4x. 8xMSAA and ODAA modes."
hexmask.long.byte 0x0 28.--31. 1. "MSAA_Y3,Unsigned sub-pixel offset for the 4th multisample Y position"
newline
hexmask.long.byte 0x0 24.--27. 1. "MSAA_X3,Unsigned sub-pixel offset for the 4th multisample X position"
newline
hexmask.long.byte 0x0 20.--23. 1. "MSAA_Y2,Unsigned sub-pixel offset for the 3rd multisample Y position"
newline
hexmask.long.byte 0x0 16.--19. 1. "MSAA_X2,Unsigned sub-pixel offset for the 3rd multisample X position"
newline
hexmask.long.byte 0x0 12.--15. 1. "MSAA_Y1,Unsigned sub-pixel offset for the 2nd multisample Y position"
newline
hexmask.long.byte 0x0 8.--11. 1. "MSAA_X1,Unsigned sub-pixel offset for the 2nd multisample X position"
newline
hexmask.long.byte 0x0 4.--7. 1. "MSAA_Y0,Unsigned sub-pixel offset for the 1st multisample Y position"
newline
hexmask.long.byte 0x0 0.--3. 1. "MSAA_X0,Unsigned sub-pixel offset for the 1st multisample X position"
repeat.end
group.long 0xF268++0x7
line.long 0x0 "RGX_CR_USC_CODE_BASE_2D_0,RGX_CR_USC_CODE_BASE_2D_0"
hexmask.long 0x0 6.--31. 1. "ADDR,2D Data Master Code Base Register bits"
newline
hexmask.long.byte 0x0 0.--5. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_USC_CODE_BASE_2D_1,RGX_CR_USC_CODE_BASE_2D_1"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,2D Data Master Code Base Register bits"
group.long 0x10B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE1_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE1_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x10B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX1_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX1_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX1_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX1_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x10BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x10BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x20B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE2_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE2_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x20B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX2_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX2_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX2_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX2_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x20BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x20BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x30B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE3_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE3_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x30B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX3_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX3_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX3_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX3_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x30BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x30BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x40B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE4_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE4_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x40B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX4_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX4_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX4_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX4_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x40BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x40BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x50B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE5_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE5_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x50B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX5_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX5_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX5_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX5_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x50BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x50BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x60B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE6_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE6_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x60B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX6_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX6_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX6_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX6_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x60BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x60BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x70B00++0x7
line.long 0x0 "RGX_CR_MTS_SCHEDULE7_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE7_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
rgroup.long 0x70B98++0x17
line.long 0x0 "RGX_CR_MTS_INTCTX7_0,This register contains the sideband data for the MTS internal interrupt context registers"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests"
newline
hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests"
newline
hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests"
line.long 0x4 "RGX_CR_MTS_INTCTX7_1,This register contains the sideband data for the MTS internal interrupt context registers"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
line.long 0x8 "RGX_CR_MTS_BGCTX7_0,This register contains the sideband data for the MTS internal background context registers"
hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request"
line.long 0xC "RGX_CR_MTS_BGCTX7_1,This register contains the sideband data for the MTS internal background context registers"
hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_0,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3"
newline
hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2"
newline
hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1"
newline
hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0"
line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_1,This register contains the sideband data for the MTS internal counted background context counters"
hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5"
newline
hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4"
rgroup.long 0x70BD8++0x7
line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x70BE8++0x7
line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_CLEAR_0,This register clears a per-OS host interrupt."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1"
line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_CLEAR_1,This register clears a per-OS host interrupt."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
tree.end
tree "GPU2"
base ad:0x34E00000
group.long 0x0++0x7
line.long 0x0 "RGX_CR_CLK_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 28.--29. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1,2,3"
newline
bitfld.long 0x0 26.--27. "MCU_L0,MCU_L0" "0,1,2,3"
newline
bitfld.long 0x0 24.--25. "TPU,TPU" "0,1,2,3"
newline
bitfld.long 0x0 22.--23. "Reserved_22,Reserved_22" "0,1,2,3"
newline
bitfld.long 0x0 20.--21. "USC,USC" "0,1,2,3"
newline
bitfld.long 0x0 18.--19. "Reserved_18,Reserved_18" "0,1,2,3"
newline
bitfld.long 0x0 16.--17. "SLC,SLC" "0,1,2,3"
newline
bitfld.long 0x0 14.--15. "UVS,UVS" "0,1,2,3"
newline
bitfld.long 0x0 12.--13. "PDS,PDS" "0,1,2,3"
newline
bitfld.long 0x0 10.--11. "VDM,VDM" "0,1,2,3"
newline
bitfld.long 0x0 8.--9. "PM,PM" "0,1,2,3"
newline
bitfld.long 0x0 6.--7. "GPP,GPP" "0,1,2,3"
newline
bitfld.long 0x0 4.--5. "TE,TE" "0,1,2,3"
newline
bitfld.long 0x0 2.--3. "TSP,TSP" "0,1,2,3"
newline
bitfld.long 0x0 0.--1. "ISP,ISP" "0,1,2,3"
line.long 0x4 "RGX_CR_CLK_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be set to.."
hexmask.long.byte 0x4 28.--31. 1. "Reserved_60,Reserved_60"
newline
bitfld.long 0x4 26.--27. "FBC,FBC" "0,1,2,3"
newline
bitfld.long 0x4 24.--25. "FBDC,FBDC" "0,1,2,3"
newline
bitfld.long 0x4 22.--23. "FB_TLCACHE,FB_TLCACHE" "0,1,2,3"
newline
bitfld.long 0x4 20.--21. "USCS,USCS" "0,1,2,3"
newline
bitfld.long 0x4 18.--19. "PBE,PBE" "0,1,2,3"
newline
bitfld.long 0x4 16.--17. "MCU_L1,MCU_L1" "0,1,2,3"
newline
bitfld.long 0x4 14.--15. "CDM,CDM" "0,1,2,3"
newline
bitfld.long 0x4 12.--13. "SIDEKICK,SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 10.--11. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1,2,3"
newline
bitfld.long 0x4 8.--9. "BIF,BIF" "0,1,2,3"
newline
hexmask.long.byte 0x4 0.--7. 1. "Reserved_30,Reserved_30"
rgroup.long 0x8++0x7
line.long 0x0 "RGX_CR_CLK_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 28. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 27. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 26. "USCS,USCS" "0,1"
newline
bitfld.long 0x0 25. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 24. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 23. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 22. "SIDEKICK,SIDEKICK" "0,1"
newline
bitfld.long 0x0 21. "BIF_SIDEKICK,BIF_SIDEKICK" "0,1"
newline
bitfld.long 0x0 20. "BIF,BIF" "0,1"
newline
hexmask.long.byte 0x0 15.--19. 1. "Reserved_15,Reserved_15"
newline
bitfld.long 0x0 14. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 13. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 12. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 11. "Reserved_11,Reserved_11" "0,1"
newline
bitfld.long 0x0 10. "USC,USC" "0,1"
newline
bitfld.long 0x0 9. "Reserved_9,Reserved_9" "0,1"
newline
bitfld.long 0x0 8. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 7. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 6. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 5. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 4. "PM,PM" "0,1"
newline
bitfld.long 0x0 3. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 2. "TE,TE" "0,1"
newline
bitfld.long 0x0 1. "TSP,TSP" "0,1"
newline
bitfld.long 0x0 0. "ISP,ISP" "0,1"
line.long 0x4 "RGX_CR_CLK_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MCU_FBTC,MCU_FBTC" "0,1"
rgroup.long 0x18++0x7
line.long 0x0 "RGX_CR_PRODUCT_ID_0,Reports the product ID Product ID Register"
hexmask.long.word 0x0 16.--31. 1. "IMG_PRODUCT_ID,IMG Product ID"
newline
hexmask.long.word 0x0 0.--15. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PRODUCT_ID_1,Reports the product ID Product ID Register"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x20)++0x3
line.long 0x0 "RGX_CR_CORE_ID_$1,Reports the product ID Core ID Register"
hexmask.long.word 0x0 16.--31. 1. "NUMBER_OF_SCALABLE_UNITS,N - Number of scalable Units"
newline
hexmask.long.word 0x0 0.--15. 1. "CONFIG_ID,C - Config ID"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x28)++0x3
line.long 0x0 "RGX_CR_CORE_IP_INTEGRATOR_ID_$1,Reports the product ID Core IP Integrator ID Register"
hexmask.long 0x0 0.--31. 1. "VALUE,IP company ID/Designer"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x30)++0x3
line.long 0x0 "RGX_CR_CORE_IP_CHANGELIST_$1,Reports the version control ID Core IP Changelist Register"
hexmask.long 0x0 0.--31. 1. "VALUE,Version control ID"
repeat.end
group.long 0x38++0x7
line.long 0x0 "RGX_CR_POWER_EVENT_0,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long.byte 0x0 24.--31. 1. "Reserved_24,Reserved_24"
newline
hexmask.long.word 0x0 8.--23. 1. "DOMAIN,sets which power island is enabled for the current power event request; bit0:jones bit1-8:dusts bit9-12:blackpearls"
newline
hexmask.long.byte 0x0 2.--7. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "REQ,Set when a power event operation is requested" "0,1"
newline
bitfld.long 0x0 0. "TYPE,The requested power event operation" "0,1"
line.long 0x4 "RGX_CR_POWER_EVENT_1,Allows the firmware to request a power-up or power-down operation to an external (to Rogue) power-management controller When the power event operation is accepted. the firmware will either receive an.."
hexmask.long 0x4 0.--31. 1. "Reserved_24,Reserved_24"
group.long 0x50++0x7
line.long 0x0 "RGX_CR_DUSTS_ENABLE_0,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_ENABLE_1,Controls how many Dual Unified Shading and Texturing Units (DUSTs) are enabled. Each DUST Contain 2 USCs and a TPU module. (Available on 2. X.X.X cores and above). Only applicable in cores with a B.V.N.C > 2.0.0.0 Controls how many.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
rgroup.long 0x58++0x7
line.long 0x0 "RGX_CR_DUSTS_FUSE_0,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "ENABLE,Dusts enabled"
line.long 0x4 "RGX_CR_DUSTS_FUSE_1,Indicates how many of the available DUST modules are enabled on the Silicon. (Available on 2.X.X.X cores and above). Shows the raw value of dusts_enable port."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x80++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_CTRL_0,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x0 0.--31. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_CLK_XTPLUS_CTRL_1,Core Module Clock Control Modes. Allows individual domain clocks to be forced off. forced on or operate under automatic pipeline activity based clock gating. This register is generally controlled by the GPU firmware and should be.."
hexmask.long 0x4 6.--31. 1. "Reserved_38,Reserved_38"
newline
bitfld.long 0x4 4.--5. "TDM,TDM" "0,1,2,3"
newline
bitfld.long 0x4 2.--3. "ASTC,ASTC" "0,1,2,3"
newline
bitfld.long 0x4 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
rgroup.long 0x88++0x7
line.long 0x0 "RGX_CR_CLK_XTPLUS_STATUS_0,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long.tbyte 0x0 11.--31. 1. "Reserved_11,Reserved_11"
newline
bitfld.long 0x0 10. "TDM,TDM" "0,1"
newline
bitfld.long 0x0 9. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 8. "COMPUTE,COMPUTE" "0,1"
newline
bitfld.long 0x0 7. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x0 6. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x0 5. "VERTEX,VERTEX" "0,1"
newline
bitfld.long 0x0 4. "Reserved_4,Reserved_4" "0,1"
newline
bitfld.long 0x0 3. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x0 2. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x0 1. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 0. "GEOMETRY,GEOMETRY" "0,1"
line.long 0x4 "RGX_CR_CLK_XTPLUS_STATUS_1,Reports the current module clock status Clock gating state reflects the condition of the clock for each module"
hexmask.long 0x4 0.--31. 1. "Reserved_11,Reserved_11"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE0)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_GRAY_$1,This register contains the value of a 64-bit external gray coded timer. (Available on 2.X.X.X cores and above)."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0xE8)++0x3
line.long 0x0 "RGX_CR_SOC_TIMER_BINARY_$1,This register contains the value of a 64-bit external binary coded timer."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
repeat.end
group.long 0x100++0xF
line.long 0x0 "RGX_CR_SOFT_RESET_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x0 31. "RASCAL_CORE,Note that the RASL_CORE bit affects logic related to the reading and writing of registers. This soft reset should therefore be used with caution. Upon power down events it is necessary to reset every register so this bit should be used but.." "0,1"
newline
bitfld.long 0x0 30. "DUST_B_CORE,DUST_B_CORE" "0,1"
newline
bitfld.long 0x0 29. "DUST_A_CORE,DUST_A_CORE" "0,1"
newline
bitfld.long 0x0 28. "FB_TLCACHE,FB_TLCACHE" "0,1"
newline
bitfld.long 0x0 27. "SLC,SLC" "0,1"
newline
bitfld.long 0x0 26. "Reserved_26,Reserved_26" "0,1"
newline
bitfld.long 0x0 25. "UVS,UVS" "0,1"
newline
bitfld.long 0x0 24. "TE,TE" "0,1"
newline
bitfld.long 0x0 23. "GPP,GPP" "0,1"
newline
bitfld.long 0x0 22. "FBDC,FBDC" "0,1"
newline
bitfld.long 0x0 21. "FBC,FBC" "0,1"
newline
bitfld.long 0x0 20. "PM,PM" "0,1"
newline
bitfld.long 0x0 19. "PBE,PBE" "0,1"
newline
bitfld.long 0x0 18. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x0 17. "MCU_L1,MCU_L1" "0,1"
newline
bitfld.long 0x0 16. "BIF,Bifpmcache BIF" "0,1"
newline
bitfld.long 0x0 15. "CDM,CDM" "0,1"
newline
bitfld.long 0x0 14. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 13. "Reserved_13,Reserved_13" "0,1"
newline
bitfld.long 0x0 12. "PDS,PDS" "0,1"
newline
bitfld.long 0x0 11. "ISP,ISP" "0,1"
newline
bitfld.long 0x0 10. "TSP,TSP" "0,1"
newline
hexmask.long.byte 0x0 5.--9. 1. "Reserved_5,Reserved_5"
newline
bitfld.long 0x0 4. "TPU_MCU_DEMUX,TPU_MCU_DEMUX" "0,1"
newline
bitfld.long 0x0 3. "MCU_L0,MCU_L0" "0,1"
newline
bitfld.long 0x0 2. "TPU,TPU" "0,1"
newline
bitfld.long 0x0 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x0 0. "USC,USC" "0,1"
line.long 0x4 "RGX_CR_SOFT_RESET_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
bitfld.long 0x4 29.--31. "Reserved_61,Reserved_61" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x4 28. "JONES_CORE,JONES_CORE" "0,1"
newline
bitfld.long 0x4 27. "TILING_CORE,TILING_CORE" "0,1"
newline
bitfld.long 0x4 26. "TE3,TE3" "0,1"
newline
bitfld.long 0x4 25. "VCE,VCE" "0,1"
newline
bitfld.long 0x4 24. "VBS,VBS" "0,1"
newline
hexmask.long.byte 0x4 20.--23. 1. "Reserved_52,Reserved_52"
newline
bitfld.long 0x4 19. "FB_CDC,FB_CDC" "0,1"
newline
bitfld.long 0x4 17.--18. "Reserved_49,Reserved_49" "0,1,2,3"
newline
bitfld.long 0x4 16. "MCU_FBTC,MCU_FBTC" "0,1"
newline
hexmask.long.word 0x4 3.--15. 1. "Reserved_35,Reserved_35"
newline
bitfld.long 0x4 2. "MMU,MMU" "0,1"
newline
bitfld.long 0x4 1. "Reserved_33,Reserved_33" "0,1"
newline
bitfld.long 0x4 0. "GARTEN,Includes MTS and META or MIPS" "0,1"
line.long 0x8 "RGX_CR_SOFT_RESET2_0,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long.tbyte 0x8 12.--31. 1. "Reserved_12,Reserved_12"
newline
bitfld.long 0x8 11. "TDM,TDM" "0,1"
newline
bitfld.long 0x8 10. "ASTC,ASTC" "0,1"
newline
bitfld.long 0x8 9. "BLACKPEARL,BLACKPEARL" "0,1"
newline
bitfld.long 0x8 8. "Reserved_8,Reserved_8" "0,1"
newline
bitfld.long 0x8 7. "IPF,IPF" "0,1"
newline
bitfld.long 0x8 6. "GEOMETRY,GEOMETRY" "0,1"
newline
bitfld.long 0x8 5. "USC_SHARED,USC_SHARED" "0,1"
newline
bitfld.long 0x8 4. "PDS_SHARED,PDS_SHARED" "0,1"
newline
bitfld.long 0x8 3. "BIF_BLACKPEARL,BIF_BLACKPEARL" "0,1"
newline
bitfld.long 0x8 2. "PIXEL,PIXEL" "0,1"
newline
bitfld.long 0x8 1. "Reserved_1,Reserved_1" "0,1"
newline
bitfld.long 0x8 0. "VERTEX,VERTEX" "0,1"
line.long 0xC "RGX_CR_SOFT_RESET2_1,Core soft reset control register. Write a '1' to reset and a '0' to clear See the soft reset section in the TRM to understand how to use the soft reset register."
hexmask.long 0xC 0.--31. 1. "Reserved_12,Reserved_12"
group.long 0x120++0x17
line.long 0x0 "RGX_CR_CONTEXT_SWITCH_ENABLE_0,The use of the this register has been deprecated."
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "SOFT_RESET,SOFT_RESET" "0,1"
newline
bitfld.long 0x0 2. "IPF,IPF" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM" "0,1"
newline
bitfld.long 0x0 0. "CDM,CDM" "0,1"
line.long 0x4 "RGX_CR_CONTEXT_SWITCH_ENABLE_1,The use of the this register has been deprecated."
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x8 "RGX_CR_EVENT_ENABLE_0,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
bitfld.long 0x8 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x8 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x8 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x8 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x8 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x8 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x8 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x8 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x8 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x8 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x8 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x8 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x8 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x8 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x8 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x8 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x8 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x8 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x8 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x8 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x8 0. "Reserved_0,Reserved_0" "0,1"
line.long 0xC "RGX_CR_EVENT_ENABLE_1,This register is used to enable GPU interrupts directly to the host Writing a '1' to a bit field enables the relevant event This register should only be programmed when the META firmware is not used If the META firmware is used. the.."
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_STATUS_0,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
bitfld.long 0x10 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x10 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x10 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x10 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x10 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x10 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x10 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x10 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x10 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x10 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x10 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x10 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x10 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x10 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x10 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x10 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x10 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x10 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x10 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x10 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x10 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x14 "RGX_CR_EVENT_STATUS_1,The event status register indicate the source of an interrupt generated by PowerVR RGX These events only schedule an interrupt context thread to run on META when the appropriate enables are set in RGX_CR_DMn_INTERRUPT_ENABLE"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x138++0x7
line.long 0x0 "RGX_CR_EVENT_CLEAR_0,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
bitfld.long 0x0 31. "TDM_FENCE_FINISHED,Indicates a 2D fence has been processed" "0,1"
newline
bitfld.long 0x0 30. "TDM_BUFFER_STALL,The TDM has exhausted the current 2D circular buffer (read pointer = write_pointer)" "0,1"
newline
hexmask.long.word 0x0 19.--29. 1. "Reserved_19,Reserved_19"
newline
bitfld.long 0x0 18. "TDM_CONTEXT_STORE_FINISHED,The TDM has completed the requested context store operation." "0,1"
newline
bitfld.long 0x0 16.--17. "Reserved_16,Reserved_16" "0,1,2,3"
newline
bitfld.long 0x0 15. "USC_TRIGGER,One or more USC has executed a nop.trigger instruction" "0,1"
newline
bitfld.long 0x0 14. "ZLS_FINISHED,ZLS has finished all tiles in a Render" "0,1"
newline
bitfld.long 0x0 13. "GPIO_ACK,General Purpose ouput acknowledgement" "0,1"
newline
bitfld.long 0x0 12. "GPIO_REQ,General Purpose input request" "0,1"
newline
bitfld.long 0x0 11. "POWER_ABORT,The requested power operation has been denied." "0,1"
newline
bitfld.long 0x0 10. "POWER_COMPLETE,The requested power operation has completed" "0,1"
newline
bitfld.long 0x0 9. "MMU_PAGE_FAULT,An MMU page fault has occurred" "0,1"
newline
bitfld.long 0x0 8. "PM_3D_MEM_FREE,PM memory allocation completed for the current render" "0,1"
newline
bitfld.long 0x0 7. "PM_OUT_OF_MEMORY,PM memory allocation failed for a macro-tile" "0,1"
newline
bitfld.long 0x0 6. "TA_TERMINATE,The TE has aborted a macro tile after a failted PM allocation request" "0,1"
newline
bitfld.long 0x0 5. "TA_FINISHED,The TA phase has completed" "0,1"
newline
bitfld.long 0x0 4. "ISP_END_MACROTILE,ISP End-of-Macrotile" "0,1"
newline
bitfld.long 0x0 3. "PIXELBE_END_RENDER,The 3D phase has completed" "0,1"
newline
bitfld.long 0x0 2. "COMPUTE_FINISHED,The compute phase has completed" "0,1"
newline
bitfld.long 0x0 1. "KERNEL_FINISHED,A compute kernel has completed and updated the associated event object in external memory" "0,1"
newline
bitfld.long 0x0 0. "Reserved_0,Reserved_0" "0,1"
line.long 0x4 "RGX_CR_EVENT_CLEAR_1,This register is used to clear event interrupts. Writing a '1' to a bit field clears the relevant event."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
group.long 0x140++0xF
line.long 0x0 "RGX_CR_GPIO_OUTPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The data the firmware wants to transfer"
line.long 0x4 "RGX_CR_GPIO_OUTPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware These registers are connected to top-level pins These registers are driven by the firmware. When the.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
line.long 0x8 "RGX_CR_GPIO_OUTPUT_REQ_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "REQ,Set when the firmware wants to communicate with a external HW" "0,1"
line.long 0xC "RGX_CR_GPIO_OUTPUT_REQ_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by the firmware. When the request.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x150++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_DATA_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "DATA,The incoming data from HW external to Rogue"
line.long 0x4 "RGX_CR_GPIO_INPUT_DATA_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to top-level pins This register is driven by HW external to rogue before.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x158++0x7
line.long 0x0 "RGX_CR_GPIO_INPUT_ACK_0,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ACK,Set by the firmware when it has acknowledged the incoming request" "0,1"
line.long 0x4 "RGX_CR_GPIO_INPUT_ACK_1,General Purpose Input/Output interface for implementing communication protocol between HW external to Rogue and the firmware This register is connected to a top-level pin This register is driven by external HW in response to an.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x160++0x7
line.long 0x0 "RGX_CR_TIMER_0,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
hexmask.long 0x0 0.--31. 1. "VALUE,VALUE"
line.long 0x4 "RGX_CR_TIMER_1,This register contains the value of a 48-bit internal timer. The timer runs continuously. and wraps at the top end. It counts 256 cycles at the core clock frequency. This means that at 100 MHz: 1 count value = 1/100MHz = 256 * 10 * 10^-9.."
bitfld.long 0x4 31. "BIT31,BIT31" "0,1"
newline
hexmask.long.word 0x4 16.--30. 1. "Reserved_48,Reserved_48"
newline
hexmask.long.word 0x4 0.--15. 1. "VALUE,VALUE"
group.long 0x168++0x7
line.long 0x0 "RGX_CR_AXI_EXACCESS_0,AXI exclusive access enable register"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "SOCIF_ENABLE,enable the exclusive access logic in the socif img_axi2img. vhd module" "0,1"
line.long 0x4 "RGX_CR_AXI_EXACCESS_1,AXI exclusive access enable register"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x190++0x17
line.long 0x0 "RGX_CR_PM_TASK_MLIST_LOAD_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write to this register will cause the MLIST pointer to be loaded from either PM_MLIST0_START_OF or PM_MLIST1_START_OF depending upon the Context ID contained in PM_CONTEXT_ID_MLS_LS. A read to this register return '1' until this operation has.." "0,1"
line.long 0x4 "RGX_CR_PM_TASK_MLIST_LOAD_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_MLIST_CLEAR_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write to this register will cause the MLIST pointer to be reset to 0. A read to this register return '1' until this operation has completed." "0,1"
line.long 0xC "RGX_CR_PM_TASK_MLIST_CLEAR_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). The MLIST is the list of Pages which have been allocated to thew MMU. to map.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_MAX_RENDER_TARGET_0,This register is deprecated and has no function."
hexmask.long.tbyte 0x10 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x10 0.--10. 1. "ID,If used the software should program this with the maximum render target array index used within the Scene"
line.long 0x14 "RGX_CR_PM_TA_MAX_RENDER_TARGET_1,This register is deprecated and has no function."
hexmask.long 0x14 0.--31. 1. "Reserved_11,Reserved_11"
rgroup.long 0x1A8++0x7
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_0,This register is deprecated and has no function."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,OP" "0,1"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_REORDER_VALID_STATUS_1,This register is deprecated and has no function."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x1B0++0x1F
line.long 0x0 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ID,When set enable freeing of unused pages during TA phase" "0,1"
line.long 0x4 "RGX_CR_PM_EMPTY_PAGE_FAST_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register enables an optimisation which causes pages which have.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_MMU_REMAP_PENDING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Pending status register corresponding to the MMU remapping operation it will become '1' when written and deassert when the operation complete." "0,1"
line.long 0xC "RGX_CR_PM_MMU_REMAP_PENDING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). This register starts the process of remapping the remaining physical pages at.."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_PBE_FORCE_FREEING_0,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ENABLE,When this bit is set PM will free all the 3D context Memory when a genuine pixelbe end of render is received." "0,1"
line.long 0x14 "RGX_CR_PM_PBE_FORCE_FREEING_1,This register is part of the parameter management. which controls the allocation and de-allocation of memory for the 3D display list (parameters). Normally freeing of memory is associated with macrotiles (a portion of the.."
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_PDS_STARTOF_MTILEFREE_0,RGX_CR_PM_PDS_STARTOF_MTILEFREE_0"
hexmask.long.word 0x18 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x18 0.--16. 1. "OP,This startof register indicates the macrotile number of the PDSs current macrotile free request needs to be programmed by FW on a render start"
line.long 0x1C "RGX_CR_PM_PDS_STARTOF_MTILEFREE_1,RGX_CR_PM_PDS_STARTOF_MTILEFREE_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x200++0x1F
line.long 0x0 "RGX_CR_PM_TASK_3D_FREE_LOAD_0,RGX_CR_PM_TASK_3D_FREE_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,A write into this register will cause the 3D free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_3D_FREE_LOAD_1,RGX_CR_PM_TASK_3D_FREE_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_TA_FREE_LOAD_0,RGX_CR_PM_TASK_TA_FREE_LOAD_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,A write into this register will cause the TA free list context to be loaded from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_TA_FREE_LOAD_1,RGX_CR_PM_TASK_TA_FREE_LOAD_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_TA_FSTACK_BASE_0,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_PM_TA_FSTACK_BASE_1,Effective on load TA context. this register defines the base address of the free list stack being referenced during TA processing."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for TA context page tables in the DPM module"
line.long 0x18 "RGX_CR_PM_3D_FSTACK_BASE_0,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long 0x18 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
newline
hexmask.long.byte 0x18 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x1C "RGX_CR_PM_3D_FSTACK_BASE_1,Effective on load 3D context. this register defines the base address of the free list table being referenced in the process of de-allocating pages during a 3D render."
hexmask.long.tbyte 0x1C 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x1C 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for 3D context page tables in the DPM module"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x220)++0x3
line.long 0x0 "RGX_CR_PM_TA_FSTACK_$1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
repeat.end
group.long 0x230++0x7
line.long 0x0 "RGX_CR_PM_3D_FSTACK_0,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.word 0x0 22.--31. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
newline
hexmask.long.tbyte 0x0 0.--21. 1. "SIZE,This register defines the number of 4K pages in the free list stack used for the TA page allocation effective on a load TA context."
line.long 0x4 "RGX_CR_PM_3D_FSTACK_1,Note: each 16GB 4KB addressable page (22 bits) is rounded to dword aligned"
hexmask.long.tbyte 0x4 12.--31. 1. "Reserved_44,Reserved_44"
newline
hexmask.long.word 0x4 0.--11. 1. "STARTOF_TOP,This register defines the head pointer of the free list in terms of 4K free pages in the free list stack effective on a load TA context."
group.long 0x240++0x2F
line.long 0x0 "RGX_CR_PM_MTILE_ARRAY_0,Effective Immediately."
hexmask.long 0x0 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MTILE_ARRAY_1,Effective Immediately."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Mtile Array Base"
line.long 0x8 "RGX_CR_PM_VHEAP_TABLE_0,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long 0x8 4.--31. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_VHEAP_TABLE_1,Effective immediately. this register defines the base address of the virtual heap table information. The size of the Virtual Heap needs to be no smaller than PM_VHEAP_TABLE_SIZE Dwords."
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "BASE_ADDR,1TB Addressable 128 bits aligned Base Address for Virtual Heap Table"
line.long 0x10 "RGX_CR_PM_TASK_VHEAP_LOAD_0,RGX_CR_PM_TASK_VHEAP_LOAD_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "PENDING,Causes the vheap to be loaded as specified by the relevant configuration registers when it is done the hw will clear this bit" "0,1"
line.long 0x14 "RGX_CR_PM_TASK_VHEAP_LOAD_1,RGX_CR_PM_TASK_VHEAP_LOAD_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x18 "RGX_CR_PM_TASK_VHEAP_CLEAR_0,RGX_CR_PM_TASK_VHEAP_CLEAR_0"
hexmask.long 0x18 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "PENDING,Causes the vheap to be cleared as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x1C "RGX_CR_PM_TASK_VHEAP_CLEAR_1,RGX_CR_PM_TASK_VHEAP_CLEAR_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x20 "RGX_CR_PM_TASK_VHEAP_STORE_0,RGX_CR_PM_TASK_VHEAP_STORE_0"
hexmask.long 0x20 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x20 0. "PENDING,Causes the vheap to be stored as specified by the relevant configuration registers. When it is done the hw will clear this bit" "0,1"
line.long 0x24 "RGX_CR_PM_TASK_VHEAP_STORE_1,RGX_CR_PM_TASK_VHEAP_STORE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x28 "RGX_CR_PM_ALIST0_START_OF_0,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x28 0.--31. 1. "TAIL,allocation List 0 tail pointer"
line.long 0x2C "RGX_CR_PM_ALIST0_START_OF_1,start pointer of the allocation list tail.in size of 8 bytes"
hexmask.long 0x2C 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x2C 0. "TAIL,allocation List 0 tail pointer" "0,1"
rgroup.long 0x270++0x7
line.long 0x0 "RGX_CR_PM_ALIST0_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST0_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x278++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_START_OF_0,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x0 0.--31. 1. "TAIL,start of the allocation list tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_START_OF_1,start of the tail pointer of current allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,start of the allocation list tail pointer" "0,1"
rgroup.long 0x280++0x7
line.long 0x0 "RGX_CR_PM_ALIST1_STATUS_0,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x0 0.--31. 1. "TAIL,allocation List tail pointer"
line.long 0x4 "RGX_CR_PM_ALIST1_STATUS_1,pointer of current allocation list.in size of 8 bytes"
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "TAIL,allocation List tail pointer" "0,1"
group.long 0x288++0x1F
line.long 0x0 "RGX_CR_PM_TASK_ALIST_LOAD_0,RGX_CR_PM_TASK_ALIST_LOAD_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,the write to this register will cause allocation list to be loaded from the relevant configuration registers" "0,1"
line.long 0x4 "RGX_CR_PM_TASK_ALIST_LOAD_1,RGX_CR_PM_TASK_ALIST_LOAD_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_TASK_ALIST_CLEAR_0,RGX_CR_PM_TASK_ALIST_CLEAR_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,the write to this register will causes the allocation list to be cleard from the relevant configuration registers" "0,1"
line.long 0xC "RGX_CR_PM_TASK_ALIST_CLEAR_1,RGX_CR_PM_TASK_ALIST_CLEAR_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x10 0.--15. 1. "OP,This is the start of the mask PM deallocation will be based on. Normally it is 0. However in ISP context resume or extra 3D timeout case the driver has to programme the value from the previous render."
line.long 0x14 "RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1,RGX_CR_PM_DEALLOCATION_STARTOF_MASK_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x18 "RGX_CR_PM_PAGE_MANAGEOP_0,RGX_CR_PM_PAGE_MANAGEOP_0"
hexmask.long 0x18 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x18 2. "COMBINE_DALLOC,1 means the PM writes to the free stack will be burst combined" "0,1"
newline
bitfld.long 0x18 1. "DISABLE_DALLOC,1 means the PM page management deallocation operation will be disabled" "0,1"
newline
bitfld.long 0x18 0. "DISABLE_ALLOC,1 means the PM page management allocation operation will be disabled" "0,1"
line.long 0x1C "RGX_CR_PM_PAGE_MANAGEOP_1,RGX_CR_PM_PAGE_MANAGEOP_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x2A8++0x7
line.long 0x0 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_0,RGX_CR_PM_PAGE_MANAGEOP_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "DALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
newline
bitfld.long 0x0 0. "ALLOC_DISABLED,1 means the PM page management operation has been disabled" "0,1"
line.long 0x4 "RGX_CR_PM_PAGE_MANAGEOP_STATUS_1,RGX_CR_PM_PAGE_MANAGEOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x2B0++0xF
line.long 0x0 "RGX_CR_PM_CONTEXT_PB_BASE_0,RGX_CR_PM_CONTEXT_PB_BASE_0"
hexmask.long 0x0 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x0 0.--2. "CMP,Defines whether the TA/3D/HOST contexts are using the same parameter buffer. Setting a bit to '1' indicates that the context is using a different parameter buffer. Bit 0 = 0 : Unified Free List 3D context Parameter buffer = Unified Free List TA.." "MMU Free List 3D context Parameter buffer = MMU..,?,?,?,?,?,?,?"
line.long 0x4 "RGX_CR_PM_CONTEXT_PB_BASE_1,RGX_CR_PM_CONTEXT_PB_BASE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x8 "RGX_CR_PM_MLIST0_START_OF_0,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TAIL,allocation List 0 tail pointer"
line.long 0xC "RGX_CR_PM_MLIST0_START_OF_1,start value of the mlist tail @ the loading of the context. in size of 4 bytes. stride is 64KB"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2C0++0x7
line.long 0x0 "RGX_CR_PM_MLIST0_STATUS_0,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST0_STATUS_1,pointer of current mmu allocation list.in size of 4 bytes. stride is 64KB"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2C8++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_START_OF_0,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,start of the allocation list 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_START_OF_1,start of the tail pointer of mmu allocation list.effecitve on an allocation_list_load"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
rgroup.long 0x2D0++0x7
line.long 0x0 "RGX_CR_PM_MLIST1_STATUS_0,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TAIL,mmu allocation List 1 tail pointer"
line.long 0x4 "RGX_CR_PM_MLIST1_STATUS_1,pointer of mmu allocation list1 pointer.in size of 4 bytes"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x2D8++0xF
line.long 0x0 "RGX_CR_PM_MLIST0_BASE_0,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long 0x0 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PM_MLIST0_BASE_1,This register defines the base address of the mmu list 0 for the mmu pages. the stride has to be 64KBytes"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list0 module Effective Immediately"
line.long 0x8 "RGX_CR_PM_MLIST1_BASE_0,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_PM_MLIST1_BASE_1,This register defines the base address of the mmu list 1 for the mmu pages. the stride has to be a 64KBytes"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB Addressable 128 bits aligned Base Address for mmu list1 module Effective Immediately"
rgroup.long 0x2F8++0x27
line.long 0x0 "RGX_CR_PM_VCE_VTOP_STATUS_0,RGX_CR_PM_VCE_VTOP_STATUS_0"
hexmask.long.word 0x0 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "OP,Virtual Page Pointer for the VCE 16KB granauality"
line.long 0x4 "RGX_CR_PM_VCE_VTOP_STATUS_1,RGX_CR_PM_VCE_VTOP_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x8 "RGX_CR_PM_TE_VTOP_STATUS_0,RGX_CR_PM_TE_VTOP_STATUS_0"
hexmask.long.word 0x8 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x8 0.--19. 1. "OP,Virtual Page Pointer for the TE 16KB granauality"
line.long 0xC "RGX_CR_PM_TE_VTOP_STATUS_1,RGX_CR_PM_TE_VTOP_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x10 "RGX_CR_PM_OUTOF_MEM_SRC_0,RGX_CR_PM_OUTOF_MEM_SRC_0"
hexmask.long 0x10 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x10 0.--2. "OP,one hot encoding indicating which part of resource runs out of memory bit 0: normal ta free list bit 1: unified ta free list bit 2: mmu free list" "normal ta free list,unified ta free list,mmu free list,?,?,?,?,?"
line.long 0x14 "RGX_CR_PM_OUTOF_MEM_SRC_1,RGX_CR_PM_OUTOF_MEM_SRC_1"
hexmask.long 0x14 0.--31. 1. "Reserved_3,Reserved_3"
line.long 0x18 "RGX_CR_PM_ALIST_VTOP_STATUS_0,RGX_CR_PM_ALIST_VTOP_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,Virtual Page Pointer for the allocation list 16KB granauality"
line.long 0x1C "RGX_CR_PM_ALIST_VTOP_STATUS_1,RGX_CR_PM_ALIST_VTOP_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_PM_MMU_VTOP_STATUS_0,RGX_CR_PM_MMU_VTOP_STATUS_0"
hexmask.long.word 0x20 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x20 0.--19. 1. "OP,Virtual Page Pointer for the MMU 4KB granauality"
line.long 0x24 "RGX_CR_PM_MMU_VTOP_STATUS_1,RGX_CR_PM_MMU_VTOP_STATUS_1"
hexmask.long 0x24 0.--31. 1. "Reserved_20,Reserved_20"
wgroup.long 0x320++0xF
line.long 0x0 "RGX_CR_PM_OUTOFMEM_ABORTALL_0,RGX_CR_PM_OUTOFMEM_ABORTALL_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Instruct the PM to Deny the TE allocation outstanding on Out Of Memory" "0,1"
line.long 0x4 "RGX_CR_PM_OUTOFMEM_ABORTALL_1,RGX_CR_PM_OUTOFMEM_ABORTALL_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_OUTOFMEM_RESTART_0,RGX_CR_PM_OUTOFMEM_RESTART_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "OP,Restart the PM after an Out of Memory and Abort sequence" "0,1"
line.long 0xC "RGX_CR_PM_OUTOFMEM_RESTART_1,RGX_CR_PM_OUTOFMEM_RESTART_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x330++0x7
line.long 0x0 "RGX_CR_PM_REQUESTING_SOURCE_0,RGX_CR_PM_REQUESTING_SOURCE_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "OP,Requesting source when out of memory. Bit 1 : VCE Bit 0 : TE" "TE,VCE,?,?"
line.long 0x4 "RGX_CR_PM_REQUESTING_SOURCE_1,RGX_CR_PM_REQUESTING_SOURCE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
group.long 0x338++0xF
line.long 0x0 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_0,RGX_CR_PM_PARTIAL_RENDER_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,Partial Render Enable Bit" "0,1"
line.long 0x4 "RGX_CR_PM_PARTIAL_RENDER_ENABLE_1,RGX_CR_PM_PARTIAL_RENDER_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_0"
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 0.--4. 1. "OP,This register defines the deallocation behaviour of the PM: value > 2 is only for debug on ZLS mode 0 it can only set less than 2 0: PM will free the macrotile memory as soon as it is possible 1: PM only free one mtile for each traverse 2: PM only.."
line.long 0xC "RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1,RGX_CR_PM_3D_DEALLOCATE_SCANMODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
rgroup.long 0x348++0xF
line.long 0x0 "RGX_CR_PM_TA_FSTACK_STATUS_0,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "TOP,This status register indicated the ta context free list pointer status."
line.long 0x4 "RGX_CR_PM_TA_FSTACK_STATUS_1,Note: this is the pointer pointing to the TA free stack top. (4KB page pointer)"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_FSTACK_STATUS_0,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "TOP,This status register indicated the 3D context free list status"
line.long 0xC "RGX_CR_PM_3D_FSTACK_STATUS_1,Note: this is the pointer pointing to the 3D free stack top. (4KB page pointer)"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
group.long 0x358++0x7
line.long 0x0 "RGX_CR_PM_RESERVE_PAGES_0,RGX_CR_PM_RESERVE_PAGES_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "OP,This register defines the guard page required for one VCE/TE allocation. The requirement is set by the number of ppages needed to create the ALIST nodes when a vpage is closed. The MMU requirement is fixed at 3 ppages max for creatig a new ALIST.."
line.long 0x4 "RGX_CR_PM_RESERVE_PAGES_1,RGX_CR_PM_RESERVE_PAGES_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
rgroup.long 0x360++0x17
line.long 0x0 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_0,RGX_CR_PM_DEALLOCATED_MASK_STATUS_0"
hexmask.long.word 0x0 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x0 0.--15. 1. "TOP,This status register contains a bitmask of the macrotiles freed at this point in the render"
line.long 0x4 "RGX_CR_PM_DEALLOCATED_MASK_STATUS_1,RGX_CR_PM_DEALLOCATED_MASK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x8 "RGX_CR_PM_DEALLOCATING_MASK_STATUS_0,RGX_CR_PM_DEALLOCATING_MASK_STATUS_0"
hexmask.long.word 0x8 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.word 0x8 0.--15. 1. "TOP,This status register indicates the mtile mask being freed at the current traverse"
line.long 0xC "RGX_CR_PM_DEALLOCATING_MASK_STATUS_1,RGX_CR_PM_DEALLOCATING_MASK_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_16,Reserved_16"
line.long 0x10 "RGX_CR_PM_PDS_MTILEFREE_STATUS_0,RGX_CR_PM_PDS_MTILEFREE_STATUS_0"
hexmask.long.word 0x10 17.--31. 1. "Reserved_17,Reserved_17"
newline
hexmask.long.tbyte 0x10 0.--16. 1. "OP,This status register indicates the macrotile number of the PDSs current macrotile free request"
line.long 0x14 "RGX_CR_PM_PDS_MTILEFREE_STATUS_1,RGX_CR_PM_PDS_MTILEFREE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_17,Reserved_17"
group.long 0x378++0x7
line.long 0x0 "RGX_CR_PM_TA_FREE_CONTEXT_0,RGX_CR_PM_TA_FREE_CONTEXT_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,free the ta context register operation" "0,1"
line.long 0x4 "RGX_CR_PM_TA_FREE_CONTEXT_1,RGX_CR_PM_TA_FREE_CONTEXT_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x380++0x7
line.long 0x0 "RGX_CR_PM_3D_TIMEOUT_NOW_0,RGX_CR_PM_3D_TIMEOUT_NOW_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,free the 3D context" "0,1"
line.long 0x4 "RGX_CR_PM_3D_TIMEOUT_NOW_1,RGX_CR_PM_3D_TIMEOUT_NOW_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x388++0x7
line.long 0x0 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_0,RGX_CR_PM_3D_DEALLOCATE_ENABLE_0"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "OP,3D deallocate enable mode" "0,1"
line.long 0x4 "RGX_CR_PM_3D_DEALLOCATE_ENABLE_1,RGX_CR_PM_3D_DEALLOCATE_ENABLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x390)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_TACONTEXT_$1,RGX_CR_PM_START_OF_TACONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of TA pages(4KB) on loading of the TA context"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x398)++0x3
line.long 0x0 "RGX_CR_PM_START_OF_3DCONTEXT_$1,RGX_CR_PM_START_OF_3DCONTEXT_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "ALLOCATED_PAGE,Start of 3D pages(4KB) on loading of the TA context"
repeat.end
rgroup.long 0x3A0++0x2F
line.long 0x0 "RGX_CR_PM_TA_PAGE_STATUS_0,RGX_CR_PM_TA_PAGE_STATUS_0"
hexmask.long.word 0x0 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x0 0.--21. 1. "OP,The number of TA pages currently allocated"
line.long 0x4 "RGX_CR_PM_TA_PAGE_STATUS_1,RGX_CR_PM_TA_PAGE_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x8 "RGX_CR_PM_3D_PAGE_STATUS_0,RGX_CR_PM_3D_PAGE_STATUS_0"
hexmask.long.word 0x8 22.--31. 1. "Reserved_22,Reserved_22"
newline
hexmask.long.tbyte 0x8 0.--21. 1. "OP,The number of 3D pages currently allocated"
line.long 0xC "RGX_CR_PM_3D_PAGE_STATUS_1,RGX_CR_PM_3D_PAGE_STATUS_1"
hexmask.long 0xC 0.--31. 1. "Reserved_22,Reserved_22"
line.long 0x10 "RGX_CR_PM_VCE_INFLIGHT_STATUS_0,RGX_CR_PM_VCE_INFLIGHT_STATUS_0"
hexmask.long.word 0x10 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x10 0.--19. 1. "OP,The Virtual Page Number in flight in the VCE Requestor"
line.long 0x14 "RGX_CR_PM_VCE_INFLIGHT_STATUS_1,RGX_CR_PM_VCE_INFLIGHT_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x18 "RGX_CR_PM_TE_INFLIGHT_STATUS_0,RGX_CR_PM_TE_INFLIGHT_STATUS_0"
hexmask.long.word 0x18 20.--31. 1. "Reserved_20,Reserved_20"
newline
hexmask.long.tbyte 0x18 0.--19. 1. "OP,The Virtual Page Number in flight in the TE Requestor"
line.long 0x1C "RGX_CR_PM_TE_INFLIGHT_STATUS_1,RGX_CR_PM_TE_INFLIGHT_STATUS_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_20,Reserved_20"
line.long 0x20 "RGX_CR_BIFPM_IDLE_0,RGX_CR_BIFPM_IDLE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "MCU_L0_MEMIF,MCU L0 MEMIF Module IDLE" "0,1"
newline
bitfld.long 0x20 5. "PBE,PBE Module IDLE" "0,1"
newline
bitfld.long 0x20 4. "MCU_L0_PDSRW,MCU L0 PDSRW Module IDLE" "0,1"
newline
bitfld.long 0x20 3. "MCU_L1,MCU L1 Module IDLE" "0,1"
newline
bitfld.long 0x20 2. "USCS,USC Shared Module IDLE" "0,1"
newline
bitfld.long 0x20 1. "PM,PM Module IDLE" "0,1"
newline
bitfld.long 0x20 0. "BIF256,BIF256 Module IDLE" "0,1"
line.long 0x24 "RGX_CR_BIFPM_IDLE_1,RGX_CR_BIFPM_IDLE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_SIDEKICK_IDLE_0,RGX_CR_SIDEKICK_IDLE_0"
hexmask.long 0x28 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x28 6. "FB_CDC,FB CDC Module IDLE" "0,1"
newline
bitfld.long 0x28 5. "MMU,MMU Module IDLE" "0,1"
newline
bitfld.long 0x28 4. "BIF128,BIF128 Module IDLE" "0,1"
newline
bitfld.long 0x28 3. "TLA,TLA Module IDLE" "0,1"
newline
bitfld.long 0x28 2. "GARTEN,GARTEN Module IDLE" "0,1"
newline
bitfld.long 0x28 1. "HOSTIF,HOSTIF Module IDLE" "0,1"
newline
bitfld.long 0x28 0. "SOCIF,SOCIF Module IDLE" "0,1"
line.long 0x2C "RGX_CR_SIDEKICK_IDLE_1,RGX_CR_SIDEKICK_IDLE_1"
hexmask.long 0x2C 0.--31. 1. "Reserved_7,Reserved_7"
group.long 0x3D0++0x17
line.long 0x0 "RGX_CR_PM_CONTEXT_ID_0,RGX_CR_PM_CONTEXT_ID_0"
hexmask.long.byte 0x0 25.--31. 1. "Reserved_25,Reserved_25"
newline
bitfld.long 0x0 24. "MLIS_ALLOC,MMU page List (TE VCE aligned with this context )Allocation Context ID" "0,1"
newline
hexmask.long.byte 0x0 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x0 16. "LS,Load Store Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "DALLOC,DeAllocation Context ID for the allocation list" "0,1"
newline
hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "ALLOC,Allocation Context ID for the allocation list" "0,1"
line.long 0x4 "RGX_CR_PM_CONTEXT_ID_1,RGX_CR_PM_CONTEXT_ID_1"
hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x4 8. "MLIS_LS,MMU page List (TE VCE aligned with this context )Load Store Context ID" "0,1"
newline
hexmask.long.byte 0x4 1.--7. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "MLIS_DALLOC,MMU page List (TE VCE aligned with this context )DeAllocation Context ID" "0,1"
line.long 0x8 "RGX_CR_PM_3D_RENDER_TARGET_INDEX_0,RGX_CR_PM_3D_RENDER_TARGET_INDEX_0"
hexmask.long.tbyte 0x8 11.--31. 1. "Reserved_11,Reserved_11"
newline
hexmask.long.word 0x8 0.--10. 1. "ID,Render Target ID which is being rendered"
line.long 0xC "RGX_CR_PM_3D_RENDER_TARGET_INDEX_1,RGX_CR_PM_3D_RENDER_TARGET_INDEX_1"
hexmask.long 0xC 0.--31. 1. "Reserved_11,Reserved_11"
line.long 0x10 "RGX_CR_PM_3D_RENDER_TARGET_LAST_0,RGX_CR_PM_3D_RENDER_TARGET_LAST_0"
hexmask.long 0x10 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x10 0. "ID,If this bit is set this means the render will be the last one in the whole render target array. If no multiple render target array is present this bit always needs set" "0,1"
line.long 0x14 "RGX_CR_PM_3D_RENDER_TARGET_LAST_1,RGX_CR_PM_3D_RENDER_TARGET_LAST_1"
hexmask.long 0x14 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x3E8++0x17
line.long 0x0 "RGX_CR_PM_LOCK_STATUS_0,RGX_CR_PM_LOCK_STATUS_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "TD,Bit 1: 3D free list Lock Status. 0 idle/ 1 used" "0,1"
newline
bitfld.long 0x0 0. "TA,Bit 0: TA free list Lock Status. 0 idle/ 1 used." "TA free list Lock Status,?"
line.long 0x4 "RGX_CR_PM_LOCK_STATUS_1,RGX_CR_PM_LOCK_STATUS_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PM_LOCK_OWNER_0,RGX_CR_PM_LOCK_OWNER_0"
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "TD,Bit 1 :3D free list Lock owner. 0 PMA / 1 PMD" "0,1"
newline
bitfld.long 0x8 0. "TA,Bit 0: TA free list Lock Owner. 0 PMA / 1 PMD." "TA free list Lock Owner,?"
line.long 0xC "RGX_CR_PM_LOCK_OWNER_1,RGX_CR_PM_LOCK_OWNER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x10 "RGX_CR_PM_IDLE_STATUS_0,RGX_CR_PM_IDLE_STATUS_0"
hexmask.long.tbyte 0x10 8.--31. 1. "Reserved_8,Reserved_8"
newline
bitfld.long 0x10 7. "PMD_BIF,Idle Status Register of the PMD module bif state machine" "0,1"
newline
bitfld.long 0x10 6. "PMD_FRE,Idle Status Register of the PMD module master state machine" "0,1"
newline
bitfld.long 0x10 5. "BIF,Idle Status Register of the BIF Interface default" "0,1"
newline
bitfld.long 0x10 4. "BARB,Idle Status Register of the BIF Arbiter state BAR" "0,1"
newline
bitfld.long 0x10 3. "AMAN,Idle Status Register of the Alist state machine" "0,1"
newline
bitfld.long 0x10 2. "STA,Idle Status Register of the Stack Manager Modul" "0,1"
newline
bitfld.long 0x10 1. "PMD,Idle Status Register of the PMD module" "0,1"
newline
bitfld.long 0x10 0. "PMA,Idle Status Register of the PMA module" "0,1"
line.long 0x14 "RGX_CR_PM_IDLE_STATUS_1,RGX_CR_PM_IDLE_STATUS_1"
hexmask.long 0x14 0.--31. 1. "Reserved_8,Reserved_8"
wgroup.long 0x400++0x7
line.long 0x0 "RGX_CR_VDM_START_0,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start VDM" "0,1"
line.long 0x4 "RGX_CR_VDM_START_1,A write of '1' to this register starts the Vertex Data Master (TA) Reading the control stream pointed to by VDM_CTRL_STREAM_BASE"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x408++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_BASE_0,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_BASE_1,The base address of the Vertex Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
rgroup.long 0x410++0x7
line.long 0x0 "RGX_CR_VDM_CTRL_STREAM_CURRENT_0,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_VDM_CTRL_STREAM_CURRENT_1,This status register reports the current position in the input parameter format of the data being processed. This is a debug register. This is NOT the fetch address presented to the BIF. which is reading ahead Since the.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned address"
group.long 0x418++0x17
line.long 0x0 "RGX_CR_VDM_CALL_STACK_POINTER_0,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long 0x0 3.--31. 1. "ADDR,1TB range 64-bit aligned base address"
newline
bitfld.long 0x0 0.--2. "Reserved_0,Reserved_0" "0,1,2,3,4,5,6,7"
line.long 0x4 "RGX_CR_VDM_CALL_STACK_POINTER_1,The pointer to the control stream call stack. The current pointer within the VDM's call stack in external memory. The call stack is used whenever a call is made to another control stream. to store the return address.."
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 64-bit aligned base address"
line.long 0x8 "RGX_CR_VDM_BATCH_0,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long.tbyte 0x8 14.--31. 1. "Reserved_14,Reserved_14"
newline
hexmask.long.word 0x8 0.--13. 1. "NUMBER,NUMBER"
line.long 0xC "RGX_CR_VDM_BATCH_1,The Batch number is a index list block (draw call) ID which is passed through the GPU pipeline. This is helpful for debug and performance profiling. The starting batch number should be programmed before the first TA phase of every.."
hexmask.long 0xC 0.--31. 1. "Reserved_14,Reserved_14"
line.long 0x10 "RGX_CR_VDM_CONTEXT_STATE_BASE_0,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long 0x10 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_VDM_CONTEXT_STATE_BASE_1,The base address in external memory of the VDM's context state buffer. On a context store the VDM will store its state to this address. and reload it on a context resume. The size and format of the data written is defined.."
hexmask.long.tbyte 0x14 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x14 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x430++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 4.--7. 1. "LAST_PIPE,The TA pipe number to which the VDM last sent indices"
newline
bitfld.long 0x0 2.--3. "Reserved_2,Reserved_2" "0,1,2,3"
newline
bitfld.long 0x0 1. "NEED_RESUME,The VDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The VDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a VDM context switch operation. If a context store has been requested. then this muist be sample on TA finished. The firmware must wait for the COMPLETE bit to be set. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x438)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK0_$1,These words define the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Their.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x440)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK1_$1,This word defines the PDS State Update task which will be inserted into the VDM pipeline on a context store operation. The function of this task is described in the Rogue Context Switching Hardware Specification. Its.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x448)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_TASK2_$1,These words defines the Stream Out Sync program which will be inserted into the VDM pipeline as a PPP State Update on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x450)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK0_$1,These words define the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE0,PDS_STATE0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x458)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK1_$1,This word defines the PDS State Update task which will be written by the VDM to its context resume control stream on a context store operation. The function of this task is described in the Rogue Context Switching.."
hexmask.long 0x0 0.--31. 1. "PDS_STATE2,PDS_STATE2"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x460)++0x3
line.long 0x0 "RGX_CR_VDM_CONTEXT_RESUME_TASK2_$1,These words defines the Stream Out Sync program which will be written. as a PPP State Update. by the VDM to its context resume control stream on a context store operation The function of this task is described in the.."
hexmask.long 0x0 0.--31. 1. "STREAM_OUT1,STREAM_OUT1"
repeat.end
wgroup.long 0x468++0x7
line.long 0x0 "RGX_CR_VDM_CONTEXT_STORE_START_0,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_VDM_CONTEXT_STORE_START_1,Writing '1' to this register starts the VDM context store operation. The function of this task is described in the Rogue Context Switching Hardware Specifiction"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x470++0x7
line.long 0x0 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_0,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x0 4.--31. 1. "ADDR,ADDR"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_VDM_SYNC_PDS_DATA_BASE_1,The base address of the PDS data segment base for all TA state sync program 4GB range. 128-bit granularity The data segment size is always 256 bits The PDS code address for the TA state sync program is always 256 bits on.."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x478++0x7
line.long 0x0 "RGX_CR_CDM_START_0,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,Start CDM" "0,1"
line.long 0x4 "RGX_CR_CDM_START_1,A write of '1' to this register starts the Compute Data Master reading it's control stream from memory."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x480++0x7
line.long 0x0 "RGX_CR_CDM_CTRL_STREAM_BASE_0,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long 0x0 2.--31. 1. "ADDR,1TB range 32-bit aligned base address"
newline
bitfld.long 0x0 0.--1. "Reserved_0,Reserved_0" "0,1,2,3"
line.long 0x4 "RGX_CR_CDM_CTRL_STREAM_BASE_1,The base address of the Compute Data Master's Input Parameter Control Stream in external memory"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB range 32-bit aligned base address"
wgroup.long 0x488++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_0,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PULSE,PULSE" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_1,Writing '1' to this register starts the CDM context store operation."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x490++0xF
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_0,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "PENDING,PENDING" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_1,The firmware writes a '1' to this register starts the CDM context load operation. The CDM will set this register to '0' when the context load operation is complete The firmware should poll on this register after writing to it"
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_CDM_CONTEXT_STATE_BASE_0,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long 0x8 4.--31. 1. "ADDR,1TB range 128-bit aligned base address"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_CDM_CONTEXT_STATE_BASE_1,The base address in external memory of the CDM's context state buffer. to which it will store its snapshot state on a context store and from which it will reload on a context resume"
hexmask.long.tbyte 0xC 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0xC 0.--7. 1. "ADDR,1TB range 128-bit aligned base address"
rgroup.long 0x4A0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_STORE_STATUS_0,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "NEED_RESUME,The CDM still has control stream left to process meaning this context must be resumed" "0,1"
newline
bitfld.long 0x0 0. "COMPLETE,The CDM has completed the context store operation and fenced its state to external memory" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_STORE_STATUS_1,This register indicates the status of a CDM context switch operation. If a context store is in progress. this register must be sampled on a context store compute finished event. The firmware must wait for the COMPLETE.."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4A8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4B0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4B8)++0x3
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS_$1,This register contains the PDS Code and Data Addresses for the Terminate Program. This program is sent to PDS on Context Store after the Context Store Program"
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Terminate Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4C0++0x7
line.long 0x0 "RGX_CR_CDM_TERMINATE_PDS1_0,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store Terminate" "0,1"
line.long 0x4 "RGX_CR_CDM_TERMINATE_PDS1_1,This register contains the PDS Task Data necessary to produce the Context Store Terminate PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x4D8)++0x3
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS0_$1,This register contains the PDS Code and Data Addresses for the Store/Load Program. This program is sent to PDS on Context Store and Context Load."
hexmask.long 0x0 4.--31. 1. "CODE_ADDR,PDS Code Address for Store/Load Program 128-bit aligned"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x4E0++0x7
line.long 0x0 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_0,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3"
newline
bitfld.long 0x0 29. "PDS_SEQ_DEP,PDS Sequential Dependency" "0,1"
newline
bitfld.long 0x0 28. "USC_SEQ_DEP,USC Sequential Dependency" "0,1"
newline
bitfld.long 0x0 27. "TARGET,USC Target (0=All 1=Any)" "All,Any)"
newline
hexmask.long.byte 0x0 21.--26. 1. "UNIFIED_SIZE,Unified Size"
newline
bitfld.long 0x0 20. "COMMON_SHARED,PDS Common Store Allocation is Shared Registers" "0,1"
newline
hexmask.long.word 0x0 11.--19. 1. "COMMON_SIZE,PDS Common Size"
newline
hexmask.long.byte 0x0 7.--10. 1. "TEMP_SIZE,PDS Temp Size"
newline
hexmask.long.byte 0x0 1.--6. 1. "DATA_SIZE,PDS Data Size"
newline
bitfld.long 0x0 0. "FENCE,Fence the Task in the PDS/USC - Set on Store unset on Load" "0,1"
line.long 0x4 "RGX_CR_CDM_CONTEXT_LOAD_PDS1_1,This register contains the PDS Task Data necessary to produce the Store/Load PDS Program Task from CDM to PDS"
hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30"
group.long 0x600++0x7
line.long 0x0 "RGX_CR_PDS_CTRL_0,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long.byte 0x0 24.--31. 1. "MAX_NUM_CDM_TASKS,The maximum number of compute tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 16.--23. 1. "MAX_NUM_PDM_TASKS,The maximum number of pixel tasks allowed on each USC range 0 to 48"
newline
hexmask.long.byte 0x0 8.--15. 1. "MAX_NUM_VDM_TASKS,The maximum number of vertex tasks (VS HS GS when Tess not enabled) allowed on each USC range 0 to 39 (Note reduced range to prevent Pixel/VDM system deadlock)"
newline
hexmask.long.byte 0x0 0.--7. 1. "MAX_NUM_TDM_TASKS,The maximum number of fastrender tasks allowed on each USC range 0 to 48"
line.long 0x4 "RGX_CR_PDS_CTRL_1,Controls the maximum number of tasks per data master (per USC). There are a maximum of 48 tasks in the system"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
wgroup.long 0x608++0x7
line.long 0x0 "RGX_CR_PDS_USC_COLLATOR_0,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Clusters Resource Collator" "0,1"
line.long 0x4 "RGX_CR_PDS_USC_COLLATOR_1,This register clear the internal resource tracking storage. It must be cleared after reste/power up. A write of '1' to this register clears the PDS Unified Clusters Resource Collator Contents. The PDS USC Resource Collator is a.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x610++0x1F
line.long 0x0 "RGX_CR_PDS_EXEC_BASE_0,Base Address in memory where the PDS programs are located"
hexmask.long.word 0x0 20.--31. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
newline
hexmask.long.tbyte 0x0 0.--19. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_EXEC_BASE_1,Base Address in memory where the PDS programs are located"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "ADDR,1TB addressable 1 MB aligned base address for PDS programs"
line.long 0x8 "RGX_CR_EVENT_PIXEL_PDS_CODE_0,RGX_CR_EVENT_PIXEL_PDS_CODE_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_PIXEL_PDS_CODE_1,RGX_CR_EVENT_PIXEL_PDS_CODE_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_PIXEL_PDS_DATA_0,RGX_CR_EVENT_PIXEL_PDS_DATA_0"
hexmask.long 0x10 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x10 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x14 "RGX_CR_EVENT_PIXEL_PDS_DATA_1,RGX_CR_EVENT_PIXEL_PDS_DATA_1"
hexmask.long 0x14 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x18 "RGX_CR_EVENT_PIXEL_PDS_INFO_0,RGX_CR_EVENT_PIXEL_PDS_INFO_0"
hexmask.long.tbyte 0x18 15.--31. 1. "Reserved_15,Reserved_15"
newline
hexmask.long.byte 0x18 9.--14. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x18 5.--8. 1. "TEMP_STRIDE,PDS Temp Size in 128 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x18 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x1C "RGX_CR_EVENT_PIXEL_PDS_INFO_1,RGX_CR_EVENT_PIXEL_PDS_INFO_1"
hexmask.long 0x1C 0.--31. 1. "Reserved_15,Reserved_15"
wgroup.long 0x630++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_0,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Common Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_1,A write of '1' to this register clears the PDS Common Store Resource Manager Contents The PDS CSRM is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This register must be.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x638++0xF
line.long 0x0 "RGX_CR_PDS_MAX_CSRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long.byte 0x0 27.--31. 1. "Reserved_27,Reserved_27"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Common Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in Common Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Common Store"
line.long 0x4 "RGX_CR_PDS_MAX_CSRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Common Store on a Data Master basis. 1024 Allocation Regions exist in the Common Store. Each Allocation Region or Chunk represetns 4x128-bits of space in the Common.."
hexmask.long 0x4 0.--31. 1. "Reserved_27,Reserved_27"
line.long 0x8 "RGX_CR_PDS_CSRM_MAX_COEFF_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x8 1.--5. 1. "LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to use for Coefficients before wrapping"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Coefficient Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_CSRM_MAX_COEFF_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-19. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_6,Reserved_6"
wgroup.long 0x648++0x7
line.long 0x0 "RGX_CR_PDS_USRM_0,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_1,A write of '1' to this register clears the PDS Unified Store Resource Manager Contents. The PDS Unified Store Resource Manager only applies to the vertex and compute data masters. This register must be written during the core.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x650++0xF
line.long 0x0 "RGX_CR_PDS_MAX_USRM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long.word 0x0 18.--31. 1. "Reserved_18,Reserved_18"
newline
hexmask.long.word 0x0 9.--17. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in Unified Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in Unified Store"
line.long 0x4 "RGX_CR_PDS_MAX_USRM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the Unified Store on a Data Master basis. 400 Allocation Regions exist in the Unified Store. Each Allocation Region represents 4x128-bits of space in the Unified Store."
hexmask.long 0x4 0.--31. 1. "Reserved_18,Reserved_18"
line.long 0x8 "RGX_CR_PDS_USRM_MAX_TEMP_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0x8 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x8 1.--4. 1. "LINE,Max Line for use as Temporaries"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_USRM_MAX_TEMP_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0- n-1. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a Temporaries Line.."
hexmask.long 0xC 0.--31. 1. "Reserved_5,Reserved_5"
wgroup.long 0x660++0x7
line.long 0x0 "RGX_CR_PDS_UVSRM_0,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Unified Vertex Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_UVSRM_1,A write of '1' to this register clears the PDS Unified Vertex Store Resource Manager Contents The UVS Resource Manager only applies to the vertex data master. This register must be written during the core initialisation sequence."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x668++0x7
line.long 0x0 "RGX_CR_MCU_FBTC_ICTRL_0,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "COMP_DM,When set to 1 all entries in the tile cache that have been tagged as a compute data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 2. "VERTEX_DM,When set to 1 all entries in the tile cache that have been tagged as a vertex data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 1. "PIXEL_DM,When set to 1 all entries in the tile cache that have been tagged as a pixel data master will be invalidate when PENDING is set" "0,1"
newline
bitfld.long 0x0 0. "PENDING,When written to 1 will invalidate the header cache in the FBDC when read as 1 invalidate is in progress when read as 0 invalidate is complete" "0,1"
line.long 0x4 "RGX_CR_MCU_FBTC_ICTRL_1,MCU Framebuffer Tile Cache Invalidate Control"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
wgroup.long 0x670++0x7
line.long 0x0 "RGX_CR_PDS_STORERM_0,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "CLEAR,Clear PDS Store Resource Manager a write to this register results in a one cycle pulse" "0,1"
line.long 0x4 "RGX_CR_PDS_STORERM_1,A write of '1' to this register clears the PDS Store Resource Manager Contents. The PDS Store Resource Manager is a cross-DM controller. meaning this register must not be written during any active TA. 3D or Compute phase. This.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x678++0x27
line.long 0x0 "RGX_CR_PDS_MAX_STORERM_CHUNKS_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.byte 0x0 27.--31. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
newline
hexmask.long.word 0x0 18.--26. 1. "CDM,Max Number of Allocation Regions to Allocate to the Compute Data Master in PDS Store"
newline
hexmask.long.word 0x0 9.--17. 1. "PDM,Max Number of Allocation Regions to Allocate to the Pixel Data Master in PDS Store"
newline
hexmask.long.word 0x0 0.--8. 1. "VDM,Max Number of Allocation Regions to Allocate to the VDM Data Master in PDS Store"
line.long 0x4 "RGX_CR_PDS_MAX_STORERM_CHUNKS_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0x4 4.--31. 1. "Reserved_36,Reserved_36"
newline
hexmask.long.byte 0x4 0.--3. 1. "STM,Max Number of Allocation Regions to Allocate to the Stream Out Data Master in PDS Store"
line.long 0x8 "RGX_CR_PDS_STORERM_MAX_TEMP_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0x8 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x8 1.--3. "LINE,Temporaries are allocated from Line 0 upwards this is the maximum Line to use for Temporaries before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 0. "LINE_ENABLE,Enable Max Temporaries Line Limit" "0,1"
line.long 0xC "RGX_CR_PDS_STORERM_MAX_TEMP_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line to Allocate for use as a.."
hexmask.long 0xC 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x10 "RGX_CR_PDS_ICC_INVAL_0,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x10 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x10 5. "FASTRENDER_PENDING,PDS Instruction Cache Fastrender (DM 6) has been invalidated" "0,1"
newline
bitfld.long 0x10 3.--4. "Reserved_3,Reserved_3" "0,1,2,3"
newline
bitfld.long 0x10 2. "COMPUTE_PENDING,PDS Instruction Cache Compute (DM 2) has been invalidated" "0,1"
newline
bitfld.long 0x10 1. "PIXEL_PENDING,PDS Instruction Cache Pixel (DM 1) has been invalidated" "0,1"
newline
bitfld.long 0x10 0. "VERTEX_PENDING,PDS Instruction Cache Vertex (DM 0) has been invalidated" "0,1"
line.long 0x14 "RGX_CR_PDS_ICC_INVAL_1,A write of '1' any bit to this register clears the PDS Instruction Cache for the selected DM The PDS HW will clear the bits when the operations complete"
hexmask.long 0x14 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x18 "RGX_CR_PDS_MCU_REQ_CTRL_0,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 2.--3. "SMODE,SLC cache policy to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
newline
bitfld.long 0x18 0.--1. "CMODE,Cache Mode to use for requests from the VDM/PDM/CDM/STM resource requestors inside the PDS" "0,1,2,3"
line.long 0x1C "RGX_CR_PDS_MCU_REQ_CTRL_1,The PDS makes requests to the MCU to DMA to the PDS store and write data to memory. This register defines the various cache modes that apply to those requests."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_CSRM_MIN_SHARED_0,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x20 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x20 1.--5. 1. "LINE,Shared are allocated from top line downwards this is the minimum Line to use for Shared Registers before wrapping"
newline
bitfld.long 0x20 0. "LINE_ENABLE,Enable Min Shared Register Line Limit" "0,1"
line.long 0x24 "RGX_CR_PDS_CSRM_MIN_SHARED_1,The Common Store contains Coefficients and Shared Registers in 14 Lines of 64 Allocation Chunks numbered 0-13. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a Shared Line.."
hexmask.long 0x24 0.--31. 1. "Reserved_6,Reserved_6"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A0)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x6A8)++0x3
line.long 0x0 "RGX_CR_PDS_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x6B0++0x37
line.long 0x0 "RGX_CR_PDS_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0x8 23.--31. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 16.--22. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 10.--15. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words"
newline
hexmask.long.byte 0x8 4.--9. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words"
newline
hexmask.long.byte 0x8 0.--3. 1. "PDS_TEMPSIZE,0 = 0 128 bit words 1 = 1 128 bit word this applies to coefficient uniform and varying state"
line.long 0xC "RGX_CR_PDS_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined)"
newline
hexmask.long.word 0xC 14.--22. 1. "Reserved_46,Reserved_46"
newline
hexmask.long.word 0xC 0.--13. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
line.long 0x10 "RGX_CR_PDS_USRM_MIN_ATTR_0,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x10 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x10 1.--4. 1. "LINE,Min Line for use for Attributes"
newline
bitfld.long 0x10 0. "LINE_ENABLE,Enable Min Attributes Line Limit" "0,1"
line.long 0x14 "RGX_CR_PDS_USRM_MIN_ATTR_1,The Unified Store contains Temporaries and Attributes in n Lines of 16 Allocation Chunks numbered 0 - n-1. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as an Attribute Line.."
hexmask.long 0x14 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x18 "RGX_CR_PDS_STORERM_MIN_CONST_0,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x18 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x18 1.--3. "LINE,Constants are allocated from Line 7 down this is the minimum Line to use for Constants before wrapping" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x18 0. "LINE_ENABLE,Enable Min Constants Line Limit" "0,1"
line.long 0x1C "RGX_CR_PDS_STORERM_MIN_CONST_1,The PDS Store Resource Manager contains Temporaries and Constants in 8 Lines of 64 Allocation Chunks each numbered 0-7. Allocated Dynamically. This Register sets a Soft Limit for the Minimum Line to Allocate for use as a.."
hexmask.long 0x1C 0.--31. 1. "Reserved_4,Reserved_4"
line.long 0x20 "RGX_CR_PDS_PIXELMERGE_0,RGX_CR_PDS_PIXELMERGE_0"
hexmask.long 0x20 7.--31. 1. "Reserved_7,Reserved_7"
newline
bitfld.long 0x20 6. "TASK_DISABLE,Disable pixel merging within a whole pixel fragment task" "0,1"
newline
bitfld.long 0x20 5. "DISABLE,Disable pixel merging within each 2x2 pixel block of a pixel fragment task" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "GRADLIMIT,Gradient difference limit for PDS PP pixel merging"
line.long 0x24 "RGX_CR_PDS_PIXELMERGE_1,RGX_CR_PDS_PIXELMERGE_1"
hexmask.long 0x24 0.--31. 1. "Reserved_7,Reserved_7"
line.long 0x28 "RGX_CR_PDS_CSRM_USC_DEBUG_0,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x28 5.--31. 1. "Reserved_5,Reserved_5"
newline
hexmask.long.byte 0x28 0.--4. 1. "SIZE,Amount of Space (in 512-bit Allocation Regions) to allocate to USC Debug Space on a Shared Allocation."
line.long 0x2C "RGX_CR_PDS_CSRM_USC_DEBUG_1,When written a non zero value. this register assigns an extra degree of space to be allocated in the Common Store via the PDS CSRM for USC debugging on Shared Allocations. The SIZE field is Allocation Region Granular (i.e.."
hexmask.long 0x2C 0.--31. 1. "Reserved_5,Reserved_5"
line.long 0x30 "RGX_CR_PDS_CSRM_DISABLE_0,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x30 3.--31. 1. "Reserved_3,Reserved_3"
newline
bitfld.long 0x30 2. "COEFF_SLIDE,Disable Slide of Coeff Allocations on Failure" "0,1"
newline
bitfld.long 0x30 1. "SHARED_SLIDE,Disable Slide of Shared Allocations on Failure" "0,1"
newline
bitfld.long 0x30 0. "PARTITIONS,Disable Partition Space Reservation in the CSRM" "0,1"
line.long 0x34 "RGX_CR_PDS_CSRM_DISABLE_1,When this register is set. the CSRM will be configured on PDS CSRM CLEAR to not reserve any space for Partitions (this is normally governed by the AA MODE and PIXEL OUTPUT CTRL WIDTH register settings). to maximise space in the.."
hexmask.long 0x34 0.--31. 1. "Reserved_3,Reserved_3"
rgroup.long 0x6E8++0x7
line.long 0x0 "RGX_CR_HUB_IDLE_0,RGX_CR_HUB_IDLE_0"
hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 3. "TDM,TDM Module IDLE" "0,1"
newline
bitfld.long 0x0 2. "CDM,CDM Module IDLE" "0,1"
newline
bitfld.long 0x0 1. "VDM,VDM Module IDLE" "0,1"
newline
bitfld.long 0x0 0. "PDS,PDS Module IDLE" "0,1"
line.long 0x4 "RGX_CR_HUB_IDLE_1,RGX_CR_HUB_IDLE_1"
hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
rgroup.long ($2+0x6F0)++0x3
line.long 0x0 "RGX_CR_HUB_PWR_$1,RGX_CR_HUB_PWR_0"
hexmask.long 0x0 0.--31. 1. "NUM_PDS_INST,Number of PDS instructions"
repeat.end
group.long 0x700++0xF
line.long 0x0 "RGX_CR_PDS_PASSGROUP_0,RGX_CR_PDS_PASSGROUP_0"
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 1. "FORCE_PT,Force the use of Hard SDs between punchthrough or depth feedback type passes" "0,1"
newline
bitfld.long 0x0 0. "ENABLE,Enable pass group optimisation within USC by replacing USC Hard SDs with USC Soft SDs for all pass groups in the PDS PP." "0,1"
line.long 0x4 "RGX_CR_PDS_PASSGROUP_1,RGX_CR_PDS_PASSGROUP_1"
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_0"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "ENABLE,Enable thread barrier support in the PDS CDM_RR." "0,1"
line.long 0xC "RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1,RGX_CR_PDS_COMPUTE_THREAD_BARRIER_1"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x720++0x7
line.long 0x0 "RGX_CR_PDS_CSRM_SETUP_0,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "HALF,Top line is prefilled half full" "0,1"
newline
hexmask.long.byte 0x0 1.--4. 1. "MAX_LINE,(Lower 4 bits of) Maximum Line within the CSRM that can be allocated to Shared Registers/Coefficients"
newline
bitfld.long 0x0 0. "ENABLE,Enable use of this register to set the Maximum Line the CSRM can allocate on behalf of the USC Common Store" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_SETUP_1,If the ENABLE field is set. then when the PDS CSRM is Cleared via the PDS_CSRM_CLEAR register. the value set in the MAX_LINE vector is used to define the maximum Line in the CSRM which is allocatable to Shared.."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x738++0x7
line.long 0x0 "RGX_CR_PDS_USRM_DISABLE_0,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TEMP_SLIDE,Disable Slide of Temp Allocations on Failure" "0,1"
line.long 0x4 "RGX_CR_PDS_USRM_DISABLE_1,When this register is set. the USRM will be configured on PDS USRM CLEAR to disable the Temp/Attribute slide functionality which allows a Temporaries or Attributes allocation which fails to slide the allocation window. The.."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x788++0xF
line.long 0x0 "RGX_CR_PDS_CSRM_PIXEL_0,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "MAX_LINE,Coefficients are allocated from Line 0 upwards this is the maximum Line to reserve for ONLY PDM Coefficients. The Max line of this region is set in the PDS_CSRM_MAX_COEFF register"
newline
bitfld.long 0x0 0. "MODE_ENABLE,Enable PIXEL RESERVE MODE in the PDS CSRM" "0,1"
line.long 0x4 "RGX_CR_PDS_CSRM_PIXEL_1,The Common Store contains Coefficients and Shared Registers in a maximum of n Lines of 64 Allocation Chunks numbered 0-31. Allocated Dynamically. This Register sets a Soft Limit for the Maximum Line of available Coefficient space."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
line.long 0x8 "RGX_CR_PDS_MAX_STORERM_CHUNKS2_0,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
hexmask.long.word 0x8 0.--8. 1. "TDM,Max Number of Allocation Regions to Allocate to the Fastrender Data Master in PDS Store"
line.long 0xC "RGX_CR_PDS_MAX_STORERM_CHUNKS2_1,Soft Limit Registers for Maximum Allocation Chunks in the PDS Store on a Data Master basis. 488 Allocation Regions exist in the PDS Store. Each Allocation Chunk/Region represents 8x64-bits of space in the PDS Store."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
group.long 0x800++0x67
line.long 0x0 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_0,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x0 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x0 0.--1. "ARB_PRIO_MODE,Priority mode for external memory access arbiter between GPU scheduler and SLC" "0,1,2,3"
line.long 0x4 "RGX_CR_SIDEKICK_EXT_MEM_ARB_CONFIG_1,This register contains the configuration options for the Sidekick external memory arbiter."
hexmask.long 0x4 0.--31. 1. "Reserved_2,Reserved_2"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_FENCE_0,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "SYS_FENCE_ID,reserved address identifier for system fence events" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_FENCE_1,When writing to this register. the MIPS wrapper holds further transaction from MIPS and emits a memory fence onto the bus"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x10 "RGX_CR_MIPS_WRAPPER_CONFIG_0,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.byte 0x10 28.--31. 1. "Reserved_28,Reserved_28"
newline
bitfld.long 0x10 25.--27. "OS_ID,The default OS_ID of the firmware" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x10 24. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x10 17.--23. 1. "Reserved_17,Reserved_17"
newline
bitfld.long 0x10 16. "BOOT_ISA_MODE,MIPS boot up mode. When set to 0 boot from MIPS32 mode or set to 1 to boot in microMIPS mode" "0,1"
newline
hexmask.long.word 0x10 0.--15. 1. "REGBANK_BASE_ADDR,16-bit aligned address that identifies rgx register bank transactions emitted from the MIPS core"
line.long 0x14 "RGX_CR_MIPS_WRAPPER_CONFIG_1,This register contains the configuration options for the MIPS CPU wrapper."
hexmask.long.tbyte 0x14 9.--31. 1. "Reserved_41,Reserved_41"
newline
bitfld.long 0x14 8. "FW_IDLE_ENABLE,Set to 0x0 overwrites the value of GPU_IDLE to 0x0 set to 0x1 makes GPU Idle dependent on top level idles" "0,1"
newline
hexmask.long.byte 0x14 2.--7. 1. "Reserved_34,Reserved_34"
newline
bitfld.long 0x14 1. "DISABLE_BOOT,Stop the MIPS from boot-up even after a soft reset is triggered" "0,1"
newline
bitfld.long 0x14 0. "L2_CACHE_OFF,Turn off the L2 cache within the MIPS wrapper" "0,1"
line.long 0x18 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x18 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x18 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x18 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x1C "RGX_CR_MIPS_ADDR_REMAP1_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x1C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x20 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x20 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x20 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x20 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x20 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x24 "RGX_CR_MIPS_ADDR_REMAP1_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x24 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x24 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x28 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x28 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x28 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x28 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x2C "RGX_CR_MIPS_ADDR_REMAP2_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x2C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x30 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x30 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x30 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x30 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x30 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x34 "RGX_CR_MIPS_ADDR_REMAP2_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x34 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x34 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x38 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x38 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x38 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x38 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x3C "RGX_CR_MIPS_ADDR_REMAP3_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x3C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x40 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x40 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x40 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x40 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x40 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x44 "RGX_CR_MIPS_ADDR_REMAP3_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x44 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x44 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x48 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x48 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x48 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x48 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x4C "RGX_CR_MIPS_ADDR_REMAP4_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x50 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x50 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x50 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x50 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x50 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x54 "RGX_CR_MIPS_ADDR_REMAP4_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x54 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x54 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
line.long 0x58 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x58 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
hexmask.long.word 0x58 1.--11. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x58 0. "MODE_ENABLE,Enable address remapping mode" "0,1"
line.long 0x5C "RGX_CR_MIPS_ADDR_REMAP5_CONFIG1_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x5C 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x60 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x60 12.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x60 9.--11. "Reserved_9,Reserved_9" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 6.--8. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x60 5. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x60 0.--4. 1. "REGION_SIZE_POW2,Remapped region size or offset size i.e. number of bits from the bottom of the base input address that survive onto the output address. Minimum value of 12"
line.long 0x64 "RGX_CR_MIPS_ADDR_REMAP5_CONFIG2_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x64 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x64 0.--7. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
rgroup.long 0x868++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_0,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 0.--31. 1. "ADDRESS,Unmapped MIPS physical address"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_STATUS_1,This register contains the unmapped exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 1.--31. 1. "Reserved_33,Reserved_33"
newline
bitfld.long 0x4 0. "EVENT,An address from the MIPS was not remapped to a new range in the GPU" "0,1"
wgroup.long 0x870++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_0,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the unmapped exception event" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_UNMAPPED_CLEAR_1,This register contains the configuration options for the MIPS unmapped exception."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x878++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_0,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
bitfld.long 0x0 6. "Reserved_6,Reserved_6" "0,1"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,Select remap entry to configure. Valid range of Entry 0-31"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configure the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_CONFIG_1,This register contains the address remapping options for the MIPS CPU physical address. e.g. during boot"
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
wgroup.long 0x880++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_0,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
hexmask.long.byte 0x0 1.--5. 1. "ENTRY,MIPS address remap entry to read"
newline
bitfld.long 0x0 0. "REQUEST,Issue a read request to the MIPS address remap range entries" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_READ_1,This register contains the configuration options for the MIPS remap register read interface."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
rgroup.long 0x888++0x7
line.long 0x0 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_0,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR_IN,4K (12 bits) aligned address used as a input for the address remapping"
newline
bitfld.long 0x0 11. "TRUSTED,Defines whether these accesses are trusted" "0,1"
newline
hexmask.long.byte 0x0 7.--10. 1. "REGION_SIZE,Remapped region size"
newline
hexmask.long.byte 0x0 1.--6. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "MODE_ENABLE,When set configures the entry" "0,1"
line.long 0x4 "RGX_CR_MIPS_ADDR_REMAP_RANGE_DATA_1,This register contains the remapping entry information for the MIPS remap register read interface."
hexmask.long 0x4 4.--31. 1. "ADDR_OUT,4K (12 bits) aligned address used as output for the address remapping"
newline
bitfld.long 0x4 3. "Reserved_35,Reserved_35" "0,1"
newline
bitfld.long 0x4 0.--2. "OS_ID,The OS_ID emitted for all address transactions from this region" "0,1,2,3,4,5,6,7"
group.long 0x8A0++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send interrupts to HOST" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_ENABLE_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "EVENT,Indicates an outstanding interrupt to HOST from RGX firmware" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_IRQ_STATUS_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8B0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_0,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Clears the interrupt event to HOST from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_IRQ_CLEAR_1,This register contains the configuration options for the RGX firmware interrupts to HOST."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8B8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "EVENT,Allow RGX firmware to send non-maskable interrupts to MIPS" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_ENABLE_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
wgroup.long 0x8C0++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_0,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "TRIGGER,Issue a non-maskable interrupt to the MIPS SI_NMI pin from RGX firmware" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_NMI_EVENT_1,This register contains the configuration options for the RGX firmware non-maskable interrupts to MIPS."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
group.long 0x8C8++0x7
line.long 0x0 "RGX_CR_MIPS_DEBUG_CONFIG_0,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x0 0. "DISABLE_PROBE_DEBUG,Enable MIPS SecureDebug. Disables EJTAG access to the MIPS core and PC Sampling" "0,1"
line.long 0x4 "RGX_CR_MIPS_DEBUG_CONFIG_1,This register contains the security and debug configuration options for the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8D0++0x7
line.long 0x0 "RGX_CR_MIPS_EXCEPTION_STATUS_0,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x0 6.--31. 1. "Reserved_6,Reserved_6"
newline
bitfld.long 0x0 5. "SI_SLEEP,Reflects the status of the MIPS SI_Sleep pin" "0,1"
newline
bitfld.long 0x0 4. "SI_NMI_TAKEN,Reflects the status of the MIPS SI_NMITaken pin" "0,1"
newline
bitfld.long 0x0 3. "SI_NEST_EXL,Reflects the status of the MIPS SI_NESTEXL pin" "0,1"
newline
bitfld.long 0x0 2. "SI_NEST_ERL,Reflects the status of the MIPS SI_NESTERL pin" "0,1"
newline
bitfld.long 0x0 1. "SI_EXL,Reflects the status of the MIPS SI_EXL pin" "0,1"
newline
bitfld.long 0x0 0. "SI_ERL,Reflects the status of the MIPS SI_ERL pin" "0,1"
line.long 0x4 "RGX_CR_MIPS_EXCEPTION_STATUS_1,This register contains the exception status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_6,Reserved_6"
group.long 0x8D8++0xF
line.long 0x0 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_0,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x0 12.--31. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
newline
hexmask.long.byte 0x0 4.--11. 1. "Reserved_4,Reserved_4"
newline
bitfld.long 0x0 1.--3. "OS_ID,OS_ID of the emitted fence" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 0. "TRUSTED,Defines whether these accesses are trusted" "0,1"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_FENCE_CONFIG_1,This register contains the fence configuration options for when MIPS emits a memory fence onto the bus"
hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40"
newline
hexmask.long.byte 0x4 0.--7. 1. "BASE_ADDR,4K (12 bits) aligned address that serves as the external memory fence base address"
line.long 0x8 "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_0,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0x8 1.--31. 1. "Reserved_1,Reserved_1"
newline
bitfld.long 0x8 0. "PENDING,MIPS wrapper L2 cache is being invalidated" "0,1"
line.long 0xC "RGX_CR_MIPS_WRAPPER_CACHE_INVAL_1,A write of '1' to this register invalidates the MIPS wrapper L2 cache The cache hardware will clear this bit when the invalidation operation completes"
hexmask.long 0xC 0.--31. 1. "Reserved_1,Reserved_1"
rgroup.long 0x8E8++0x7
line.long 0x0 "RGX_CR_MIPS_WRAPPER_STATUS_0,This register contains status information of the MIPS GPU scheduler."
hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8"
newline
hexmask.long.byte 0x0 0.--7. 1. "OUTSTANDING_REQUESTS,Outstanding requests by the MIPS"
line.long 0x4 "RGX_CR_MIPS_WRAPPER_STATUS_1,This register contains status information of the MIPS GPU scheduler."
hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8"
group.long 0x900++0x17
line.long 0x0 "RGX_CR_EVENT_TDM_PDS_CODE_0,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x0 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_EVENT_TDM_PDS_CODE_1,PDS code segment address for 2D End-of-Tile event shader."
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_EVENT_TDM_PDS_DATA_0,RGX_CR_EVENT_TDM_PDS_DATA_0"
hexmask.long 0x8 4.--31. 1. "ADDR,PDS Execution Address for pixel tasks (Positioned as a byte address 128 bit granularity) 4 GB Range"
newline
hexmask.long.byte 0x8 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0xC "RGX_CR_EVENT_TDM_PDS_DATA_1,RGX_CR_EVENT_TDM_PDS_DATA_1"
hexmask.long 0xC 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x10 "RGX_CR_EVENT_TDM_PDS_INFO_0,RGX_CR_EVENT_TDM_PDS_INFO_0"
hexmask.long.word 0x10 16.--31. 1. "Reserved_16,Reserved_16"
newline
hexmask.long.byte 0x10 10.--15. 1. "USC_SR_SIZE,USC Shared Register Data Size in 4x128 bit words (0=0) for pixel event task if zero pixel event task is skipped"
newline
hexmask.long.byte 0x10 5.--9. 1. "TEMP_STRIDE,PDS Temp Size in 64 bit words (0=0) for pixel event tasks"
newline
hexmask.long.byte 0x10 0.--4. 1. "CONST_SIZE,PDS Data Size in 128 bit words (0=0) for pixel event tasks if zero pixel event task is skipped"
line.long 0x14 "RGX_CR_EVENT_TDM_PDS_INFO_1,RGX_CR_EVENT_TDM_PDS_INFO_1"
hexmask.long 0x14 0.--31. 1. "Reserved_16,Reserved_16"
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x918)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND0_BASE_$1,PDS Background Setup Word 0"
hexmask.long 0x0 4.--31. 1. "SHADER_ADDR,The pixel shader base is the base address of the PDS Data Segment. The code segment is address is PDS_PIXEL_SHADERBASE + PDS_PIXELSHADERSIZE. The pixel shader program issues the DOUTU for the Fragment Shader only."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
repeat 2. (list 0x0 0x1 )(list 0x0 0x4 )
group.long ($2+0x920)++0x3
line.long 0x0 "RGX_CR_PDS_TDM_BGRND1_BASE_$1,PDS Background Setup Word 1"
hexmask.long 0x0 4.--31. 1. "VARYING_ADDR,This is the base address of the PDS Data Segment. The code segment is address is PDS_VARYINGBASE + PDS_VARYINGSIZE (PDS_BGRND_SIZEINFO1)."
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
repeat.end
group.long 0x928++0xF
line.long 0x0 "RGX_CR_PDS_TDM_BGRND2_BASE_0,PDS Background Setup Word 2"
hexmask.long 0x0 4.--31. 1. "UNIFORMDATA_ADDR,This points to the DMAs to load the Uniforms (or contains the Uniforms with DOUTW commands)"
newline
hexmask.long.byte 0x0 0.--3. 1. "Reserved_0,Reserved_0"
line.long 0x4 "RGX_CR_PDS_TDM_BGRND2_BASE_1,PDS Background Setup Word 2"
hexmask.long 0x4 0.--31. 1. "Reserved_32,Reserved_32"
line.long 0x8 "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_0,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.byte 0x8 27.--31. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
newline
hexmask.long.byte 0x8 21.--26. 1. "PDS_UNIFORMSIZE,The size of the Uniform PDS Data Segment in 128 bit words 0=0. 32 max"
newline
hexmask.long.byte 0x8 15.--20. 1. "PDS_TEXTURESTATESIZE,The size of the Texture PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.byte 0x8 9.--14. 1. "PDS_VARYINGSIZE,The size of the Varying/Coefficient PDS Data Segment in 128 bit words 0=0 32 max"
newline
hexmask.long.word 0x8 0.--8. 1. "USC_VARYINGSIZE,The size of the Varying/Coefficient USC Common Store Data in 4x128 bit words 0=0. 256 max"
line.long 0xC "RGX_CR_PDS_TDM_BGRND3_SIZEINFO_1,If any of the size fields are 0. then that program will not be run. PDS_BGRND_PIXELSHADERSIZE is always 2 128 Bit Words"
hexmask.long.word 0xC 23.--31. 1. "Reserved_55,Reserved_55"
newline
hexmask.long.word 0xC 14.--22. 1. "USC_SHAREDSIZE,The common store allocation size for the shared registers (texture and uniform data commbined) 256 chunks max"
newline
hexmask.long.byte 0xC 9.--13. 1. "PDS_TEMPSIZE,0 = 0 64 bit words 1 = 1 64 bit word 248 bytes. This applies to coefficient uniform and texture state updates."
newline
hexmask.long.word 0xC 0.--8. 1. "PDS_BATCHNUM,The batch ID to be associated with the background"
group.long 0xB00++0xF
line.long 0x0 "RGX_CR_MTS_SCHEDULE_0,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1"
newline
bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3"
newline
bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_SCHEDULE_1,This register allows firmware tasks to be scheduled on the META (Garten) core."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_PROC_COMPLETE_0,This register allows firmware tasks to signal process completion."
hexmask.long 0x8 2.--31. 1. "Reserved_2,Reserved_2"
newline
bitfld.long 0x8 1. "CONTEXT,CONTEXT" "0,1"
newline
bitfld.long 0x8 0. "THREAD,Thread number. This is filled in by hardware and can have any value" "0,1"
line.long 0xC "RGX_CR_MTS_PROC_COMPLETE_1,This register allows firmware tasks to signal process completion."
hexmask.long 0xC 0.--31. 1. "Reserved_2,Reserved_2"
rgroup.long 0xB10++0x1F
line.long 0x0 "RGX_CR_MTS_BGCTX_SBDATA0_0,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x0 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x0 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x0 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type"
line.long 0x4 "RGX_CR_MTS_BGCTX_SBDATA0_1,This register contains the sideband data for the process running on the background context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x8 "RGX_CR_MTS_BGCTX_SBDATA1_0,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9"
newline
bitfld.long 0x8 6.--8. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x8 5. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
bitfld.long 0x8 4. "TASK,TASK" "0,1"
newline
hexmask.long.byte 0x8 0.--3. 1. "DM,DataMaster Type"
line.long 0xC "RGX_CR_MTS_BGCTX_SBDATA1_1,This register contains the sideband data for the process running on the background context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9"
line.long 0x10 "RGX_CR_MTS_INTCTX_SBDATA0_0,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x10 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x10 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x10 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x14 "RGX_CR_MTS_INTCTX_SBDATA0_1,This register contains the sideband data for the process running on the interrupt context of thread 0. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x14 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x14 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x14 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x14 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
line.long 0x18 "RGX_CR_MTS_INTCTX_SBDATA1_0,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long 0x18 6.--31. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
newline
hexmask.long.byte 0x18 2.--5. 1. "DM,DataMaster Type"
newline
bitfld.long 0x18 0.--1. "INT_TASK,Kick Request for timer/BG-request/host" "0,1,2,3"
line.long 0x1C "RGX_CR_MTS_INTCTX_SBDATA1_1,This register contains the sideband data for the process running on the interrupt context of thread 1. Reads of this register will be redirected to the correct. thread specific register by hardware."
hexmask.long.tbyte 0x1C 10.--31. 1. "Reserved_42,Reserved_42"
newline
bitfld.long 0x1C 7.--9. "OS_ID,The OS_ID of the active thread" "0,1,2,3,4,5,6,7"
newline
bitfld.long 0x1C 6. "THREAD_ACTIVE,Indicates the thread is active" "0,1"
newline
hexmask.long.byte 0x1C 0.--5. 1. "INT_STATUS,Interrupt Status for 32 event bus lines"
group.long 0xB30++0x27
line.long 0x0 "RGX_CR_MTS_BGCTX_THREAD0_DM_ASSOC_0,This register is the DataMaster assocation for the background context of thread 0. Bit 0 = No System Bus Security 1 = System Bus Restricted
1 = System Bus..,?" line.long 0x4 "RGX_CR_SYS_BUS_SECURE_1,Setting this register secures the IMG Configuration Registers from the System Bus. In secure mode all registers have read access only by default. When secure mode is being set. the register must be read back to confirm that the.." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0xA500)++0x3 line.long 0x0 "RGX_CR_FB_CDC_V3_$1,Framebuffer constant detection configuraton registers" hexmask.long.byte 0x0 24.--31. 1. "FBC_FBDC_UV_VAL1,video pixel format constant value" newline hexmask.long.byte 0x0 16.--23. 1. "FBC_FBDC_Y_VAL1,video pixel format constant value" newline hexmask.long.byte 0x0 8.--15. 1. "FBC_FBDC_UV_VAL0,video pixel format constant value" newline hexmask.long.byte 0x0 0.--7. 1. "FBC_FBDC_Y_VAL0,video pixel format constant value" repeat.end group.long 0xA508++0x7 line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_0,FBCDC corrupt tile filter register" hexmask.long 0x0 5.--31. 1. "Reserved_5,Reserved_5" newline hexmask.long.byte 0x0 1.--4. 1. "CLEAR,Clear corrupt tile filter status 1 bit per requester" newline bitfld.long 0x0 0. "ENABLE,Enable corrupt tile filter" "0,1" line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_1,FBCDC corrupt tile filter register" hexmask.long 0x4 0.--31. 1. "Reserved_5,Reserved_5" rgroup.long 0xA510++0x7 line.long 0x0 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_0,FBCDC corrupt tile filter register" hexmask.long 0x0 4.--31. 1. "Reserved_4,Reserved_4" newline hexmask.long.byte 0x0 0.--3. 1. "FBC_FBDC_CR_FILTER_STATUS,Status of corrupt tile filter 1 bit per requester" line.long 0x4 "RGX_CR_FBC_FBDC_CR_FILTER_STATUS_1,FBCDC corrupt tile filter register" hexmask.long 0x4 0.--31. 1. "Reserved_4,Reserved_4" group.long 0xA518++0x7 line.long 0x0 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_0,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures" hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "EN,Enable FBC_FBDC mode V3_1 for FBCDC formats defaults to V3" "0,1" line.long 0x4 "RGX_CR_FBC_FBDC_CR_MODE_V3_1_1,Enable FBC_FBDC mode V3_1 for FBC_FBDC textures" hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0xA520)++0x3 line.long 0x0 "RGX_CR_FBCDC_CC_$1,RGX_CR_FBCDC_CC_0" hexmask.long.byte 0x0 24.--31. 1. "CH3_VAL0,Constant colour detected value for channel 3." newline hexmask.long.byte 0x0 16.--23. 1. "CH2_VAL0,Constant colour detected value for channel 2." newline hexmask.long.byte 0x0 8.--15. 1. "CH1_VAL0,Constant colour detected value for channel 1." newline hexmask.long.byte 0x0 0.--7. 1. "CH0_VAL0,Constant colour detected value for channel 0." repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0xA528)++0x3 line.long 0x0 "RGX_CR_FBCDC_CC_YUV_$1,RGX_CR_FBCDC_CC_YUV_0" hexmask.long.byte 0x0 26.--31. 1. "Reserved_26,Reserved_26" newline hexmask.long.word 0x0 16.--25. 1. "UV_VAL0,Constant colour detected value uv-plane." newline hexmask.long.byte 0x0 10.--15. 1. "Reserved_10,Reserved_10" newline hexmask.long.word 0x0 0.--9. 1. "Y_VAL0,Constant colour detected value y-plane." repeat.end group.long 0xB000++0x7 line.long 0x0 "RGX_CR_PIPELINE_STATS_ENABLE_0,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing." hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17" newline bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "_3D,_3D" "0,1" newline hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "TA,TA" "0,1" line.long 0x4 "RGX_CR_PIPELINE_STATS_ENABLE_1,This register globally enables per DM pipeline statistics counters. Set this register to '1' to allow pipeline statistics counters to increment. Set this register to '0' to inhibit pipeline statistics from incrementing." hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17" wgroup.long 0xB008++0x7 line.long 0x0 "RGX_CR_PIPELINE_STATS_CLEAR_0,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick" hexmask.long.word 0x0 17.--31. 1. "Reserved_17,Reserved_17" newline bitfld.long 0x0 16. "COMPUTE,COMPUTE" "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "_3D,_3D" "0,1" newline hexmask.long.byte 0x0 1.--7. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "TA,TA" "0,1" line.long 0x4 "RGX_CR_PIPELINE_STATS_CLEAR_1,Writing '1' to fields of this register resets the pipeline statistics counters per DM The pipeline statistics should normally be cleared before each TA. 3D or Compute kick" hexmask.long 0x4 0.--31. 1. "Reserved_17,Reserved_17" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB010)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_VERTICES_$1,Number of vertices the Input Assembly stage generated (not subtracting any caching)" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB018)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_IA_PRIMITIVES_$1,Number of primitives the Input Assembly stage generated" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB020)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_VS_INVOCATIONS_$1,Number of times the Vertex Shader is executed" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB038)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_INVOCATIONS_$1,Number of times the Geometry Shader is executed" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB040)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_GS_PRIMITIVES_$1,Number of primitives the Geometry Shader generated" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB048)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_C_INVOCATIONS_$1,Number of times the Clipper is executed" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB050)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_C_PRIMITIVES_$1,Number of primitives the Clipper generated" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB058)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_PS_INVOCATIONS_$1,Number of times the Pixel Shader is executed" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xB060)++0x3 line.long 0x0 "RGX_CR_PIPELINE_STATS_CS_INVOCATIONS_$1,Number of times the Compute Shader is executed" hexmask.long 0x0 0.--31. 1. "COUNT,COUNT" repeat.end rgroup.long 0xE000++0x7 line.long 0x0 "RGX_CR_CACHE_CFI_EVENT_0,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.." hexmask.long.word 0x0 16.--31. 1. "MCU_L0_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L0 cache (there can be up to 16 MCU L0 caches depending on the number of clusters)" newline hexmask.long.word 0x0 0.--15. 1. "MADD_PENDING,1 Indicates there is a pending global CFI operation on the specified MADD Texture cache (there can be up to 16 MADD caches depending on the number of clusters)" line.long 0x4 "RGX_CR_CACHE_CFI_EVENT_1,Global Flush and Invalidation Pending bits for all Texture and Data Caches in the design. The bits are set high after a Flush/Invalidate is requested and will be set low again as each cache in turn completes processing. therefore.." hexmask.long.tbyte 0x4 9.--31. 1. "Reserved_41,Reserved_41" newline bitfld.long 0x4 8. "SLC_PENDING,1 Indicates there is a pending global CFI operation on the SLC cache" "0,1" newline hexmask.long.byte 0x4 0.--7. 1. "MCU_L1_PENDING,1 Indicates there is a pending global CFI operation on the specified MCU L1 cache (there can be up to 8 MCU L1 caches depending on the number of clusters)" group.long 0xE138++0x7 line.long 0x0 "RGX_CR_MMU_CTRL_INVAL_0,MMU invalidation control registers" hexmask.long.tbyte 0x0 12.--31. 1. "Reserved_12,Reserved_12" newline bitfld.long 0x0 11. "ALL_CONTEXTS,When ALL_CONTEXTS is set all context ids get invalidated (global invalidation)" "0,1" newline hexmask.long.byte 0x0 3.--10. 1. "CONTEXT,When ALL_CONTEXTS is not set this field specifies the context id to be invalidated (per-context invalidation)" newline bitfld.long 0x0 2. "PC,Invalidates PC PD & PT" "0,1" newline bitfld.long 0x0 1. "PD,Invalidates PD & PT" "0,1" newline bitfld.long 0x0 0. "PT,Invalidates PT" "0,1" line.long 0x4 "RGX_CR_MMU_CTRL_INVAL_1,MMU invalidation control registers" hexmask.long 0x4 0.--31. 1. "Reserved_12,Reserved_12" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xF100)++0x3 line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_$1,Power Monitoring registers for the FBCDC" hexmask.long 0x0 0.--31. 1. "FBDC,Number of accesses to the FBDC per clock" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0xF108)++0x3 line.long 0x0 "RGX_CR_FB_CDC_PWR_NUM_MCU_$1,Power Monitoring registers for the FBCDC" hexmask.long 0x0 0.--31. 1. "FBTC,Number of accesses to the MCU FBTC per clock" repeat.end rgroup.long 0xF220++0xF line.long 0x0 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_0,Blackpearl BIF return FIFO word count" hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline hexmask.long.word 0x0 0.--8. 1. "COUNTER,COUNTER" line.long 0x4 "RGX_CR_BIF_BLACKPEARL_RTN_FIFO_WORD_COUNT_1,Blackpearl BIF return FIFO word count" hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" line.long 0x8 "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_0,Jones BIF return FIFO word count" hexmask.long.tbyte 0x8 9.--31. 1. "Reserved_9,Reserved_9" newline hexmask.long.word 0x8 0.--8. 1. "COUNTER,COUNTER" line.long 0xC "RGX_CR_BIF_JONES_RTN_FIFO_WORD_COUNT_1,Jones BIF return FIFO word count" hexmask.long 0xC 0.--31. 1. "Reserved_9,Reserved_9" group.long 0xF258++0x7 line.long 0x0 "RGX_CR_TDM_GRIDOFFSET_0,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED." hexmask.long.tbyte 0x0 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x0 4.--7. 1. "GRID_Y,Unsigned sub-pixel offset" newline hexmask.long.byte 0x0 0.--3. 1. "GRID_X,Unsigned sub-pixel offset" line.long 0x4 "RGX_CR_TDM_GRIDOFFSET_1,Sample position grid offset for use when MSAA/ODAA is DISABLED DX (0.0.0.0) OpenGL (0.5.0.5) Acts as the 9th multisample position when MSAA is ENABLED." hexmask.long 0x4 0.--31. 1. "Reserved_8,Reserved_8" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0xF260)++0x3 line.long 0x0 "RGX_CR_TDM_MULTISAMPLECTL_$1,Sample position grid offset for use in 2x. 4x. 8xMSAA and ODAA modes." hexmask.long.byte 0x0 28.--31. 1. "MSAA_Y3,Unsigned sub-pixel offset for the 4th multisample Y position" newline hexmask.long.byte 0x0 24.--27. 1. "MSAA_X3,Unsigned sub-pixel offset for the 4th multisample X position" newline hexmask.long.byte 0x0 20.--23. 1. "MSAA_Y2,Unsigned sub-pixel offset for the 3rd multisample Y position" newline hexmask.long.byte 0x0 16.--19. 1. "MSAA_X2,Unsigned sub-pixel offset for the 3rd multisample X position" newline hexmask.long.byte 0x0 12.--15. 1. "MSAA_Y1,Unsigned sub-pixel offset for the 2nd multisample Y position" newline hexmask.long.byte 0x0 8.--11. 1. "MSAA_X1,Unsigned sub-pixel offset for the 2nd multisample X position" newline hexmask.long.byte 0x0 4.--7. 1. "MSAA_Y0,Unsigned sub-pixel offset for the 1st multisample Y position" newline hexmask.long.byte 0x0 0.--3. 1. "MSAA_X0,Unsigned sub-pixel offset for the 1st multisample X position" repeat.end group.long 0xF268++0x7 line.long 0x0 "RGX_CR_USC_CODE_BASE_2D_0,RGX_CR_USC_CODE_BASE_2D_0" hexmask.long 0x0 6.--31. 1. "ADDR,2D Data Master Code Base Register bits" newline hexmask.long.byte 0x0 0.--5. 1. "Reserved_0,Reserved_0" line.long 0x4 "RGX_CR_USC_CODE_BASE_2D_1,RGX_CR_USC_CODE_BASE_2D_1" hexmask.long.tbyte 0x4 8.--31. 1. "Reserved_40,Reserved_40" newline hexmask.long.byte 0x4 0.--7. 1. "ADDR,2D Data Master Code Base Register bits" group.long 0x10B00++0x7 line.long 0x0 "RGX_CR_MTS_SCHEDULE1_0,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1" newline bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3" newline bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1" newline bitfld.long 0x0 4. "TASK,TASK" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type" line.long 0x4 "RGX_CR_MTS_SCHEDULE1_1,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" rgroup.long 0x10B98++0x17 line.long 0x0 "RGX_CR_MTS_INTCTX1_0,This register contains the sideband data for the MTS internal interrupt context registers" bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3" newline hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests" newline hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16" newline hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests" newline hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests" line.long 0x4 "RGX_CR_MTS_INTCTX1_1,This register contains the sideband data for the MTS internal interrupt context registers" hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30" line.long 0x8 "RGX_CR_MTS_BGCTX1_0,This register contains the sideband data for the MTS internal background context registers" hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request" line.long 0xC "RGX_CR_MTS_BGCTX1_1,This register contains the sideband data for the MTS internal background context registers" hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8" line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_0,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3" newline hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2" newline hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1" newline hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0" line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE1_1,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48" newline hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5" newline hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4" rgroup.long 0x10BD8++0x7 line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x10BE8++0x7 line.long 0x0 "RGX_CR_IRQ_OS1_EVENT_CLEAR_0,This register clears a per-OS host interrupt." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS1_EVENT_CLEAR_1,This register clears a per-OS host interrupt." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x20B00++0x7 line.long 0x0 "RGX_CR_MTS_SCHEDULE2_0,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1" newline bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3" newline bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1" newline bitfld.long 0x0 4. "TASK,TASK" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type" line.long 0x4 "RGX_CR_MTS_SCHEDULE2_1,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" rgroup.long 0x20B98++0x17 line.long 0x0 "RGX_CR_MTS_INTCTX2_0,This register contains the sideband data for the MTS internal interrupt context registers" bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3" newline hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests" newline hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16" newline hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests" newline hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests" line.long 0x4 "RGX_CR_MTS_INTCTX2_1,This register contains the sideband data for the MTS internal interrupt context registers" hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30" line.long 0x8 "RGX_CR_MTS_BGCTX2_0,This register contains the sideband data for the MTS internal background context registers" hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request" line.long 0xC "RGX_CR_MTS_BGCTX2_1,This register contains the sideband data for the MTS internal background context registers" hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8" line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_0,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3" newline hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2" newline hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1" newline hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0" line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE2_1,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48" newline hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5" newline hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4" rgroup.long 0x20BD8++0x7 line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x20BE8++0x7 line.long 0x0 "RGX_CR_IRQ_OS2_EVENT_CLEAR_0,This register clears a per-OS host interrupt." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS2_EVENT_CLEAR_1,This register clears a per-OS host interrupt." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x30B00++0x7 line.long 0x0 "RGX_CR_MTS_SCHEDULE3_0,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1" newline bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3" newline bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1" newline bitfld.long 0x0 4. "TASK,TASK" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type" line.long 0x4 "RGX_CR_MTS_SCHEDULE3_1,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" rgroup.long 0x30B98++0x17 line.long 0x0 "RGX_CR_MTS_INTCTX3_0,This register contains the sideband data for the MTS internal interrupt context registers" bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3" newline hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests" newline hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16" newline hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests" newline hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests" line.long 0x4 "RGX_CR_MTS_INTCTX3_1,This register contains the sideband data for the MTS internal interrupt context registers" hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30" line.long 0x8 "RGX_CR_MTS_BGCTX3_0,This register contains the sideband data for the MTS internal background context registers" hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request" line.long 0xC "RGX_CR_MTS_BGCTX3_1,This register contains the sideband data for the MTS internal background context registers" hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8" line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_0,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3" newline hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2" newline hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1" newline hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0" line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE3_1,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48" newline hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5" newline hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4" rgroup.long 0x30BD8++0x7 line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x30BE8++0x7 line.long 0x0 "RGX_CR_IRQ_OS3_EVENT_CLEAR_0,This register clears a per-OS host interrupt." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS3_EVENT_CLEAR_1,This register clears a per-OS host interrupt." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x40B00++0x7 line.long 0x0 "RGX_CR_MTS_SCHEDULE4_0,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1" newline bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3" newline bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1" newline bitfld.long 0x0 4. "TASK,TASK" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type" line.long 0x4 "RGX_CR_MTS_SCHEDULE4_1,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" rgroup.long 0x40B98++0x17 line.long 0x0 "RGX_CR_MTS_INTCTX4_0,This register contains the sideband data for the MTS internal interrupt context registers" bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3" newline hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests" newline hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16" newline hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests" newline hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests" line.long 0x4 "RGX_CR_MTS_INTCTX4_1,This register contains the sideband data for the MTS internal interrupt context registers" hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30" line.long 0x8 "RGX_CR_MTS_BGCTX4_0,This register contains the sideband data for the MTS internal background context registers" hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request" line.long 0xC "RGX_CR_MTS_BGCTX4_1,This register contains the sideband data for the MTS internal background context registers" hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8" line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_0,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3" newline hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2" newline hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1" newline hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0" line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE4_1,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48" newline hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5" newline hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4" rgroup.long 0x40BD8++0x7 line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x40BE8++0x7 line.long 0x0 "RGX_CR_IRQ_OS4_EVENT_CLEAR_0,This register clears a per-OS host interrupt." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS4_EVENT_CLEAR_1,This register clears a per-OS host interrupt." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x50B00++0x7 line.long 0x0 "RGX_CR_MTS_SCHEDULE5_0,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1" newline bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3" newline bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1" newline bitfld.long 0x0 4. "TASK,TASK" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type" line.long 0x4 "RGX_CR_MTS_SCHEDULE5_1,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" rgroup.long 0x50B98++0x17 line.long 0x0 "RGX_CR_MTS_INTCTX5_0,This register contains the sideband data for the MTS internal interrupt context registers" bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3" newline hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests" newline hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16" newline hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests" newline hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests" line.long 0x4 "RGX_CR_MTS_INTCTX5_1,This register contains the sideband data for the MTS internal interrupt context registers" hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30" line.long 0x8 "RGX_CR_MTS_BGCTX5_0,This register contains the sideband data for the MTS internal background context registers" hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request" line.long 0xC "RGX_CR_MTS_BGCTX5_1,This register contains the sideband data for the MTS internal background context registers" hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8" line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_0,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3" newline hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2" newline hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1" newline hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0" line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE5_1,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48" newline hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5" newline hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4" rgroup.long 0x50BD8++0x7 line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x50BE8++0x7 line.long 0x0 "RGX_CR_IRQ_OS5_EVENT_CLEAR_0,This register clears a per-OS host interrupt." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS5_EVENT_CLEAR_1,This register clears a per-OS host interrupt." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x60B00++0x7 line.long 0x0 "RGX_CR_MTS_SCHEDULE6_0,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1" newline bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3" newline bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1" newline bitfld.long 0x0 4. "TASK,TASK" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type" line.long 0x4 "RGX_CR_MTS_SCHEDULE6_1,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" rgroup.long 0x60B98++0x17 line.long 0x0 "RGX_CR_MTS_INTCTX6_0,This register contains the sideband data for the MTS internal interrupt context registers" bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3" newline hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests" newline hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16" newline hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests" newline hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests" line.long 0x4 "RGX_CR_MTS_INTCTX6_1,This register contains the sideband data for the MTS internal interrupt context registers" hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30" line.long 0x8 "RGX_CR_MTS_BGCTX6_0,This register contains the sideband data for the MTS internal background context registers" hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request" line.long 0xC "RGX_CR_MTS_BGCTX6_1,This register contains the sideband data for the MTS internal background context registers" hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8" line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_0,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3" newline hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2" newline hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1" newline hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0" line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE6_1,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48" newline hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5" newline hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4" rgroup.long 0x60BD8++0x7 line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x60BE8++0x7 line.long 0x0 "RGX_CR_IRQ_OS6_EVENT_CLEAR_0,This register clears a per-OS host interrupt." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS6_EVENT_CLEAR_1,This register clears a per-OS host interrupt." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x70B00++0x7 line.long 0x0 "RGX_CR_MTS_SCHEDULE7_0,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long.tbyte 0x0 9.--31. 1. "Reserved_9,Reserved_9" newline bitfld.long 0x0 8. "HOST,Host Interrupte kick" "0,1" newline bitfld.long 0x0 6.--7. "PRIORITY,DataMaster Priority" "0,1,2,3" newline bitfld.long 0x0 5. "CONTEXT,CONTEXT" "0,1" newline bitfld.long 0x0 4. "TASK,TASK" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "DM,DataMaster Type" line.long 0x4 "RGX_CR_MTS_SCHEDULE7_1,This register allows firmware tasks to be scheduled on the META (Garten) core." hexmask.long 0x4 0.--31. 1. "Reserved_9,Reserved_9" rgroup.long 0x70B98++0x17 line.long 0x0 "RGX_CR_MTS_INTCTX7_0,This register contains the sideband data for the MTS internal interrupt context registers" bitfld.long 0x0 30.--31. "Reserved_30,Reserved_30" "0,1,2,3" newline hexmask.long.byte 0x0 22.--29. 1. "DM_HOST_SCHEDULE,A 1 bit counter per DM for host requests" newline hexmask.long.byte 0x0 16.--21. 1. "Reserved_16,Reserved_16" newline hexmask.long.byte 0x0 8.--15. 1. "DM_TIMER_SCHEDULE,A 1 bit counter per DM for timer requests" newline hexmask.long.byte 0x0 0.--7. 1. "DM_INTERRUPT_SCHEDULE,A 1 bit counter per DM for interrupt requests" line.long 0x4 "RGX_CR_MTS_INTCTX7_1,This register contains the sideband data for the MTS internal interrupt context registers" hexmask.long 0x4 0.--31. 1. "Reserved_30,Reserved_30" line.long 0x8 "RGX_CR_MTS_BGCTX7_0,This register contains the sideband data for the MTS internal background context registers" hexmask.long.tbyte 0x8 8.--31. 1. "Reserved_8,Reserved_8" newline hexmask.long.byte 0x8 0.--7. 1. "DM_NONCOUNTED_SCHEDULE,A 1 bit counter per DM for non-counted background request" line.long 0xC "RGX_CR_MTS_BGCTX7_1,This register contains the sideband data for the MTS internal background context registers" hexmask.long 0xC 0.--31. 1. "Reserved_8,Reserved_8" line.long 0x10 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_0,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.byte 0x10 24.--31. 1. "DM3,A 8 bit counter for DM3" newline hexmask.long.byte 0x10 16.--23. 1. "DM2,A 8 bit counter for DM2" newline hexmask.long.byte 0x10 8.--15. 1. "DM1,A 8 bit counter for DM1" newline hexmask.long.byte 0x10 0.--7. 1. "DM0,A 8 bit counter for DM0" line.long 0x14 "RGX_CR_MTS_BGCTX_COUNTED_SCHEDULE7_1,This register contains the sideband data for the MTS internal counted background context counters" hexmask.long.word 0x14 16.--31. 1. "Reserved_48,Reserved_48" newline hexmask.long.byte 0x14 8.--15. 1. "DM5,A 8 bit counter for DM5" newline hexmask.long.byte 0x14 0.--7. 1. "DM4,A 8 bit counter for DM4" rgroup.long 0x70BD8++0x7 line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_STATUS_0,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_STATUS_1,This register indicates the source of a per-OS host interrupt. This register is set by the firmware and cleared by the host." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" group.long 0x70BE8++0x7 line.long 0x0 "RGX_CR_IRQ_OS7_EVENT_CLEAR_0,This register clears a per-OS host interrupt." hexmask.long 0x0 1.--31. 1. "Reserved_1,Reserved_1" newline bitfld.long 0x0 0. "SOURCE,SOURCE" "0,1" line.long 0x4 "RGX_CR_IRQ_OS7_EVENT_CLEAR_1,This register clears a per-OS host interrupt." hexmask.long 0x4 0.--31. 1. "Reserved_1,Reserved_1" tree.end endif tree.end tree "I2C (Inter-Integrated Circuit Interface)" sif (CORENAME()=="CORTEXR5F") repeat 12. (increment 1. 1.) (list ad:0xF00B0000 ad:0xF00C0000 ad:0xF00D0000 ad:0xF00E0000 ad:0xF0AC0000 ad:0xF0AD0000 ad:0xF0AE0000 ad:0xF0AF0000 ad:0xF0B00000 ad:0xF0B10000 ad:0xF0B20000 ad:0xF0B30000) tree "I2C$1" base $2 group.long 0x0++0xB line.long 0x0 "IC_CON,I2C Control Register. This register can be written only when the DW_apb_i2c is disabled. which corresponds to the IC_ENABLE[0] register being set to 0. Writes at other times have no effect. Read/Write Access: - If configuration parameter.." hexmask.long.word 0x0 20.--31. 1. "RSVD_IC_CON_2,IC_CON_2 Reserved bits - Read Only" newline bitfld.long 0x0 19. "SMBUS_PERSISTENT_SLV_ADDR_EN,The bit controls to enable DW_apb_i2c slave as persistent or non persistent slave. If the slave is non-PSA then DW_apb_i2c slave device clears the Address valid flag for both General and Directed Reset ARP command else the.." "SMBus Persistent Slave address control is disabled,SMBus Persistent Slave address control is enabled" newline bitfld.long 0x0 18. "SMBUS_ARP_EN,This bit controls whether DW_apb_i2c should enable Address Resolution Logic in SMBus Mode. The Slave mode will decode the Address Resolution Protocol commands and respond to it. The DW_apb_i2c slave also includes the generation/validity of.." "SMBus ARP control is disabled,SMBus ARP control is enabled" newline bitfld.long 0x0 17. "SMBUS_SLAVE_QUICK_EN,If this bit is set to 1 DW_apb_i2c slave only receives Quick commands in SMBus Mode. If this bit is set to 0 DW_apb_i2c slave receives all bus protocols but not Quick commands. This bit is applicable only in slave mode. Reset.." "SMBus SLave is disabled to receive Quick command,SMBus SLave is enabled to receive Quick command" newline rbitfld.long 0x0 16. "RSVD_OPTIONAL_SAR_CTRL,OPTIONAL_SAR_CTRL Reserved bits - Read Only" "0,1" newline hexmask.long.byte 0x0 12.--15. 1. "RSVD_IC_CON_1,IC_CON_1 Reserved bits - Read Only" newline bitfld.long 0x0 11. "BUS_CLEAR_FEATURE_CTRL,In Master mode: - 1'b1: Bus Clear Feature is enabled. - 1'b0: Bus Clear Feature is Disabled. In Slave mode this register bit is not applicable. Reset value: 0x0. 0x0: Bus Clear Feature is disabled. 0x1: Bus Clear Feature ois.." "Bus Clear Feature is disabled,Bus Clear Feature ois enabled" newline rbitfld.long 0x0 10. "STOP_DET_IF_MASTER_ACTIVE,In Master mode: - 1'b1: issues the STOP_DET interrupt only when master is active. - 1'b0: issues the STOP_DET irrespective of whether master is active or not. Reset value: 0x0. 0x0: Master issues the STOP_DET interrupt.." "Master issues the STOP_DET interrupt..,Master issues the STOP_DET interrupt only when.." newline bitfld.long 0x0 9. "RX_FIFO_FULL_HLD_CTRL,This bit controls whether DW_apb_i2c should hold the bus when the Rx FIFO is physically full to its RX_BUFFER_DEPTH as described in the IC_RX_FULL_HLD_BUS_EN parameter. Reset value: 0x0. 0x0: Overflow when RX_FIFO is full 0x1: Hold.." "Overflow when RX_FIFO is full,Hold bus when RX_FIFO is full" newline bitfld.long 0x0 8. "TX_EMPTY_CTRL,This bit controls the generation of the TX_EMPTY interrupt as described in the IC_RAW_INTR_STAT register. Reset value: 0x0. 0x0: Default behaviour of TX_EMPTY interrupt 0x1: Controlled generation of TX_EMPTY interrupt" "Default behaviour of TX_EMPTY interrupt,Controlled generation of TX_EMPTY interrupt" newline bitfld.long 0x0 7. "STOP_DET_IFADDRESSED,In slave mode: - 1'b1: issues the STOP_DET interrrupt only when it is addressed. - 0'b0: issues the STOP_DET irrespective of whether it's addressed or not. Reset value: 0x0 NOTE: During a general call address this slave does not.." "slave issues STOP_DET intr always,slave issues STOP_DET intr only if addressed" newline bitfld.long 0x0 6. "IC_SLAVE_DISABLE,This bit controls whether I2C has its slave disabled which means once the presetn signal is applied then this bit takes on the value of the configuration parameter IC_SLAVE_DISABLE. You have the choice of having the slave enabled or.." "Slave mode is enabled,Slave mode is disabled" newline bitfld.long 0x0 5. "IC_RESTART_EN,Determines whether RESTART conditions may be sent when acting as a master. Some older slaves do not support handling RESTART conditions; however RESTART conditions are used in several DW_apb_i2c operations. When RESTART is disabled the.." "Master restart disabled,Master restart enabled" newline bitfld.long 0x0 4. "IC_10BITADDR_MASTER,If the I2C_DYNAMIC_TAR_UPDATE configuration parameter is set to 'No' (0) this bit is named IC_10BITADDR_MASTER and controls whether the DW_apb_i2c starts its transfers in 7- or 10-bit addressing mode when acting as a master. If.." "Master 7Bit addressing mode,Master 10Bit addressing mode" newline bitfld.long 0x0 3. "IC_10BITADDR_SLAVE,When acting as a slave this bit controls whether the DW_apb_i2c responds to 7- or 10-bit addresses. - 0: 7-bit addressing. The DW_apb_i2c ignores transactions that involve 10-bit addressing; for 7-bit addressing only the lower 7 bits.." "Slave 7Bit addressing,Slave 10Bit addressing" newline bitfld.long 0x0 1.--2. "SPEED,These bits control at which speed the DW_apb_i2c operates; its setting is relevant only if one is operating the DW_apb_i2c in master mode. Hardware protects against illegal values being programmed by software. These bits must be programmed.." "?,Standard Speed mode of operation,Fast or Fast Plus mode of operation,High Speed mode of operation" newline bitfld.long 0x0 0. "MASTER_MODE,This bit controls whether the DW_apb_i2c master is enabled. Reset value: IC_MASTER_MODE configuration parameter NOTE: Software should ensure that if this bit is written with '1' then bit 6 should also be written with a '1'. 0x0: Master mode.." "Master mode is disabled,Master mode is enabled" line.long 0x4 "IC_TAR,I2C Target Address Register If the configuration parameter I2C_DYNAMIC_TAR_UPDATE is set to 'No' (0). this register is 12 bits wide. and bits 31:12 are reserved. This register can be written to only when IC_ENABLE[0] is set to 0. However. if.." hexmask.long.word 0x4 17.--31. 1. "RSVD_IC_TAR_2,IC_TAR_2 Reserved bits - Read Only" newline bitfld.long 0x4 16. "SMBUS_QUICK_CMD,If bit 11 (SPECIAL) is set to 1 then this bit indicates whether a Quick command is to be performed by the DW_apb_i2c. Reset value: 0x0 0x0: Disables programming of QUICK-CMD transmission 0x1: Enables programming of QUICK-CMD transmission" "Disables programming of QUICK-CMD transmission,Enables programming of QUICK-CMD transmission" newline rbitfld.long 0x4 14.--15. "RSVD_IC_TAR_1,IC_TAR_1 Reserved bits - Read Only" "0,1,2,3" newline rbitfld.long 0x4 13. "RSVD_DEVICE_ID,DEVICE_ID Reserved bits - Read Only" "0,1" newline rbitfld.long 0x4 12. "RSVD_IC_10BITADDR_MASTER,IC_10BITADDR_MASTER Reserved bits - Read Only" "0,1" newline bitfld.long 0x4 11. "SPECIAL,This bit indicates whether software performs a Device-ID or General Call or START BYTE command. - 0: ignore bit 10 GC_OR_START and use IC_TAR normally - 1: perform special I2C command as specified in Device_ID or GC_OR_START bit Reset value: 0x0.." "Disables programming of GENERAL_CALL or..,Enables programming of GENERAL_CALL or.." newline bitfld.long 0x4 10. "GC_OR_START,If bit 11 (SPECIAL) is set to 1 and bit 13(Device-ID) is set to 0 then this bit indicates whether a General Call or START byte command is to be performed by the DW_apb_i2c. - 0: General Call Address - after issuing a General Call only.." "GENERAL_CALL byte transmission,START byte transmission" newline hexmask.long.word 0x4 0.--9. 1. "IC_TAR,This is the target address for any master transaction. When transmitting a General Call these bits are ignored. To generate a START BYTE the CPU needs to write only once into these bits. If the IC_TAR and IC_SAR are the same loopback exists but.." line.long 0x8 "IC_SAR,I2C Slave Address Register" hexmask.long.tbyte 0x8 10.--31. 1. "RSVD_IC_SAR,IC_SAR Reserved bits - Read Only" newline hexmask.long.word 0x8 0.--9. 1. "IC_SAR,The IC_SAR holds the slave address when the I2C is operating as a slave. For 7-bit addressing only IC_SAR[6:0] is used. This register can be written only when the I2C interface is disabled which corresponds to the IC_ENABLE[0] register being set.." group.long 0x10++0x13 line.long 0x0 "IC_DATA_CMD,I2C Rx/Tx Data Buffer and Command Register; this is the register the CPU writes to when filling the TX FIFO and the CPU reads from when retrieving bytes from RX FIFO. The size of the register changes as follows: Write: - 11 bits when.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVD_IC_DATA_CMD,IC_DATA_CMD Reserved bits - Read Only" newline rbitfld.long 0x0 11. "FIRST_DATA_BYTE,Indicates the first data byte received after the address phase for receive transfer in Master receiver or Slave receiver mode. Reset value : 0x0 NOTE: In case of APB_DATA_WIDTH=8 1. The user has to perform two APB Reads to IC_DATA_CMD in.." "Sequential data byte received,Non sequential data byte received" newline bitfld.long 0x0 10. "RESTART,This bit controls whether a RESTART is issued before the byte is sent or received. This bit is available only if IC_EMPTYFIFO_HOLD_MASTER_EN is configured to 1. 1 - If IC_RESTART_EN is 1 a RESTART is issued before the data is sent/received.." "Donot Issue RESTART before this command,Issue RESTART before this command" newline bitfld.long 0x0 9. "STOP,This bit controls whether a STOP is issued after the byte is sent or received. This bit is available only if IC_EMPTYFIFO_HOLD_MASTER_EN is configured to 1. - 1 - STOP is issued after this byte regardless of whether or not the Tx FIFO is empty. If.." "Donot Issue STOP after this command,Issue STOP after this command" newline bitfld.long 0x0 8. "CMD,This bit controls whether a read or a write is performed. This bit does not control the direction when the DW_apb_i2c acts as a slave. It controls only the direction when it acts as a master. When a command is entered in the TX FIFO this bit.." "Master Write Command,Master Read Command" newline hexmask.long.byte 0x0 0.--7. 1. "DAT,This register contains the data to be transmitted or received on the I2C bus. If you are writing to this register and want to perform a read bits 7:0 (DAT) are ignored by the DW_apb_i2c. However when you read this register these bits return the.." line.long 0x4 "IC_SS_SCL_HCNT,Standard Speed I2C Clock SCL High Count Register" hexmask.long.word 0x4 16.--31. 1. "RSVD_IC_SS_SCL_HIGH_COUNT,IC_SS_SCL_HCNT Reserved bits - Read Only" newline hexmask.long.word 0x4 0.--15. 1. "IC_SS_SCL_HCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock high-period count for standard speed. For more information refer to 'IC_CLK Frequency Configuration'." line.long 0x8 "IC_SS_SCL_LCNT,Standard Speed I2C Clock SCL Low Count Register" hexmask.long.word 0x8 16.--31. 1. "RSVD_IC_SS_SCL_LOW_COUNT,RSVD_IC_SS_SCL_LOW_COUNT Reserved bits - Read Only" newline hexmask.long.word 0x8 0.--15. 1. "IC_SS_SCL_LCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock low period count for standard speed. For more information refer to 'IC_CLK Frequency Configuration' This.." line.long 0xC "IC_FS_SCL_HCNT,Fast Mode or Fast Mode Plus I2C Clock SCL High Count Register" hexmask.long.word 0xC 16.--31. 1. "RSVD_IC_FS_SCL_HCNT,IC_FS_SCL_HCNT Reserved bits - Read Only" newline hexmask.long.word 0xC 0.--15. 1. "IC_FS_SCL_HCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock high-period count for fast mode or fast mode plus. It is used in high-speed mode to send the Master Code.." line.long 0x10 "IC_FS_SCL_LCNT,Fast Mode or Fast Mode Plus I2C Clock SCL Low Count Register" hexmask.long.word 0x10 16.--31. 1. "RSVD_IC_FS_SCL_LCNT,IC_FS_SCL_LCNT Reserved bits - Read Only" newline hexmask.long.word 0x10 0.--15. 1. "IC_FS_SCL_LCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock low period count for fast speed. It is used in high-speed mode to send the Master Code and START BYTE or.." rgroup.long 0x2C++0x3 line.long 0x0 "IC_INTR_STAT,I2C Interrupt Status Register Each bit in this register has a corresponding mask bit in the IC_INTR_MASK register. These bits are cleared by reading the matching interrupt clear register. The unmasked raw versions of these bits are available.." hexmask.long.tbyte 0x0 15.--31. 1. "RSVD_IC_INTR_STAT,IC_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 14. "R_SCL_STUCK_AT_LOW,See IC_RAW_INTR_STAT for a detailed description of R_SCL_STUCK_AT_LOW bit. Reset Value: 0x0 0x1: R_SCL_STUCK_AT_LOW interrupt is active 0x0: R_SCL_STUCK_AT_LOW interrupt is inactive" "R_SCL_STUCK_AT_LOW interrupt is inactive,R_SCL_STUCK_AT_LOW interrupt is active" newline bitfld.long 0x0 13. "R_MASTER_ON_HOLD,See IC_RAW_INTR_STAT for a detailed description of R_MASTER_ON_HOLD bit. Reset value: 0x0 0x1: R_MASTER_ON_HOLD interrupt is active 0x0: R_MASTER_ON_HOLD interrupt is inactive" "R_MASTER_ON_HOLD interrupt is inactive,R_MASTER_ON_HOLD interrupt is active" newline bitfld.long 0x0 12. "R_RESTART_DET,See IC_RAW_INTR_STAT for a detailed description of R_RESTART_DET bit. Reset value: 0x0 0x1: R_RESTART_DET interrupt is active 0x0: R_RESTART_DET interrupt is inactive" "R_RESTART_DET interrupt is inactive,R_RESTART_DET interrupt is active" newline bitfld.long 0x0 11. "R_GEN_CALL,See IC_RAW_INTR_STAT for a detailed description of R_GEN_CALL bit. Reset value: 0x0 0x1: R_GEN_CALL interrupt is active 0x0: R_GEN_CALL interrupt is inactive" "R_GEN_CALL interrupt is inactive,R_GEN_CALL interrupt is active" newline bitfld.long 0x0 10. "R_START_DET,See IC_RAW_INTR_STAT for a detailed description of R_START_DET bit. Reset value: 0x0 0x1: R_START_DET interrupt is active 0x0: R_START_DET interrupt is inactive" "R_START_DET interrupt is inactive,R_START_DET interrupt is active" newline bitfld.long 0x0 9. "R_STOP_DET,See IC_RAW_INTR_STAT for a detailed description of R_STOP_DET bit. Reset value: 0x0 0x1: R_STOP_DET interrupt is active 0x0: R_STOP_DET interrupt is inactive" "R_STOP_DET interrupt is inactive,R_STOP_DET interrupt is active" newline bitfld.long 0x0 8. "R_ACTIVITY,See IC_RAW_INTR_STAT for a detailed description of R_ACTIVITY bit. Reset value: 0x0 0x1: R_ACTIVITY interrupt is active 0x0: R_ACTIVITY interrupt is inactive" "R_ACTIVITY interrupt is inactive,R_ACTIVITY interrupt is active" newline bitfld.long 0x0 7. "R_RX_DONE,See IC_RAW_INTR_STAT for a detailed description of R_RX_DONE bit. Reset value: 0x0 0x1: R_RX_DONE interrupt is active 0x0: R_RX_DONE interrupt is inactive" "R_RX_DONE interrupt is inactive,R_RX_DONE interrupt is active" newline bitfld.long 0x0 6. "R_TX_ABRT,See IC_RAW_INTR_STAT for a detailed description of R_TX_ABRT bit. Reset value: 0x0 0x1: R_TX_ABRT interrupt is active 0x0: R_TX_ABRT interrupt is inactive" "R_TX_ABRT interrupt is inactive,R_TX_ABRT interrupt is active" newline bitfld.long 0x0 5. "R_RD_REQ,See IC_RAW_INTR_STAT for a detailed description of R_RD_REQ bit. Reset value: 0x0 0x1: R_RD_REQ interrupt is active 0x0: R_RD_REQ interrupt is inactive" "R_RD_REQ interrupt is inactive,R_RD_REQ interrupt is active" newline bitfld.long 0x0 4. "R_TX_EMPTY,See IC_RAW_INTR_STAT for a detailed description of R_TX_EMPTY bit. Reset value: 0x0 0x1: R_TX_EMPTY interrupt is active 0x0: R_TX_EMPTY interrupt is inactive" "R_TX_EMPTY interrupt is inactive,R_TX_EMPTY interrupt is active" newline bitfld.long 0x0 3. "R_TX_OVER,See IC_RAW_INTR_STAT for a detailed description of R_TX_OVER bit. Reset value: 0x0 0x1: R_TX_OVER interrupt is active 0x0: R_TX_OVER interrupt is inactive" "R_TX_OVER interrupt is inactive,R_TX_OVER interrupt is active" newline bitfld.long 0x0 2. "R_RX_FULL,See IC_RAW_INTR_STAT for a detailed description of R_RX_FULL bit. Reset value: 0x0 0x1: R_RX_FULL interrupt is active 0x0: R_RX_FULL interrupt is inactive" "R_RX_FULL interrupt is inactive,R_RX_FULL interrupt is active" newline bitfld.long 0x0 1. "R_RX_OVER,See IC_RAW_INTR_STAT for a detailed description of R_RX_OVER bit. Reset value: 0x0 0x1: R_RX_OVER interrupt is active 0x0: R_RX_OVER interrupt is inactive" "R_RX_OVER interrupt is inactive,R_RX_OVER interrupt is active" newline bitfld.long 0x0 0. "R_RX_UNDER,See IC_RAW_INTR_STAT for a detailed description of R_RX_UNDER bit. Reset value: 0x0 0x1: RX_UNDER interrupt is active 0x0: RX_UNDER interrupt is inactive" "RX_UNDER interrupt is inactive,RX_UNDER interrupt is active" group.long 0x30++0x3 line.long 0x0 "IC_INTR_MASK,I2C Interrupt Mask Register. These bits mask their corresponding interrupt status bits. This register is active low; a value of 0 masks the interrupt. whereas a value of 1 unmasks the interrupt." hexmask.long.tbyte 0x0 15.--31. 1. "RSVD_IC_INTR_STAT,IC_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 14. "M_SCL_STUCK_AT_LOW,This bit masks the R_SCL_STUCK_AT_LOW interrupt in IC_INTR_STAT register. Reset Value: 0x0 0x1: SCL_STUCK_AT_LOW interrupt is unmasked 0x0: SCL_STUCK_AT_LOW interrupt is masked" "SCL_STUCK_AT_LOW interrupt is masked,SCL_STUCK_AT_LOW interrupt is unmasked" newline rbitfld.long 0x0 13. "M_MASTER_ON_HOLD_READ_ONLY,This M_MASTER_ON_HOLD_read_only bit masks the R_MASTER_ON_HOLD interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: MASTER_ON_HOLD interrupt is unmasked 0x0: MASTER_ON_HOLD interrupt is masked" "MASTER_ON_HOLD interrupt is masked,MASTER_ON_HOLD interrupt is unmasked" newline bitfld.long 0x0 12. "M_RESTART_DET,This bit masks the R_RESTART_DET interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: RESTART_DET interrupt is unmasked 0x0: RESTART_DET interrupt is masked" "RESTART_DET interrupt is masked,RESTART_DET interrupt is unmasked" newline bitfld.long 0x0 11. "M_GEN_CALL,This bit masks the R_GEN_CALL interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: GEN_CALL interrupt is unmasked 0x0: GEN_CALL interrupt is masked" "GEN_CALL interrupt is masked,GEN_CALL interrupt is unmasked" newline bitfld.long 0x0 10. "M_START_DET,This bit masks the R_START_DET interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: START_DET interrupt is unmasked 0x0: START_DET interrupt is masked" "START_DET interrupt is masked,START_DET interrupt is unmasked" newline bitfld.long 0x0 9. "M_STOP_DET,This bit masks the R_STOP_DET interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: STOP_DET interrupt is unmasked 0x0: STOP_DET interrupt is masked" "STOP_DET interrupt is masked,STOP_DET interrupt is unmasked" newline bitfld.long 0x0 8. "M_ACTIVITY,This bit masks the R_ACTIVITY interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: ACTIVITY interrupt is unmasked 0x0: ACTIVITY interrupt is masked" "ACTIVITY interrupt is masked,ACTIVITY interrupt is unmasked" newline bitfld.long 0x0 7. "M_RX_DONE,This bit masks the R_RX_DONE interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_DONE interrupt is unmasked 0x0: RX_DONE interrupt is masked" "RX_DONE interrupt is masked,RX_DONE interrupt is unmasked" newline bitfld.long 0x0 6. "M_TX_ABRT,This bit masks the R_TX_ABRT interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: TX_ABORT interrupt is unmasked 0x0: TX_ABORT interrupt is masked" "TX_ABORT interrupt is masked,TX_ABORT interrupt is unmasked" newline bitfld.long 0x0 5. "M_RD_REQ,This bit masks the R_RD_REQ interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RD_REQ interrupt is unmasked 0x0: RD_REQ interrupt is masked" "RD_REQ interrupt is masked,RD_REQ interrupt is unmasked" newline bitfld.long 0x0 4. "M_TX_EMPTY,This bit masks the R_TX_EMPTY interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: TX_EMPTY interrupt is unmasked 0x0: TX_EMPTY interrupt is masked" "TX_EMPTY interrupt is masked,TX_EMPTY interrupt is unmasked" newline bitfld.long 0x0 3. "M_TX_OVER,This bit masks the R_TX_OVER interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: TX_OVER interrupt is unmasked 0x0: TX_OVER interrupt is masked" "TX_OVER interrupt is masked,TX_OVER interrupt is unmasked" newline bitfld.long 0x0 2. "M_RX_FULL,This bit masks the R_RX_FULL interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_FULL interrupt is unmasked 0x0: RX_FULL interrupt is masked" "RX_FULL interrupt is masked,RX_FULL interrupt is unmasked" newline bitfld.long 0x0 1. "M_RX_OVER,This bit masks the R_RX_OVER interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_OVER interrupt is unmasked 0x0: RX_OVER interrupt is masked" "RX_OVER interrupt is masked,RX_OVER interrupt is unmasked" newline bitfld.long 0x0 0. "M_RX_UNDER,This bit masks the R_RX_UNDER interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_UNDER interrupt is unmasked 0x0: RX_UNDER interrupt is masked" "RX_UNDER interrupt is masked,RX_UNDER interrupt is unmasked" rgroup.long 0x34++0x3 line.long 0x0 "IC_RAW_INTR_STAT,I2C Raw Interrupt Status Register Unlike the IC_INTR_STAT register. these bits are not masked so they always show the true status of the DW_apb_i2c." hexmask.long.tbyte 0x0 15.--31. 1. "RSVD_IC_RAW_INTR_STAT,IC_RAW_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 14. "SCL_STUCK_AT_LOW,Indicates whether the SCL Line is stuck at low for the IC_SCL_STUCK_LOW_TIMEOUT number of ic_clk periods. Enabled only when IC_BUS_CLEAR_FEATURE=1 and IC_ULTRA_FAST_MODE=0. Reset Value: 0x0 0x1: SCL_STUCK_AT_LOW interrupt is active 0x0:.." "SCL_STUCK_AT_LOW interrupt is inactive,SCL_STUCK_AT_LOW interrupt is active" newline bitfld.long 0x0 13. "MASTER_ON_HOLD,Indicates whether master is holding the bus and TX FIFO is empty. Enabled only when I2C_DYNAMIC_TAR_UPDATE=1 and IC_EMPTYFIFO_HOLD_MASTER_EN=1. Reset value: 0x0 0x1: MASTER_ON_HOLD interrupt is active 0x0: MASTER_ON_HOLD interrupt is.." "MASTER_ON_HOLD interrupt is inactive,MASTER_ON_HOLD interrupt is active" newline bitfld.long 0x0 12. "RESTART_DET,Indicates whether a RESTART condition has occurred on the I2C interface when DW_apb_i2c is operating in Slave mode and the slave is being addressed. Enabled only when IC_SLV_RESTART_DET_EN=1. Note: However in high-speed mode or during a.." "RESTART_DET interrupt is inactive,RESTART_DET interrupt is active" newline bitfld.long 0x0 11. "GEN_CALL,Set only when a General Call address is received and it is acknowledged. It stays set until it is cleared either by disabling DW_apb_i2c or when the CPU reads bit 0 of the IC_CLR_GEN_CALL register. DW_apb_i2c stores the received data in the Rx.." "GEN_CALL interrupt is inactive,GEN_CALL interrupt is active" newline bitfld.long 0x0 10. "START_DET,Indicates whether a START or RESTART condition has occurred on the I2C interface regardless of whether DW_apb_i2c is operating in slave or master mode. Reset value: 0x0 0x1: START_DET interrupt is active 0x0: START_DET interrupt is inactive" "START_DET interrupt is inactive,START_DET interrupt is active" newline bitfld.long 0x0 9. "STOP_DET,Indicates whether a STOP condition has occurred on the I2C interface regardless of whether DW_apb_i2c is operating in slave or master mode. In Slave Mode: - If IC_CON[7]=1'b1 (STOP_DET_IFADDRESSED) the STOP_DET interrupt will be issued only if.." "STOP_DET interrupt is inactive,STOP_DET interrupt is active" newline bitfld.long 0x0 8. "ACTIVITY,This bit captures DW_apb_i2c activity and stays set until it is cleared. There are four ways to clear it: - Disabling the DW_apb_i2c - Reading the IC_CLR_ACTIVITY register - Reading the IC_CLR_INTR register - System reset Once this bit is set.." "RAW_INTR_ACTIVITY interrupt is inactive,RAW_INTR_ACTIVITY interrupt is active" newline bitfld.long 0x0 7. "RX_DONE,When the DW_apb_i2c is acting as a slave-transmitter this bit is set to 1 if the master does not acknowledge a transmitted byte. This occurs on the last byte of the transmission indicating that the transmission is done. Reset value: 0x0 0x1:.." "RX_DONE interrupt is inactive,RX_DONE interrupt is active" newline bitfld.long 0x0 6. "TX_ABRT,This bit indicates if DW_apb_i2c as an I2C transmitter is unable to complete the intended actions on the contents of the transmit FIFO. This situation can occur both as an I2C master or an I2C slave and is referred to as a 'transmit abort'." "TX_ABRT interrupt is inactive,TX_ABRT interrupt is active" newline bitfld.long 0x0 5. "RD_REQ,This bit is set to 1 when DW_apb_i2c is acting as a slave and another I2C master is attempting to read data from DW_apb_i2c. The DW_apb_i2c holds the I2C bus in a wait state (SCL=0) until this interrupt is serviced which means that the slave has.." "RD_REQ interrupt is inactive,RD_REQ interrupt is active" newline bitfld.long 0x0 4. "TX_EMPTY,The behavior of the TX_EMPTY interrupt status differs based on the TX_EMPTY_CTRL selection in the IC_CON register. - When TX_EMPTY_CTRL = 0: This bit is set to 1 when the transmit buffer is at or below the threshold value set in the IC_TX_TL.." "TX_EMPTY interrupt is inactive,TX_EMPTY interrupt is active" newline bitfld.long 0x0 3. "TX_OVER,Set during transmit if the transmit buffer is filled to IC_TX_BUFFER_DEPTH and the processor attempts to issue another I2C command by writing to the IC_DATA_CMD register. When the module is disabled this bit keeps its level until the master or.." "TX_OVER interrupt is inactive,TX_OVER interrupt is active" newline bitfld.long 0x0 2. "RX_FULL,Set when the receive buffer reaches or goes above the RX_TL threshold in the IC_RX_TL register. It is automatically cleared by hardware when buffer level goes below the threshold. If the module is disabled (IC_ENABLE[0]=0) the RX FIFO is flushed.." "RX_FULL interrupt is inactive,RX_FULL interrupt is active" newline bitfld.long 0x0 1. "RX_OVER,Set if the receive buffer is completely filled to IC_RX_BUFFER_DEPTH and an additional byte is received from an external I2C device. The DW_apb_i2c acknowledges this but any data bytes received after the FIFO is full are lost. If the module is.." "RX_OVER interrupt is inactive,RX_OVER interrupt is active" newline bitfld.long 0x0 0. "RX_UNDER,Set if the processor attempts to read the receive buffer when it is empty by reading from the IC_DATA_CMD register. If the module is disabled (IC_ENABLE[0]=0) this bit keeps its level until the master or slave state machines go into idle and.." "RX_UNDER interrupt is inactive,RX_UNDER interrupt is active" group.long 0x38++0x7 line.long 0x0 "IC_RX_TL,I2C Receive FIFO Threshold Register" hexmask.long.tbyte 0x0 8.--31. 1. "RSVD_IC_RX_TL,IC_RX_TL Reserved bits - Read Only" newline hexmask.long.byte 0x0 0.--7. 1. "RX_TL,Receive FIFO Threshold Level. Controls the level of entries (or above) that triggers the RX_FULL interrupt (bit 2 in IC_RAW_INTR_STAT register). The valid range is 0-255 with the additional restriction that hardware does not allow this value to be.." line.long 0x4 "IC_TX_TL,I2C Transmit FIFO Threshold Register" hexmask.long.tbyte 0x4 8.--31. 1. "RSVD_IC_TX_TL,IC_TX_TL Reserved bits - Read Only" newline hexmask.long.byte 0x4 0.--7. 1. "TX_TL,Transmit FIFO Threshold Level. Controls the level of entries (or below) that trigger the TX_EMPTY interrupt (bit 4 in IC_RAW_INTR_STAT register). The valid range is 0-255 with the additional restriction that it may not be set to value larger than.." rgroup.long 0x40++0x2B line.long 0x0 "IC_CLR_INTR,Clear Combined and Individual Interrupt Register" hexmask.long 0x0 1.--31. 1. "RSVD_IC_CLR_INTR,CLR_INTR Reserved bits - Read Only" newline bitfld.long 0x0 0. "CLR_INTR,Read this register to clear the combined interrupt all individual interrupts and the IC_TX_ABRT_SOURCE register. This bit does not clear hardware clearable interrupts but software clearable interrupts. Refer to Bit 9 of the IC_TX_ABRT_SOURCE.." "0,1" line.long 0x4 "IC_CLR_RX_UNDER,Clear RX_UNDER Interrupt Register" hexmask.long 0x4 1.--31. 1. "RSVD_IC_CLR_RX_UNDER,IC_CLR_RX_UNDER Reserved bits - Read Only" newline bitfld.long 0x4 0. "CLR_RX_UNDER,Read this register to clear the RX_UNDER interrupt (bit 0) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x8 "IC_CLR_RX_OVER,Clear RX_OVER Interrupt Register" hexmask.long 0x8 1.--31. 1. "RSVD_IC_CLR_RX_OVER,IC_CLR_RX_OVER Reserved bits - Read Only" newline bitfld.long 0x8 0. "CLR_RX_OVER,Read this register to clear the RX_OVER interrupt (bit 1) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0xC "IC_CLR_TX_OVER,Clear TX_OVER Interrupt Register" hexmask.long 0xC 1.--31. 1. "RSVD_IC_CLR_TX_OVER,IC_CLR_TX_OVER Reserved bits - Read Only" newline bitfld.long 0xC 0. "CLR_TX_OVER,Read this register to clear the TX_OVER interrupt (bit 3) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x10 "IC_CLR_RD_REQ,Clear RD_REQ Interrupt Register" hexmask.long 0x10 1.--31. 1. "RSVD_IC_CLR_RD_REQ,IC_CLR_RD_REQ Reserved bits - Read Only" newline bitfld.long 0x10 0. "CLR_RD_REQ,Read this register to clear the RD_REQ interrupt (bit 5) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x14 "IC_CLR_TX_ABRT,Clear TX_ABRT Interrupt Register" hexmask.long 0x14 1.--31. 1. "RSVD_IC_CLR_TX_ABRT,IC_CLR_TX_ABRT Reserved bits - Read Only" newline bitfld.long 0x14 0. "CLR_TX_ABRT,Read this register to clear the TX_ABRT interrupt (bit 6) of the IC_RAW_INTR_STAT register and the IC_TX_ABRT_SOURCE register. This also releases the TX FIFO from the flushed/reset state allowing more writes to the TX FIFO. Refer to Bit 9.." "0,1" line.long 0x18 "IC_CLR_RX_DONE,Clear RX_DONE Interrupt Register" hexmask.long 0x18 1.--31. 1. "RSVD_IC_CLR_RX_DONE,IC_CLR_RX_DONE Reserved bits - Read Only" newline bitfld.long 0x18 0. "CLR_RX_DONE,Read this register to clear the RX_DONE interrupt (bit 7) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x1C "IC_CLR_ACTIVITY,Clear ACTIVITY Interrupt Register" hexmask.long 0x1C 1.--31. 1. "RSVD_IC_CLR_ACTIVITY,IC_CLR_ACTIVITY Reserved bits - Read Only" newline bitfld.long 0x1C 0. "CLR_ACTIVITY,Reading this register clears the ACTIVITY interrupt if the I2C is not active anymore. If the I2C module is still active on the bus the ACTIVITY interrupt bit continues to be set. It is automatically cleared by hardware if the module is.." "0,1" line.long 0x20 "IC_CLR_STOP_DET,Clear STOP_DET Interrupt Register" hexmask.long 0x20 1.--31. 1. "RSVD_IC_CLR_STOP_DET,IC_CLR_STOP_DET Reserved bits - Read Only" newline bitfld.long 0x20 0. "CLR_STOP_DET,Read this register to clear the STOP_DET interrupt (bit 9) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x24 "IC_CLR_START_DET,Clear START_DET Interrupt Register" hexmask.long 0x24 1.--31. 1. "RSVD_IC_CLR_START_DET,IC_CLR_START_DET Reserved bits - Read Only" newline bitfld.long 0x24 0. "CLR_START_DET,Read this register to clear the START_DET interrupt (bit 10) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x28 "IC_CLR_GEN_CALL,Clear GEN_CALL Interrupt Register" hexmask.long 0x28 1.--31. 1. "RSVD_IC_CLR_GEN_CALL,IC_CLR_GEN_CALL Reserved bits - Read Only" newline bitfld.long 0x28 0. "CLR_GEN_CALL,Read this register to clear the GEN_CALL interrupt (bit 11) of IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0x6C++0x3 line.long 0x0 "IC_ENABLE,I2C Enable Register" hexmask.long.word 0x0 19.--31. 1. "RSVD_IC_ENABLE_2,IC_ENABLE Reserved bits - Read Only" newline rbitfld.long 0x0 18. "RSVD_SMBUS_ALERT_EN,SMBUS_ALERT_EN Register field Reserved bits - Read Only" "0,1" newline rbitfld.long 0x0 17. "RSVD_SMBUS_SUSPEND_EN,SMBUS_SUSPEND_EN Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 16. "SMBUS_CLK_RESET,This bit is used in SMBus Host mode to initiate the SMBus Master Clock Reset. This bit should be enabled only when Master is in idle. Whenever this bit is enabled the SMBCLK is held low for the IC_SCL_STUCK_TIMEOUT ic_clk cycles to reset.." "Master will not initates SMBUS Clock Reset..,Master initates the SMBUS Clock Reset Mechanism" newline hexmask.long.word 0x0 4.--15. 1. "RSVD_IC_ENABLE_1,RSVD_IC_ENABLE_1 Reserved bits - Read Only" newline bitfld.long 0x0 3. "SDA_STUCK_RECOVERY_ENABLE,If SDA is stuck at low indicated through the TX_ABORT interrupt (IC_TX_ABRT_SOURCE[17]) then this bit is used as a control knob to initiate the SDA Recovery Mechanism (that is send at most 9 SCL clocks and STOP to release the.." "Master disabled the SDA stuck at low recovery..,Master initates the SDA stuck at low recovery.." newline bitfld.long 0x0 2. "TX_CMD_BLOCK,In Master mode: - 1'b1: Blocks the transmission of data on I2C bus even if Tx FIFO has data to transmit. - 1'b0: The transmission of data starts on I2C bus automatically as soon as the first data is available in the Tx FIFO. Note: To block.." "Tx Command execution not blocked,Tx Command execution blocked" newline bitfld.long 0x0 1. "ABORT,When set the controller initiates the transfer abort. - 0: ABORT not initiated or ABORT done - 1: ABORT operation in progress The software can abort the I2C transfer in master mode by setting this bit. The software can set this bit only when.." "ABORT operation not in progress,ABORT operation in progress" newline bitfld.long 0x0 0. "ENABLE,Controls whether the DW_apb_i2c is enabled. - 0: Disables DW_apb_i2c (TX and RX FIFOs are held in an erased state) - 1: Enables DW_apb_i2c Software can disable DW_apb_i2c while it is active. However it is important that care be taken to ensure.." "I2C is disabled,I2C is enabled" rgroup.long 0x70++0xB line.long 0x0 "IC_STATUS,I2C Status Register This is a read-only register used to indicate the current transfer status and FIFO status. The status register may be read at any time. None of the bits in this register request an interrupt. When the I2C is disabled by.." hexmask.long.word 0x0 21.--31. 1. "RSVD_IC_STATUS_2,IC_STATUS Reserved bits - Read Only" newline bitfld.long 0x0 20. "RSVD_SMBUS_ALERT_STATUS,SMBUS_ALERT_STATUS Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 19. "RSVD_SMBUS_SUSPEND_STATUS,SMBUS_SUSPEND_STATUS Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 18. "SMBUS_SLAVE_ADDR_RESOLVED,This bit indicates whether the slave address (ic_sar) is resolved by the ARP Master. Reset value: 0x0. 0x1: SMBUS Slave Address is Resolved. 0x0: SMBUS Slave Address is not Resolved." "SMBUS Slave Address is not Resolved,SMBUS Slave Address is Resolved" newline bitfld.long 0x0 17. "SMBUS_SLAVE_ADDR_VALID,This bit indicates whether the slave address (ic_sar) is valid or not. Reset value: IC_PERSISTANT_SLV_ADDR_DEFAULT 0x1: SMBUS Slave Address is Valid. 0x0: SMBUS SLave Address is not valid." "SMBUS SLave Address is not valid,SMBUS Slave Address is Valid" newline bitfld.long 0x0 16. "SMBUS_QUICK_CMD_BIT,This bit indicates the R/W bit of the Quick command received. This bit will be cleared after the user has read this bit. Reset value: 0x0. 0x1: SMBUS QUICK CMD Read/write is set to 1. 0x0: SMBUS QUICK CMD Read/write is set to 0." "SMBUS QUICK CMD Read/write is set to 0,SMBUS QUICK CMD Read/write is set to 1" newline hexmask.long.byte 0x0 12.--15. 1. "RSVD_IC_STATUS_1,RSVD_IC_STATUS_1 Reserved bits - Read Only" newline bitfld.long 0x0 11. "SDA_STUCK_NOT_RECOVERED,This bit indicates that SDA stuck at low is not recovered after the recovery mechanism. In Slave mode this register bit is not applicable. Reset value: 0x0. 0x1: SDA Stuck at low is recovered after recovery mechanism. 0x0: SDA.." "SDA Stuck at low is not recovered after recovery..,SDA Stuck at low is recovered after recovery.." newline bitfld.long 0x0 10. "RSVD_SLV_HOLD_RX_FIFO_FULL,SLV_HOLD_RX_FIFO_FULL Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_SLV_HOLD_TX_FIFO_EMPTY,SLV_HOLD_TX_FIFO_EMPTY Regsiter field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "RSVD_MST_HOLD_RX_FIFO_FULL,MST_HOLD_RX_FIFO_FULL Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 7. "RSVD_MST_HOLD_TX_FIFO_EMPTY,MST_HOLD_TX_FIFO_EMPTY Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 6. "SLV_ACTIVITY,Slave FSM Activity Status. When the Slave Finite State Machine (FSM) is not in the IDLE state this bit is set. - 0: Slave FSM is in IDLE state so the Slave part of DW_apb_i2c is not Active - 1: Slave FSM is not in IDLE state so the Slave.." "Slave is idle,Slave not idle" newline bitfld.long 0x0 5. "MST_ACTIVITY,Master FSM Activity Status. When the Master Finite State Machine (FSM) is not in the IDLE state this bit is set. - 0: Master FSM is in IDLE state so the Master part of DW_apb_i2c is not Active - 1: Master FSM is not in IDLE state so the.." "Master is idle,Master not idle" newline bitfld.long 0x0 4. "RFF,Receive FIFO Completely Full. When the receive FIFO is completely full this bit is set. When the receive FIFO contains one or more empty location this bit is cleared. - 0: Receive FIFO is not full - 1: Receive FIFO is full Reset value: 0x0 0x1: Rx.." "Rx FIFO not full,Rx FIFO is full" newline bitfld.long 0x0 3. "RFNE,Receive FIFO Not Empty. This bit is set when the receive FIFO contains one or more entries; it is cleared when the receive FIFO is empty. - 0: Receive FIFO is empty - 1: Receive FIFO is not empty Reset value: 0x0 0x0: Rx FIFO is empty 0x1: Rx FIFO.." "Rx FIFO is empty,Rx FIFO not empty" newline bitfld.long 0x0 2. "TFE,Transmit FIFO Completely Empty. When the transmit FIFO is completely empty this bit is set. When it contains one or more valid entries this bit is cleared. This bit field does not request an interrupt. - 0: Transmit FIFO is not empty - 1: Transmit.." "Tx FIFO not empty,Tx FIFO is empty" newline bitfld.long 0x0 1. "TFNF,Transmit FIFO Not Full. Set when the transmit FIFO contains one or more empty locations and is cleared when the FIFO is full. - 0: Transmit FIFO is full - 1: Transmit FIFO is not full Reset value: 0x1 0x0: Tx FIFO is full 0x1: Tx FIFO not full" "Tx FIFO is full,Tx FIFO not full" newline bitfld.long 0x0 0. "ACTIVITY,I2C Activity Status. Reset value: 0x0 0x1: I2C is active 0x0: I2C is idle" "I2C is idle,I2C is active" line.long 0x4 "IC_TXFLR,I2C Transmit FIFO Level Register This register contains the number of valid data entries in the transmit FIFO buffer. It is cleared whenever: - The I2C is disabled - There is a transmit abort - that is. TX_ABRT bit is set in the IC_RAW_INTR_STAT.." hexmask.long 0x4 5.--31. 1. "RSVD_TXFLR,TXFLR Register field Reserved bits - Read Only" newline hexmask.long.byte 0x4 0.--4. 1. "TXFLR,Transmit FIFO Level. Contains the number of valid data entries in the transmit FIFO. Reset value: 0x0" line.long 0x8 "IC_RXFLR,I2C Receive FIFO Level Register This register contains the number of valid data entries in the receive FIFO buffer. It is cleared whenever: - The I2C is disabled - Whenever there is a transmit abort caused by any of the events tracked in.." hexmask.long 0x8 5.--31. 1. "RSVD_RXFLR,RXFLR Reserved bits - Read Only" newline hexmask.long.byte 0x8 0.--4. 1. "RXFLR,Receive FIFO Level. Contains the number of valid data entries in the receive FIFO. Reset value: 0x0" group.long 0x7C++0x3 line.long 0x0 "IC_SDA_HOLD,I2C SDA Hold Time Length Register The bits [15:0] of this register are used to control the hold time of SDA during transmit in both slave and master mode (after SCL goes from HIGH to LOW). The bits [23:16] of this register are used to extend.." hexmask.long.byte 0x0 24.--31. 1. "RSVD_IC_SDA_HOLD,IC_SDA_HOLD Reserved bits - Read Only" newline hexmask.long.byte 0x0 16.--23. 1. "IC_SDA_RX_HOLD,Sets the required SDA hold time in units of ic_clk period when DW_apb_i2c acts as a receiver. Reset value: IC_DEFAULT_SDA_HOLD[23:16]." newline hexmask.long.word 0x0 0.--15. 1. "IC_SDA_TX_HOLD,Sets the required SDA hold time in units of ic_clk period when DW_apb_i2c acts as a transmitter. Reset value: IC_DEFAULT_SDA_HOLD[15:0]." rgroup.long 0x80++0x3 line.long 0x0 "IC_TX_ABRT_SOURCE,I2C Transmit Abort Source Register This register has 32 bits that indicate the source of the TX_ABRT bit. Except for Bit 9. this register is cleared whenever the IC_CLR_TX_ABRT register or the IC_CLR_INTR register is read. To clear Bit.." hexmask.long.word 0x0 23.--31. 1. "TX_FLUSH_CNT,This field indicates the number of Tx FIFO Data Commands which are flushed due to TX_ABRT interrupt. It is cleared whenever I2C is disabled. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Slave-Transmitter" newline bitfld.long 0x0 21.--22. "RSVD_IC_TX_ABRT_SOURCE,IC_TX_ABRT_SOURCE Reserved bits - Read Only" "0,1,2,3" newline bitfld.long 0x0 18.--20. "RSVD_ABRT_DEVICE_WRITE,ABRT_DEVICE_WRITE Register field Reserved bits - Read Only" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17. "ABRT_SDA_STUCK_AT_LOW,This is a master-mode-only bit. Master detects the SDA Stuck at low for the IC_SDA_STUCK_AT_LOW_TIMEOUT value of ic_clks. Reset value: 0x0 Role of DW_apb_i2c: Master 0x1: This abort is generated because of Sda stuck at low for.." "This abort is not generated,This abort is generated because of Sda stuck at.." newline bitfld.long 0x0 16. "ABRT_USER_ABRT,This is a master-mode-only bit. Master has detected the transfer abort (IC_ENABLE[1]) Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter 0x1: Transfer abort detected by master 0x0: Transfer abort detected by master- scenario not present" "Transfer abort detected by master,Transfer abort detected by master" newline bitfld.long 0x0 15. "ABRT_SLVRD_INTX,1: When the processor side responds to a slave mode request for data to be transmitted to a remote master and user writes a 1 in CMD (bit 8) of IC_DATA_CMD register. Reset value: 0x0 Role of DW_apb_i2c: Slave-Transmitter 0x1: Slave trying.." "Slave trying to transmit to remote master in..,Slave trying to transmit to remote master in.." newline bitfld.long 0x0 14. "ABRT_SLV_ARBLOST,This field indicates that a Slave has lost the bus while transmitting data to a remote master. IC_TX_ABRT_SOURCE[12] is set at the same time. Note: Even though the slave never 'owns' the bus something could go wrong on the bus. This is.." "Slave lost arbitration to remote master,Slave lost arbitration to remote master" newline bitfld.long 0x0 13. "ABRT_SLVFLUSH_TXFIFO,This field specifies that the Slave has received a read command and some data exists in the TX FIFO so the slave issues a TX_ABRT interrupt to flush old data in TX FIFO. Reset value: 0x0 Role of DW_apb_i2c: Slave-Transmitter 0x1:.." "Slave flushes existing data in TX-FIFO upon..,Slave flushes existing data in TX-FIFO upon.." newline bitfld.long 0x0 12. "ARB_LOST,This field specifies that the Master has lost arbitration or if IC_TX_ABRT_SOURCE[14] is also set then the slave transmitter has lost arbitration. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Slave-Transmitter 0x1: Master or.." "Master or Slave-Transmitter lost arbitration,Master or Slave-Transmitter lost arbitration" newline bitfld.long 0x0 11. "ABRT_MASTER_DIS,This field indicates that the User tries to initiate a Master operation with the Master mode disabled. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1: User intitating master operation when MASTER disabled.." "User initiating master operation when MASTER..,User intitating master operation when MASTER.." newline bitfld.long 0x0 10. "ABRT_10B_RD_NORSTRT,This field indicates that the restart is disabled (IC_RESTART_EN bit (IC_CON[5]) =0) and the master sends a read command in 10-bit addressing mode. Reset value: 0x0 Role of DW_apb_i2c: Master-Receiver 0x1: Master trying to read in.." "Master not trying to read in 10Bit addressing..,Master trying to read in 10Bit addressing mode.." newline bitfld.long 0x0 9. "ABRT_SBYTE_NORSTRT,To clear Bit 9 the source of the ABRT_SBYTE_NORSTRT must be fixed first; restart must be enabled (IC_CON[5]=1) the SPECIAL bit must be cleared (IC_TAR[11]) or the GC_OR_START bit must be cleared (IC_TAR[10]). Once the source of the.." "User trying to send START byte when RESTART..,User trying to send START byte when RESTART.." newline bitfld.long 0x0 8. "ABRT_HS_NORSTRT,This field indicates that the restart is disabled (IC_RESTART_EN bit (IC_CON[5]) =0) and the user is trying to use the master to transfer data in High Speed mode. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver.." "User trying to switch Master to HS mode when..,User trying to switch Master to HS mode when.." newline bitfld.long 0x0 7. "ABRT_SBYTE_ACKDET,This field indicates that the Master has sent a START Byte and the START Byte was acknowledged (wrong behavior). Reset value: 0x0 Role of DW_apb_i2c: Master 0x1: ACK detected for START byte 0x0: ACK detected for START byte- scenario not.." "ACK detected for START byte,ACK detected for START byte" newline bitfld.long 0x0 6. "ABRT_HS_ACKDET,This field indicates that the Master is in High Speed mode and the High Speed Master code was acknowledged (wrong behavior). Reset value: 0x0 Role of DW_apb_i2c: Master 0x1: HS Master code ACKed in HS Mode 0x0: HS Master code ACKed in HS.." "HS Master code ACKed in HS Mode,HS Master code ACKed in HS Mode" newline bitfld.long 0x0 5. "ABRT_GCALL_READ,This field indicates that DW_apb_i2c in the master mode has sent a General Call but the user programmed the byte following the General Call to be a read from the bus (IC_DATA_CMD[9] is set to 1). Reset value: 0x0 Role of DW_apb_i2c:.." "GCALL is followed by read from bus-scenario not..,GCALL is followed by read from bus" newline bitfld.long 0x0 4. "ABRT_GCALL_NOACK,This field indicates that DW_apb_i2c in master mode has sent a General Call and no slave on the bus acknowledged the General Call. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter 0x1: GCALL not ACKed by any slave 0x0: GCALL not.." "GCALL not ACKed by any slave-scenario not present,GCALL not ACKed by any slave" newline bitfld.long 0x0 3. "ABRT_TXDATA_NOACK,This field indicates the master-mode only bit. When the master receives an acknowledgement for the address but when it sends data byte(s) following the address it did not receive an acknowledge from the remote slave(s). Reset value:.." "Transmitted data non-ACKed by addressed..,Transmitted data not ACKed by addressed slave" newline bitfld.long 0x0 2. "ABRT_10ADDR2_NOACK,This field indicates that the Master is in 10-bit address mode and that the second address byte of the 10-bit address was not acknowledged by any slave. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1:.." "This abort is not generated,Byte 2 of 10Bit Address not ACKed by any slave" newline bitfld.long 0x0 1. "ABRT_10ADDR1_NOACK,This field indicates that the Master is in 10-bit address mode and the first 10-bit address byte was not acknowledged by any slave. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1: Byte 1 of 10Bit Address.." "This abort is not generated,Byte 1 of 10Bit Address not ACKed by any slave" newline bitfld.long 0x0 0. "ABRT_7B_ADDR_NOACK,This field indicates that the Master is in 7-bit addressing mode and the address sent was not acknowledged by any slave. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1: This abort is generated because of.." "This abort is not generated,This abort is generated because of NOACK for.." group.long 0x88++0x13 line.long 0x0 "IC_DMA_CR,DMA Control Register This register is only valid when DW_apb_i2c is configured with a set of DMA Controller interface signals (IC_HAS_DMA = 1). When DW_apb_i2c is not configured for DMA operation. this register does not exist and writing to the.." hexmask.long 0x0 2.--31. 1. "RSVD_IC_DMA_CR_2_31,RSVD_IC_DMA_CR_2_31 Reserved bits - Read Only" newline bitfld.long 0x0 1. "TDMAE,Transmit DMA Enable. This bit enables/disables the transmit FIFO DMA channel. Reset value: 0x0 0x0: transmit FIFO DMA channel disabled 0x1: Transmit FIFO DMA channel enabled" "transmit FIFO DMA channel disabled,Transmit FIFO DMA channel enabled" newline bitfld.long 0x0 0. "RDMAE,Receive DMA Enable. This bit enables/disables the receive FIFO DMA channel. Reset value: 0x0 0x0: Receive FIFO DMA channel disabled 0x1: Receive FIFO DMA channel enabled" "Receive FIFO DMA channel disabled,Receive FIFO DMA channel enabled" line.long 0x4 "IC_DMA_TDLR,DMA Transmit Data Level Register This register is only valid when the DW_apb_i2c is configured with a set of DMA interface signals (IC_HAS_DMA = 1). When DW_apb_i2c is not configured for DMA operation. this register does not exist; writing to.." hexmask.long 0x4 4.--31. 1. "RSVD_DMA_TDLR,DMA_TDLR Reserved bits - Read Only" newline hexmask.long.byte 0x4 0.--3. 1. "DMATDL,Transmit Data Level. This bit field controls the level at which a DMA request is made by the transmit logic. It is equal to the watermark level; that is the dma_tx_req signal is generated when the number of valid data entries in the transmit FIFO.." line.long 0x8 "IC_DMA_RDLR,I2C Receive Data Level Register This register is only valid when DW_apb_i2c is configured with a set of DMA interface signals (IC_HAS_DMA = 1). When DW_apb_i2c is not configured for DMA operation. this register does not exist; writing to its.." hexmask.long 0x8 4.--31. 1. "RSVD_DMA_RDLR,DMA_RDLR Reserved bits - Read Only" newline hexmask.long.byte 0x8 0.--3. 1. "DMARDL,Receive Data Level. This bit field controls the level at which a DMA request is made by the receive logic. The watermark level = DMARDL+1; that is dma_rx_req is generated when the number of valid data entries in the receive FIFO is equal to or.." line.long 0xC "IC_SDA_SETUP,I2C SDA Setup Register This register controls the amount of time delay (in terms of number of ic_clk clock periods) introduced in the rising edge of SCL - relative to SDA changing - when DW_apb_i2c services a read request in a.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVD_IC_SDA_SETUP,IC_SDA_SETUP Reserved bits - Read Only" newline hexmask.long.byte 0xC 0.--7. 1. "SDA_SETUP,SDA Setup. It is recommended that if the required delay is 1000ns then for an ic_clk frequency of 10 MHz IC_SDA_SETUP should be programmed to a value of 11. IC_SDA_SETUP must be programmed with a minimum value of 2. Reset value: 0x64 but can.." line.long 0x10 "IC_ACK_GENERAL_CALL,I2C ACK General Call Register The register controls whether DW_apb_i2c responds with a ACK or NACK when it receives an I2C General Call address. This register is applicable only when the DW_apb_i2c is in slave mode." hexmask.long 0x10 1.--31. 1. "RSVD_IC_ACK_GEN_1_31,RSVD_IC_ACK_GEN_1_31 Reserved bits - Read Only" newline bitfld.long 0x10 0. "ACK_GEN_CALL,ACK General Call. When set to 1 DW_apb_i2c responds with a ACK (by asserting ic_data_oe) when it receives a General Call. Otherwise DW_apb_i2c responds with a NACK (by negating ic_data_oe). Reset value: 0x1 but can be hardcoded by setting.." "Generate NACK for General Call,Generate ACK for a General Call" rgroup.long 0x9C++0x3 line.long 0x0 "IC_ENABLE_STATUS,I2C Enable Status Register The register is used to report the DW_apb_i2c hardware status when the IC_ENABLE[0] register is set from 1 to 0; that is. when DW_apb_i2c is disabled. If IC_ENABLE[0] has been set to 1. bits 2:1 are forced to.." hexmask.long 0x0 3.--31. 1. "RSVD_IC_ENABLE_STATUS,IC_ENABLE_STATUS Reserved bits - Read Only" newline bitfld.long 0x0 2. "SLV_RX_DATA_LOST,Slave Received Data Lost. This bit indicates if a Slave-Receiver operation has been aborted with at least one data byte received from an I2C transfer due to the setting bit 0 of IC_ENABLE from 1 to 0. When read as 1 DW_apb_i2c is deemed.." "Slave RX Data is not lost,Slave RX Data is lost" newline bitfld.long 0x0 1. "SLV_DISABLED_WHILE_BUSY,Slave Disabled While Busy (Transmit Receive). This bit indicates if a potential or active Slave operation has been aborted due to the setting bit 0 of the IC_ENABLE register from 1 to 0. This bit is set when the CPU writes a 0 to.." "Slave is disabled when it is idle,Slave is disabled when it is active" newline bitfld.long 0x0 0. "IC_EN,ic_en Status. This bit always reflects the value driven on the output port ic_en. - When read as 1 DW_apb_i2c is deemed to be in an enabled state. - When read as 0 DW_apb_i2c is deemed completely inactive. Note: The CPU can safely read this bit.." "I2C disabled,I2C enabled" group.long 0xA0++0x3 line.long 0x0 "IC_FS_SPKLEN,I2C SS. FS or FM+ spike suppression limit This register is used to store the duration. measured in ic_clk cycles. of the longest spike that is filtered out by the spike suppression logic w hen the component is operating in SS. FS or FM+.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVD_IC_FS_SPKLEN,IC_FS_SPKLEN Reserved bits - Read Only" newline hexmask.long.byte 0x0 0.--7. 1. "IC_FS_SPKLEN,This register must be set before any I2C bus transaction can take place to ensure stable operation. This register sets the duration measured in ic_clk cycles of the longest spike in the SCL or SDA lines that will be filtered out by the.." rgroup.long 0xA8++0x3 line.long 0x0 "IC_CLR_RESTART_DET,Clear RESTART_DET Interrupt Register" hexmask.long 0x0 1.--31. 1. "RSVD_IC_CLR_RESTART_DET,IC_CLR_RESTART_DET Reserved bits - Read Only" newline bitfld.long 0x0 0. "CLR_RESTART_DET,Read this register to clear the RESTART_DET interrupt (bit 12) of IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0xAC++0x7 line.long 0x0 "IC_SCL_STUCK_AT_LOW_TIMEOUT,I2C SCL Stuck at Low Timeout This register is used to store the duration. measured in ic_clk cycles. used to Generate an Interrupt (SCL_STUCK_AT_LOW) if SCL is held low for the IC_SCL_STUCK_LOW_TIMEOUT duration." hexmask.long 0x0 0.--31. 1. "IC_SCL_STUCK_LOW_TIMEOUT,DW_apb_i2c generate the interrupt to indicate SCL stuck at low (SCL_STUCK_AT_LOW) if it detects the SCL stuck at low for the IC_SCL_STUCK_LOW_TIMEOUT in units of ic_clk period. This register can be written only when the I2C.." line.long 0x4 "IC_SDA_STUCK_AT_LOW_TIMEOUT,I2C SDA Stuck at Low Timeout This register is used to store the duration. measured in ic_clk cycles. used to Recover the Data (SDA) line through sending SCL pulses if SDA is held low for the mentioned duration." hexmask.long 0x4 0.--31. 1. "IC_SDA_STUCK_LOW_TIMEOUT,DW_apb_i2c initiates the recovery of SDA line through enabling the SDA_STUCK_RECOVERY_EN (IC_ENABLE[3]) register bit if it detects the SDA stuck at low for the IC_SDA_STUCK_LOW_TIMEOUT in units of ic_clk period. Reset value:.." rgroup.long 0xB4++0x3 line.long 0x0 "IC_CLR_SCL_STUCK_DET,Clear SCL Stuck at Low Detect Interrupt Register" hexmask.long 0x0 1.--31. 1. "RSVD_CLR_SCL_STUCK_DET,CLR_SCL_STUCK_DET Reserved bits - Read Only" newline bitfld.long 0x0 0. "CLR_SCL_STUCK_DET,Read this register to clear the SCL_STUCT_AT_LOW interrupt (bit 15) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0xBC++0xB line.long 0x0 "IC_SMBUS_CLK_LOW_SEXT,SMBus Slave Clock Extend Timeout Register This Register contains the Timeout value used to determine the Slave Clock Extend Timeout in one transfer (from START to STOP). This Register can be written only when the DW_apb_i2c is.." hexmask.long 0x0 0.--31. 1. "SMBUS_CLK_LOW_SEXT_TIMEOUT,This field is used to detect the Slave Clock Extend timeout (tLOW:SEXT) in master mode extended by the slave device in one message from the initial START to the STOP. The values in this register are in units of ic_clk period." line.long 0x4 "IC_SMBUS_CLK_LOW_MEXT,SMBus Master Clock Extend Timeout Register This Register contains the Timeout value used to determine the Master Clock Extend Timeout in one byte of transfer. This Register can be written only when the DW_apb_i2c is disabled. which.." hexmask.long 0x4 0.--31. 1. "SMBUS_CLK_LOW_MEXT_TIMEOUT,This field is used to detect the Master extend SMBus clock (SCLK) timeout defined from START-to-ACK ACK-to-ACK or ACK-to-STOP in Master mode. The values in this register are in units of ic_clk period. Reset value:.." line.long 0x8 "IC_SMBUS_THIGH_MAX_IDLE_COUNT,SMBus Master THigh MAX Bus-idle count Register This register programs the Bus-idle time period used when a master has been dynamically added to the bus or when a master has generated a clock reset on the bus. This register.." hexmask.long.word 0x8 16.--31. 1. "RSVD_SMBUS_THIGH_MAX_BUS_IDLE_CNT,SMBUS_THIGH_MAX_BUS_IDLE_CNT Reserved bits - Read Only" newline hexmask.long.word 0x8 0.--15. 1. "SMBUS_THIGH_MAX_BUS_IDLE_CNT,This field is used to set the required Bus-Idle time period used when a master has been dynamically added to the bus and may not have detected a state transition on the SMBCLK or SMBDAT lines. In this case the master must.." rgroup.long 0xC8++0x3 line.long 0x0 "IC_SMBUS_INTR_STAT,SMBUS Interrupt Status Register Each bit in this register has a corresponding mask bit in the IC_SMBUS_INTR_MASK register. These bits are cleared by writing the matching SMBus interrupt clear register(IC_CLR_SMBUS_INTR) bits. The.." hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_SMBUS_INTR_STAT,IC_SMBUS_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 10. "RSVD_R_SMBUS_ALERT_DET,R_SMBUS_ALERT_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_R_SMBUS_SUSPEND_DET,R_SMBUS_SUSPEND_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "R_SLV_RX_PEC_NACK,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_SLV_RX_PEC_NACK bit. Reset value: 0x0 0x1: SLV_RX_PEC_NACK interrupt is active 0x0: SLV_RX_PEC_NACK interrupt is inactive" "SLV_RX_PEC_NACK interrupt is inactive,SLV_RX_PEC_NACK interrupt is active" newline bitfld.long 0x0 7. "R_ARP_ASSGN_ADDR_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_ASSGN_ADDR_CMD_DET bit. Reset value: 0x0 0x1: ARP_ASSGN_ADDR_CMD_DET interrupt is active 0x0: ARP_ASSGN_ADDR_CMD_DET interrupt is inactive" "ARP_ASSGN_ADDR_CMD_DET interrupt is inactive,ARP_ASSGN_ADDR_CMD_DET interrupt is active" newline bitfld.long 0x0 6. "R_ARP_GET_UDID_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_GET_UDID_CMD_DET bit. Reset value: 0x0 0x1: ARP_GET_UDID_CMD_DET interrupt is active 0x0: ARP_GET_UDID_CMD_DET interrupt is inactive" "ARP_GET_UDID_CMD_DET interrupt is inactive,ARP_GET_UDID_CMD_DET interrupt is active" newline bitfld.long 0x0 5. "R_ARP_RST_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_RST_CMD_DET bit. Reset value: 0x0 0x1: ARP_RST_CMD_DET interrupt is active 0x0: ARP_RST_CMD_DET interrupt is inactive" "ARP_RST_CMD_DET interrupt is inactive,ARP_RST_CMD_DET interrupt is active" newline bitfld.long 0x0 4. "R_ARP_PREPARE_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_PREPARE_CMD_DET bit. Reset value: 0x0 0x1: ARP_PREPARE_CMD_DET interrupt is active 0x0: ARP_PREPARE_CMD_DET interrupt is inactive" "ARP_PREPARE_CMD_DET interrupt is inactive,ARP_PREPARE_CMD_DET interrupt is active" newline bitfld.long 0x0 3. "R_HOST_NOTIFY_MST_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_HOST_NOTIFY_MST_DET bit. Reset value: 0x0 0x1: HOST_NOTIFY_MST_DET interrupt is active 0x0: HOST_NOTIFY_MST_DET interrupt is inactive" "HOST_NOTIFY_MST_DET interrupt is inactive,HOST_NOTIFY_MST_DET interrupt is active" newline bitfld.long 0x0 2. "R_QUICK_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_QUICK_CMD_DET bit. Reset value: 0x0 0x1: QUICK_CMD_DET interrupt is active 0x0: QUICK_CMD_DET interrupt is inactive" "QUICK_CMD_DET interrupt is inactive,QUICK_CMD_DET interrupt is active" newline bitfld.long 0x0 1. "R_MST_CLOCK_EXTND_TIMEOUT,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_MST_CLOCK_EXTND_TIMEOUT bit. Reset value: 0x0 0x1: MST_CLOCK_EXTND_TIMEOUT interrupt is active 0x0: MST_CLOCK_EXTND_TIMEOUT interrupt is inactive" "MST_CLOCK_EXTND_TIMEOUT interrupt is inactive,MST_CLOCK_EXTND_TIMEOUT interrupt is active" newline bitfld.long 0x0 0. "R_SLV_CLOCK_EXTND_TIMEOUT,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_SLV_CLOCK_EXTND_TIMEOUT bit. Reset value: 0x0 0x1: SLV_CLOCK_EXTND_TIMEOUT interrupt is active 0x0: SLV_CLOCK_EXTND_TIMEOUT interrupt is inactive" "SLV_CLOCK_EXTND_TIMEOUT interrupt is inactive,SLV_CLOCK_EXTND_TIMEOUT interrupt is active" group.long 0xCC++0x3 line.long 0x0 "IC_SMBUS_INTR_MASK,SMBus Interrupt Mask Register" hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_SMBUS_INTR_MASK,IC_SMBUS_INTR_MASK Reserved bits - Read Only" newline rbitfld.long 0x0 9.--10. "RSVD_IC_SMBUS_INTR_MASK_9_10,RSVD_IC_SMBUS_INTR_MASK_9_10 Register field Reserved bits." "0,1,2,3" newline bitfld.long 0x0 8. "M_SLV_RX_PEC_NACK,This bit masks the R_SLV_RX_PEC_NACK interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: SLV_RX_PEC_NACK interrupt is unmasked 0x0: SLV_RX_PEC_NACK interrupt is masked" "SLV_RX_PEC_NACK interrupt is masked,SLV_RX_PEC_NACK interrupt is unmasked" newline bitfld.long 0x0 7. "M_ARP_ASSGN_ADDR_CMD_DET,This bit masks the R_ARP_ASSGN_ADDR_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_ASSGN_ADDR_CMD_DET interrupt is unmasked 0x0: ARP_ASSGN_ADDR_CMD_DET interrupt is masked" "ARP_ASSGN_ADDR_CMD_DET interrupt is masked,ARP_ASSGN_ADDR_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 6. "M_ARP_GET_UDID_CMD_DET,This bit masks the R_ARP_GET_UDID_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_GET_UDID_CMD_DET interrupt is unmasked 0x0: ARP_GET_UDID_CMD_DET interrupt is masked" "ARP_GET_UDID_CMD_DET interrupt is masked,ARP_GET_UDID_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 5. "M_ARP_RST_CMD_DET,This bit masks the R_ARP_RST_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_RST_CMD_DET interrupt is unmasked 0x0: ARP_RST_CMD_DET interrupt is masked" "ARP_RST_CMD_DET interrupt is masked,ARP_RST_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 4. "M_ARP_PREPARE_CMD_DET,This bit masks the R_ARP_PREPARE_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_PREPARE_CMD_DET interrupt is unmasked 0x0: ARP_PREPARE_CMD_DET interrupt is masked" "ARP_PREPARE_CMD_DET interrupt is masked,ARP_PREPARE_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 3. "M_HOST_NOTIFY_MST_DET,This bit masks the R_HOST_NOTIFY_MST_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: HOST_NOTIFY_MST_DET interrupt is unmasked 0x0: HOST_NOTIFY_MST_DET interrupt is masked" "HOST_NOTIFY_MST_DET interrupt is masked,HOST_NOTIFY_MST_DET interrupt is unmasked" newline bitfld.long 0x0 2. "M_QUICK_CMD_DET,This bit masks the R_QUICK_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: QUICK_CMD_DET interrupt is unmasked 0x0: QUICK_CMD_DET interrupt is masked" "QUICK_CMD_DET interrupt is masked,QUICK_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 1. "M_MST_CLOCK_EXTND_TIMEOUT,This bit masks the R_MST_CLOCK_EXTND_TIMEOUT interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: MST_CLOCK_EXTND_TIMEOUT interrupt is unmasked 0x0: MST_CLOCK_EXTND_TIMEOUT interrupt is masked" "MST_CLOCK_EXTND_TIMEOUT interrupt is masked,MST_CLOCK_EXTND_TIMEOUT interrupt is unmasked" newline bitfld.long 0x0 0. "M_SLV_CLOCK_EXTND_TIMEOUT,This bit masks the R_SLV_CLOCK_EXTND_TIMEOUT interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: SLV_CLOCK_EXTND_TIMEOUT interrupt is unmasked 0x0: SLV_CLOCK_EXTND_TIMEOUT interrupt is masked" "SLV_CLOCK_EXTND_TIMEOUT interrupt is masked,SLV_CLOCK_EXTND_TIMEOUT interrupt is unmasked" rgroup.long 0xD0++0x3 line.long 0x0 "IC_SMBUS_RAW_INTR_STAT,SMBus Raw Interrupt Status Register Unlike the IC_SMBUS_INTR_STAT register. these bits are not masked so they always show the true status of the DW_apb_i2c." hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_SMBUS_RAW_INTR_STAT,IC_SMBUS_RAW_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 10. "RSVD_SMBUS_ALERT_DET,SMBUS_ALERT_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_SMBUS_SUSPEND_DET,SMBUS_SUSPEND_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "SLV_RX_PEC_NACK,Indicates whether a NACK has been sent due to PEC mismatch while working as ARP slave. Reset value: 0x0 0x1: SLV_RX_PEC_NACK interrupt is active 0x0: SLV_RX_PEC_NACK interrupt is inactive" "SLV_RX_PEC_NACK interrupt is inactive,SLV_RX_PEC_NACK interrupt is active" newline bitfld.long 0x0 7. "ARP_ASSGN_ADDR_CMD_DET,Indicates whether an Assign Address ARP command has been received. Reset value: 0x0 0x1: ARP_ASSGN_ADDR_CMD_DET interrupt is active 0x0: ARP_ASSGN_ADDR_CMD_DET interrupt is inactive" "ARP_ASSGN_ADDR_CMD_DET interrupt is inactive,ARP_ASSGN_ADDR_CMD_DET interrupt is active" newline bitfld.long 0x0 6. "ARP_GET_UDID_CMD_DET,Indicates whether a Get UDID ARP command has been received. Reset value: 0x0 0x1: ARP_GET_UDID_CMD_DET interrupt is active 0x0: ARP_GET_UDID_CMD_DET interrupt is inactive" "ARP_GET_UDID_CMD_DET interrupt is inactive,ARP_GET_UDID_CMD_DET interrupt is active" newline bitfld.long 0x0 5. "ARP_RST_CMD_DET,Indicates whether a General or Directed Reset ARP command has been received. Reset value: 0x0 0x1: ARP_RST_CMD_DET interrupt is active 0x0: ARP_RST_CMD_DET interrupt is inactive" "ARP_RST_CMD_DET interrupt is inactive,ARP_RST_CMD_DET interrupt is active" newline bitfld.long 0x0 4. "ARP_PREPARE_CMD_DET,Indicates whether a prepare to ARP command has been received. Reset value: 0x0 0x1: ARP_PREPARE_CMD_DET interrupt is active 0x0: ARP_PREPARE_CMD_DET interrupt is inactive" "ARP_PREPARE_CMD_DET interrupt is inactive,ARP_PREPARE_CMD_DET interrupt is active" newline bitfld.long 0x0 3. "HOST_NTFY_MST_DET,Indicates whether a Notify ARP Master ARP command has been received. Reset value: 0x0 0x1: HOST_NTFY_MST_DET interrupt is active 0x0: HOST_NTFY_MST_DET interrupt is inactive" "HOST_NTFY_MST_DET interrupt is inactive,HOST_NTFY_MST_DET interrupt is active" newline bitfld.long 0x0 2. "QUICK_CMD_DET,Indicates whether a Quick command has been received on the SMBus interface regardless of whether DW_apb_i2c is operating in slave or master mode. Enabled only when IC_SMBUS=1 is set to 1. Reset value: 0x0 0x1: Quick Command interrupt is.." "Quick Command interrupt is inactive,Quick Command interrupt is active" newline bitfld.long 0x0 1. "MST_CLOCK_EXTND_TIMEOUT,Indicates whether the Master device transaction (START-to-ACK ACK-to-ACK or ACK-to-STOP) from START to STOP exceeds IC_SMBUS_CLOCK_LOW_MEXT time with in each byte of message. This bit is enabled only when: - IC_SMBUS=1 -.." "Master Clock Extend Timeout interrupt is inactive,Master Clock Extend Timeout interrupt is active" newline bitfld.long 0x0 0. "SLV_CLOCK_EXTND_TIMEOUT,Indicates whether the transaction from Slave (i.e from START to STOP) exceeds IC_SMBUS_CLK_LOW_SEXT time. This bit is enabled only when: - IC_SMBUS=1 - IC_CON[0]=1 Reset value: 0x0 0x1: Slave Clock Extend Timeout interrupt is.." "Slave Clock Extend Timeout interrupt is inactive,Slave Clock Extend Timeout interrupt is active" wgroup.long 0xD4++0x3 line.long 0x0 "IC_CLR_SMBUS_INTR,SMBus Clear Interrupt Register" hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_CLR_SMBUS_INTR,IC_CLR_SMBUS_INTR Reserved bits - Read Only" newline bitfld.long 0x0 10. "RSVD_CLR_SMBUS_ALERT_DET,CLR_SMBUS_ALERT_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_CLR_SMBUS_SUSPEND_DET,CLR_SMBUS_SUSPEND_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "CLR_SLV_RX_PEC_NACK,Write this register bit to clear the SLV_RX_PEC_NACK interrupt (bit 8) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 7. "CLR_ARP_ASSGN_ADDR_CMD_DET,Write this register bit to clear the ARP_ASSGN_ADDR_CMD_DET interrupt (bit 7) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 6. "CLR_ARP_GET_UDID_CMD_DET,Write this register bit to clear the ARP_GET_UDID_CMD_DET interrupt (bit 6) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 5. "CLR_ARP_RST_CMD_DET,Write this register bit to clear the ARP_RST_CMD_DET interrupt (bit 5) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 4. "CLR_ARP_PREPARE_CMD_DET,Write this register bit to clear the ARP_PREPARE_CMD_DET interrupt (bit 4) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 3. "CLR_HOST_NOTIFY_MST_DET,Write this register bit to clear the HOST_NOTIFY_MST_DET interrupt (bit 3) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 2. "CLR_QUICK_CMD_DET,Write this register bit to clear the QUICK_CMD_DET interrupt (bit 2) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 1. "CLR_MST_CLOCK_EXTND_TIMEOUT,Write this register bit to clear the MST_CLOCK_EXTND_TIMEOUT interrupt (bit 1) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 0. "CLR_SLV_CLOCK_EXTND_TIMEOUT,Write this register bit to clear the SLV_CLOCK_EXTND_TIMEOUT interrupt (bit 0) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0xDC++0x3 line.long 0x0 "IC_SMBUS_UDID_LSB,SMBUS ARP UDID LSB Register This Register can be written only when the DW_apb_i2c is disabled. which corresponds to IC_ENABLE[0] being set to 0. This register is present only if configuration parameter IC_SMBUS_ARP is set to 1. This.." hexmask.long 0x0 0.--31. 1. "SMBUS_UDID_LSB,This field is used to store the LSB 32 bit value of slave unique device identifier used in Address Resolution Protocol. Reset Value: IC_SMBUS_UDID_LSB_DEFAULT" rgroup.long 0xF4++0xB line.long 0x0 "IC_COMP_PARAM_1,Component Parameter Register 1 Note This is a constant read-only register that contains encoded information about the component's parameter settings. The reset value depends on coreConsultant parameter(s)." hexmask.long.byte 0x0 24.--31. 1. "RSVD_IC_COMP_PARAM_1,IC_COMP_PARAM_1 Reserved bits - Read Only" newline hexmask.long.byte 0x0 16.--23. 1. "TX_BUFFER_DEPTH,The value of this register is derived from the IC_TX_BUFFER_DEPTH coreConsultant parameter. - 0x00 = Reserved - 0x01 = 2 - 0x02 = 3 - ... - 0xFF = 256" newline hexmask.long.byte 0x0 8.--15. 1. "RX_BUFFER_DEPTH,The value of this register is derived from the IC_RX_BUFFER_DEPTH coreConsultant parameter. - 0x00: Reserved - 0x01: 2 - 0x02: 3 - ... - 0xFF: 256" newline bitfld.long 0x0 7. "ADD_ENCODED_PARAMS,The value of this register is derived from the IC_ADD_ENCODED_PARAMS coreConsultant parameter. Reading 1 in this bit means that the capability of reading these encoded parameters via software has been included. Otherwise the entire.." "Disables capability of reading encoded parameters,Enables capability of reading encoded parameters" newline bitfld.long 0x0 6. "HAS_DMA,The value of this register is derived from the IC_HAS_DMA coreConsultant parameter. 0x0: DMA handshaking signals are disabled 0x1: DMA handshaking signals are enabled" "DMA handshaking signals are disabled,DMA handshaking signals are enabled" newline bitfld.long 0x0 5. "INTR_IO,The value of this register is derived from the IC_INTR_IO coreConsultant parameter. 0x1: COMBINED Interrupt outputs 0x0: INDIVIDUAL Interrupt outputs" "INDIVIDUAL Interrupt outputs,COMBINED Interrupt outputs" newline bitfld.long 0x0 4. "HC_COUNT_VALUES,The value of this register is derived from the IC_HC_COUNT VALUES coreConsultant parameter. 0x0: Programmable count values for each mode. 0x1: Hard code the count values for each mode." "Programmable count values for each mode,Hard code the count values for each mode" newline bitfld.long 0x0 2.--3. "MAX_SPEED_MODE,The value of this register is derived from the IC_MAX_SPEED_MODE coreConsultant parameter. - 0x0: Reserved - 0x1: Standard - 0x2: Fast - 0x3: High 0x2: IC MAX SPEED is FAST MODE 0x3: IC MAX SPEED is HIGH MODE 0x1: IC MAX SPEED is STANDARD.." "Reserved,IC MAX SPEED is STANDARD MODE,IC MAX SPEED is FAST MODE,IC MAX SPEED is HIGH MODE" newline bitfld.long 0x0 0.--1. "APB_DATA_WIDTH,The value of this register is derived from the APB_DATA_WIDTH coreConsultant parameter. 0x0: APB data bus width is 08 bits 0x1: APB data bus width is 16 bits 0x2: APB data bus width is 32 bits 0x3: Reserved bits" "APB data bus width is 08 bits,APB data bus width is 16 bits,APB data bus width is 32 bits,Reserved bits" line.long 0x4 "IC_COMP_VERSION,I2C Component Version Register" hexmask.long 0x4 0.--31. 1. "IC_COMP_VERSION,Specific values for this register are described in the Releases Table in the DW_apb_i2c Release Notes" line.long 0x8 "IC_COMP_TYPE,I2C Component Type Register" hexmask.long 0x8 0.--31. 1. "IC_COMP_TYPE,Designware Component Type number = 0x44_57_01_40. This assigned unique hex value is constant and is derived from the two ASCII letters 'DW' followed by a 16-bit unsigned number." tree.end repeat.end elif (CORENAME()=="CORTEXA55") repeat 12. (increment 1. 1.) (list ad:0x300B0000 ad:0x300C0000 ad:0x300D0000 ad:0x300E0000 ad:0x30AC0000 ad:0x30AD0000 ad:0x30AE0000 ad:0x30AF0000 ad:0x30B00000 ad:0x30B10000 ad:0x30B20000 ad:0x30B30000) tree "I2C$1" base $2 group.long 0x0++0xB line.long 0x0 "IC_CON,I2C Control Register. This register can be written only when the DW_apb_i2c is disabled. which corresponds to the IC_ENABLE[0] register being set to 0. Writes at other times have no effect. Read/Write Access: - If configuration parameter.." hexmask.long.word 0x0 20.--31. 1. "RSVD_IC_CON_2,IC_CON_2 Reserved bits - Read Only" newline bitfld.long 0x0 19. "SMBUS_PERSISTENT_SLV_ADDR_EN,The bit controls to enable DW_apb_i2c slave as persistent or non persistent slave. If the slave is non-PSA then DW_apb_i2c slave device clears the Address valid flag for both General and Directed Reset ARP command else the.." "SMBus Persistent Slave address control is disabled,SMBus Persistent Slave address control is enabled" newline bitfld.long 0x0 18. "SMBUS_ARP_EN,This bit controls whether DW_apb_i2c should enable Address Resolution Logic in SMBus Mode. The Slave mode will decode the Address Resolution Protocol commands and respond to it. The DW_apb_i2c slave also includes the generation/validity of.." "SMBus ARP control is disabled,SMBus ARP control is enabled" newline bitfld.long 0x0 17. "SMBUS_SLAVE_QUICK_EN,If this bit is set to 1 DW_apb_i2c slave only receives Quick commands in SMBus Mode. If this bit is set to 0 DW_apb_i2c slave receives all bus protocols but not Quick commands. This bit is applicable only in slave mode. Reset.." "SMBus SLave is disabled to receive Quick command,SMBus SLave is enabled to receive Quick command" newline rbitfld.long 0x0 16. "RSVD_OPTIONAL_SAR_CTRL,OPTIONAL_SAR_CTRL Reserved bits - Read Only" "0,1" newline hexmask.long.byte 0x0 12.--15. 1. "RSVD_IC_CON_1,IC_CON_1 Reserved bits - Read Only" newline bitfld.long 0x0 11. "BUS_CLEAR_FEATURE_CTRL,In Master mode: - 1'b1: Bus Clear Feature is enabled. - 1'b0: Bus Clear Feature is Disabled. In Slave mode this register bit is not applicable. Reset value: 0x0. 0x0: Bus Clear Feature is disabled. 0x1: Bus Clear Feature ois.." "Bus Clear Feature is disabled,Bus Clear Feature ois enabled" newline rbitfld.long 0x0 10. "STOP_DET_IF_MASTER_ACTIVE,In Master mode: - 1'b1: issues the STOP_DET interrupt only when master is active. - 1'b0: issues the STOP_DET irrespective of whether master is active or not. Reset value: 0x0. 0x0: Master issues the STOP_DET interrupt.." "Master issues the STOP_DET interrupt..,Master issues the STOP_DET interrupt only when.." newline bitfld.long 0x0 9. "RX_FIFO_FULL_HLD_CTRL,This bit controls whether DW_apb_i2c should hold the bus when the Rx FIFO is physically full to its RX_BUFFER_DEPTH as described in the IC_RX_FULL_HLD_BUS_EN parameter. Reset value: 0x0. 0x0: Overflow when RX_FIFO is full 0x1: Hold.." "Overflow when RX_FIFO is full,Hold bus when RX_FIFO is full" newline bitfld.long 0x0 8. "TX_EMPTY_CTRL,This bit controls the generation of the TX_EMPTY interrupt as described in the IC_RAW_INTR_STAT register. Reset value: 0x0. 0x0: Default behaviour of TX_EMPTY interrupt 0x1: Controlled generation of TX_EMPTY interrupt" "Default behaviour of TX_EMPTY interrupt,Controlled generation of TX_EMPTY interrupt" newline bitfld.long 0x0 7. "STOP_DET_IFADDRESSED,In slave mode: - 1'b1: issues the STOP_DET interrrupt only when it is addressed. - 0'b0: issues the STOP_DET irrespective of whether it's addressed or not. Reset value: 0x0 NOTE: During a general call address this slave does not.." "slave issues STOP_DET intr always,slave issues STOP_DET intr only if addressed" newline bitfld.long 0x0 6. "IC_SLAVE_DISABLE,This bit controls whether I2C has its slave disabled which means once the presetn signal is applied then this bit takes on the value of the configuration parameter IC_SLAVE_DISABLE. You have the choice of having the slave enabled or.." "Slave mode is enabled,Slave mode is disabled" newline bitfld.long 0x0 5. "IC_RESTART_EN,Determines whether RESTART conditions may be sent when acting as a master. Some older slaves do not support handling RESTART conditions; however RESTART conditions are used in several DW_apb_i2c operations. When RESTART is disabled the.." "Master restart disabled,Master restart enabled" newline bitfld.long 0x0 4. "IC_10BITADDR_MASTER,If the I2C_DYNAMIC_TAR_UPDATE configuration parameter is set to 'No' (0) this bit is named IC_10BITADDR_MASTER and controls whether the DW_apb_i2c starts its transfers in 7- or 10-bit addressing mode when acting as a master. If.." "Master 7Bit addressing mode,Master 10Bit addressing mode" newline bitfld.long 0x0 3. "IC_10BITADDR_SLAVE,When acting as a slave this bit controls whether the DW_apb_i2c responds to 7- or 10-bit addresses. - 0: 7-bit addressing. The DW_apb_i2c ignores transactions that involve 10-bit addressing; for 7-bit addressing only the lower 7 bits.." "Slave 7Bit addressing,Slave 10Bit addressing" newline bitfld.long 0x0 1.--2. "SPEED,These bits control at which speed the DW_apb_i2c operates; its setting is relevant only if one is operating the DW_apb_i2c in master mode. Hardware protects against illegal values being programmed by software. These bits must be programmed.." "?,Standard Speed mode of operation,Fast or Fast Plus mode of operation,High Speed mode of operation" newline bitfld.long 0x0 0. "MASTER_MODE,This bit controls whether the DW_apb_i2c master is enabled. Reset value: IC_MASTER_MODE configuration parameter NOTE: Software should ensure that if this bit is written with '1' then bit 6 should also be written with a '1'. 0x0: Master mode.." "Master mode is disabled,Master mode is enabled" line.long 0x4 "IC_TAR,I2C Target Address Register If the configuration parameter I2C_DYNAMIC_TAR_UPDATE is set to 'No' (0). this register is 12 bits wide. and bits 31:12 are reserved. This register can be written to only when IC_ENABLE[0] is set to 0. However. if.." hexmask.long.word 0x4 17.--31. 1. "RSVD_IC_TAR_2,IC_TAR_2 Reserved bits - Read Only" newline bitfld.long 0x4 16. "SMBUS_QUICK_CMD,If bit 11 (SPECIAL) is set to 1 then this bit indicates whether a Quick command is to be performed by the DW_apb_i2c. Reset value: 0x0 0x0: Disables programming of QUICK-CMD transmission 0x1: Enables programming of QUICK-CMD transmission" "Disables programming of QUICK-CMD transmission,Enables programming of QUICK-CMD transmission" newline rbitfld.long 0x4 14.--15. "RSVD_IC_TAR_1,IC_TAR_1 Reserved bits - Read Only" "0,1,2,3" newline rbitfld.long 0x4 13. "RSVD_DEVICE_ID,DEVICE_ID Reserved bits - Read Only" "0,1" newline rbitfld.long 0x4 12. "RSVD_IC_10BITADDR_MASTER,IC_10BITADDR_MASTER Reserved bits - Read Only" "0,1" newline bitfld.long 0x4 11. "SPECIAL,This bit indicates whether software performs a Device-ID or General Call or START BYTE command. - 0: ignore bit 10 GC_OR_START and use IC_TAR normally - 1: perform special I2C command as specified in Device_ID or GC_OR_START bit Reset value: 0x0.." "Disables programming of GENERAL_CALL or..,Enables programming of GENERAL_CALL or.." newline bitfld.long 0x4 10. "GC_OR_START,If bit 11 (SPECIAL) is set to 1 and bit 13(Device-ID) is set to 0 then this bit indicates whether a General Call or START byte command is to be performed by the DW_apb_i2c. - 0: General Call Address - after issuing a General Call only.." "GENERAL_CALL byte transmission,START byte transmission" newline hexmask.long.word 0x4 0.--9. 1. "IC_TAR,This is the target address for any master transaction. When transmitting a General Call these bits are ignored. To generate a START BYTE the CPU needs to write only once into these bits. If the IC_TAR and IC_SAR are the same loopback exists but.." line.long 0x8 "IC_SAR,I2C Slave Address Register" hexmask.long.tbyte 0x8 10.--31. 1. "RSVD_IC_SAR,IC_SAR Reserved bits - Read Only" newline hexmask.long.word 0x8 0.--9. 1. "IC_SAR,The IC_SAR holds the slave address when the I2C is operating as a slave. For 7-bit addressing only IC_SAR[6:0] is used. This register can be written only when the I2C interface is disabled which corresponds to the IC_ENABLE[0] register being set.." group.long 0x10++0x13 line.long 0x0 "IC_DATA_CMD,I2C Rx/Tx Data Buffer and Command Register; this is the register the CPU writes to when filling the TX FIFO and the CPU reads from when retrieving bytes from RX FIFO. The size of the register changes as follows: Write: - 11 bits when.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVD_IC_DATA_CMD,IC_DATA_CMD Reserved bits - Read Only" newline rbitfld.long 0x0 11. "FIRST_DATA_BYTE,Indicates the first data byte received after the address phase for receive transfer in Master receiver or Slave receiver mode. Reset value : 0x0 NOTE: In case of APB_DATA_WIDTH=8 1. The user has to perform two APB Reads to IC_DATA_CMD in.." "Sequential data byte received,Non sequential data byte received" newline bitfld.long 0x0 10. "RESTART,This bit controls whether a RESTART is issued before the byte is sent or received. This bit is available only if IC_EMPTYFIFO_HOLD_MASTER_EN is configured to 1. 1 - If IC_RESTART_EN is 1 a RESTART is issued before the data is sent/received.." "Donot Issue RESTART before this command,Issue RESTART before this command" newline bitfld.long 0x0 9. "STOP,This bit controls whether a STOP is issued after the byte is sent or received. This bit is available only if IC_EMPTYFIFO_HOLD_MASTER_EN is configured to 1. - 1 - STOP is issued after this byte regardless of whether or not the Tx FIFO is empty. If.." "Donot Issue STOP after this command,Issue STOP after this command" newline bitfld.long 0x0 8. "CMD,This bit controls whether a read or a write is performed. This bit does not control the direction when the DW_apb_i2c acts as a slave. It controls only the direction when it acts as a master. When a command is entered in the TX FIFO this bit.." "Master Write Command,Master Read Command" newline hexmask.long.byte 0x0 0.--7. 1. "DAT,This register contains the data to be transmitted or received on the I2C bus. If you are writing to this register and want to perform a read bits 7:0 (DAT) are ignored by the DW_apb_i2c. However when you read this register these bits return the.." line.long 0x4 "IC_SS_SCL_HCNT,Standard Speed I2C Clock SCL High Count Register" hexmask.long.word 0x4 16.--31. 1. "RSVD_IC_SS_SCL_HIGH_COUNT,IC_SS_SCL_HCNT Reserved bits - Read Only" newline hexmask.long.word 0x4 0.--15. 1. "IC_SS_SCL_HCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock high-period count for standard speed. For more information refer to 'IC_CLK Frequency Configuration'." line.long 0x8 "IC_SS_SCL_LCNT,Standard Speed I2C Clock SCL Low Count Register" hexmask.long.word 0x8 16.--31. 1. "RSVD_IC_SS_SCL_LOW_COUNT,RSVD_IC_SS_SCL_LOW_COUNT Reserved bits - Read Only" newline hexmask.long.word 0x8 0.--15. 1. "IC_SS_SCL_LCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock low period count for standard speed. For more information refer to 'IC_CLK Frequency Configuration' This.." line.long 0xC "IC_FS_SCL_HCNT,Fast Mode or Fast Mode Plus I2C Clock SCL High Count Register" hexmask.long.word 0xC 16.--31. 1. "RSVD_IC_FS_SCL_HCNT,IC_FS_SCL_HCNT Reserved bits - Read Only" newline hexmask.long.word 0xC 0.--15. 1. "IC_FS_SCL_HCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock high-period count for fast mode or fast mode plus. It is used in high-speed mode to send the Master Code.." line.long 0x10 "IC_FS_SCL_LCNT,Fast Mode or Fast Mode Plus I2C Clock SCL Low Count Register" hexmask.long.word 0x10 16.--31. 1. "RSVD_IC_FS_SCL_LCNT,IC_FS_SCL_LCNT Reserved bits - Read Only" newline hexmask.long.word 0x10 0.--15. 1. "IC_FS_SCL_LCNT,This register must be set before any I2C bus transaction can take place to ensure proper I/O timing. This register sets the SCL clock low period count for fast speed. It is used in high-speed mode to send the Master Code and START BYTE or.." rgroup.long 0x2C++0x3 line.long 0x0 "IC_INTR_STAT,I2C Interrupt Status Register Each bit in this register has a corresponding mask bit in the IC_INTR_MASK register. These bits are cleared by reading the matching interrupt clear register. The unmasked raw versions of these bits are available.." hexmask.long.tbyte 0x0 15.--31. 1. "RSVD_IC_INTR_STAT,IC_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 14. "R_SCL_STUCK_AT_LOW,See IC_RAW_INTR_STAT for a detailed description of R_SCL_STUCK_AT_LOW bit. Reset Value: 0x0 0x1: R_SCL_STUCK_AT_LOW interrupt is active 0x0: R_SCL_STUCK_AT_LOW interrupt is inactive" "R_SCL_STUCK_AT_LOW interrupt is inactive,R_SCL_STUCK_AT_LOW interrupt is active" newline bitfld.long 0x0 13. "R_MASTER_ON_HOLD,See IC_RAW_INTR_STAT for a detailed description of R_MASTER_ON_HOLD bit. Reset value: 0x0 0x1: R_MASTER_ON_HOLD interrupt is active 0x0: R_MASTER_ON_HOLD interrupt is inactive" "R_MASTER_ON_HOLD interrupt is inactive,R_MASTER_ON_HOLD interrupt is active" newline bitfld.long 0x0 12. "R_RESTART_DET,See IC_RAW_INTR_STAT for a detailed description of R_RESTART_DET bit. Reset value: 0x0 0x1: R_RESTART_DET interrupt is active 0x0: R_RESTART_DET interrupt is inactive" "R_RESTART_DET interrupt is inactive,R_RESTART_DET interrupt is active" newline bitfld.long 0x0 11. "R_GEN_CALL,See IC_RAW_INTR_STAT for a detailed description of R_GEN_CALL bit. Reset value: 0x0 0x1: R_GEN_CALL interrupt is active 0x0: R_GEN_CALL interrupt is inactive" "R_GEN_CALL interrupt is inactive,R_GEN_CALL interrupt is active" newline bitfld.long 0x0 10. "R_START_DET,See IC_RAW_INTR_STAT for a detailed description of R_START_DET bit. Reset value: 0x0 0x1: R_START_DET interrupt is active 0x0: R_START_DET interrupt is inactive" "R_START_DET interrupt is inactive,R_START_DET interrupt is active" newline bitfld.long 0x0 9. "R_STOP_DET,See IC_RAW_INTR_STAT for a detailed description of R_STOP_DET bit. Reset value: 0x0 0x1: R_STOP_DET interrupt is active 0x0: R_STOP_DET interrupt is inactive" "R_STOP_DET interrupt is inactive,R_STOP_DET interrupt is active" newline bitfld.long 0x0 8. "R_ACTIVITY,See IC_RAW_INTR_STAT for a detailed description of R_ACTIVITY bit. Reset value: 0x0 0x1: R_ACTIVITY interrupt is active 0x0: R_ACTIVITY interrupt is inactive" "R_ACTIVITY interrupt is inactive,R_ACTIVITY interrupt is active" newline bitfld.long 0x0 7. "R_RX_DONE,See IC_RAW_INTR_STAT for a detailed description of R_RX_DONE bit. Reset value: 0x0 0x1: R_RX_DONE interrupt is active 0x0: R_RX_DONE interrupt is inactive" "R_RX_DONE interrupt is inactive,R_RX_DONE interrupt is active" newline bitfld.long 0x0 6. "R_TX_ABRT,See IC_RAW_INTR_STAT for a detailed description of R_TX_ABRT bit. Reset value: 0x0 0x1: R_TX_ABRT interrupt is active 0x0: R_TX_ABRT interrupt is inactive" "R_TX_ABRT interrupt is inactive,R_TX_ABRT interrupt is active" newline bitfld.long 0x0 5. "R_RD_REQ,See IC_RAW_INTR_STAT for a detailed description of R_RD_REQ bit. Reset value: 0x0 0x1: R_RD_REQ interrupt is active 0x0: R_RD_REQ interrupt is inactive" "R_RD_REQ interrupt is inactive,R_RD_REQ interrupt is active" newline bitfld.long 0x0 4. "R_TX_EMPTY,See IC_RAW_INTR_STAT for a detailed description of R_TX_EMPTY bit. Reset value: 0x0 0x1: R_TX_EMPTY interrupt is active 0x0: R_TX_EMPTY interrupt is inactive" "R_TX_EMPTY interrupt is inactive,R_TX_EMPTY interrupt is active" newline bitfld.long 0x0 3. "R_TX_OVER,See IC_RAW_INTR_STAT for a detailed description of R_TX_OVER bit. Reset value: 0x0 0x1: R_TX_OVER interrupt is active 0x0: R_TX_OVER interrupt is inactive" "R_TX_OVER interrupt is inactive,R_TX_OVER interrupt is active" newline bitfld.long 0x0 2. "R_RX_FULL,See IC_RAW_INTR_STAT for a detailed description of R_RX_FULL bit. Reset value: 0x0 0x1: R_RX_FULL interrupt is active 0x0: R_RX_FULL interrupt is inactive" "R_RX_FULL interrupt is inactive,R_RX_FULL interrupt is active" newline bitfld.long 0x0 1. "R_RX_OVER,See IC_RAW_INTR_STAT for a detailed description of R_RX_OVER bit. Reset value: 0x0 0x1: R_RX_OVER interrupt is active 0x0: R_RX_OVER interrupt is inactive" "R_RX_OVER interrupt is inactive,R_RX_OVER interrupt is active" newline bitfld.long 0x0 0. "R_RX_UNDER,See IC_RAW_INTR_STAT for a detailed description of R_RX_UNDER bit. Reset value: 0x0 0x1: RX_UNDER interrupt is active 0x0: RX_UNDER interrupt is inactive" "RX_UNDER interrupt is inactive,RX_UNDER interrupt is active" group.long 0x30++0x3 line.long 0x0 "IC_INTR_MASK,I2C Interrupt Mask Register. These bits mask their corresponding interrupt status bits. This register is active low; a value of 0 masks the interrupt. whereas a value of 1 unmasks the interrupt." hexmask.long.tbyte 0x0 15.--31. 1. "RSVD_IC_INTR_STAT,IC_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 14. "M_SCL_STUCK_AT_LOW,This bit masks the R_SCL_STUCK_AT_LOW interrupt in IC_INTR_STAT register. Reset Value: 0x0 0x1: SCL_STUCK_AT_LOW interrupt is unmasked 0x0: SCL_STUCK_AT_LOW interrupt is masked" "SCL_STUCK_AT_LOW interrupt is masked,SCL_STUCK_AT_LOW interrupt is unmasked" newline rbitfld.long 0x0 13. "M_MASTER_ON_HOLD_READ_ONLY,This M_MASTER_ON_HOLD_read_only bit masks the R_MASTER_ON_HOLD interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: MASTER_ON_HOLD interrupt is unmasked 0x0: MASTER_ON_HOLD interrupt is masked" "MASTER_ON_HOLD interrupt is masked,MASTER_ON_HOLD interrupt is unmasked" newline bitfld.long 0x0 12. "M_RESTART_DET,This bit masks the R_RESTART_DET interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: RESTART_DET interrupt is unmasked 0x0: RESTART_DET interrupt is masked" "RESTART_DET interrupt is masked,RESTART_DET interrupt is unmasked" newline bitfld.long 0x0 11. "M_GEN_CALL,This bit masks the R_GEN_CALL interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: GEN_CALL interrupt is unmasked 0x0: GEN_CALL interrupt is masked" "GEN_CALL interrupt is masked,GEN_CALL interrupt is unmasked" newline bitfld.long 0x0 10. "M_START_DET,This bit masks the R_START_DET interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: START_DET interrupt is unmasked 0x0: START_DET interrupt is masked" "START_DET interrupt is masked,START_DET interrupt is unmasked" newline bitfld.long 0x0 9. "M_STOP_DET,This bit masks the R_STOP_DET interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: STOP_DET interrupt is unmasked 0x0: STOP_DET interrupt is masked" "STOP_DET interrupt is masked,STOP_DET interrupt is unmasked" newline bitfld.long 0x0 8. "M_ACTIVITY,This bit masks the R_ACTIVITY interrupt in IC_INTR_STAT register. Reset value: 0x0 0x1: ACTIVITY interrupt is unmasked 0x0: ACTIVITY interrupt is masked" "ACTIVITY interrupt is masked,ACTIVITY interrupt is unmasked" newline bitfld.long 0x0 7. "M_RX_DONE,This bit masks the R_RX_DONE interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_DONE interrupt is unmasked 0x0: RX_DONE interrupt is masked" "RX_DONE interrupt is masked,RX_DONE interrupt is unmasked" newline bitfld.long 0x0 6. "M_TX_ABRT,This bit masks the R_TX_ABRT interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: TX_ABORT interrupt is unmasked 0x0: TX_ABORT interrupt is masked" "TX_ABORT interrupt is masked,TX_ABORT interrupt is unmasked" newline bitfld.long 0x0 5. "M_RD_REQ,This bit masks the R_RD_REQ interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RD_REQ interrupt is unmasked 0x0: RD_REQ interrupt is masked" "RD_REQ interrupt is masked,RD_REQ interrupt is unmasked" newline bitfld.long 0x0 4. "M_TX_EMPTY,This bit masks the R_TX_EMPTY interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: TX_EMPTY interrupt is unmasked 0x0: TX_EMPTY interrupt is masked" "TX_EMPTY interrupt is masked,TX_EMPTY interrupt is unmasked" newline bitfld.long 0x0 3. "M_TX_OVER,This bit masks the R_TX_OVER interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: TX_OVER interrupt is unmasked 0x0: TX_OVER interrupt is masked" "TX_OVER interrupt is masked,TX_OVER interrupt is unmasked" newline bitfld.long 0x0 2. "M_RX_FULL,This bit masks the R_RX_FULL interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_FULL interrupt is unmasked 0x0: RX_FULL interrupt is masked" "RX_FULL interrupt is masked,RX_FULL interrupt is unmasked" newline bitfld.long 0x0 1. "M_RX_OVER,This bit masks the R_RX_OVER interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_OVER interrupt is unmasked 0x0: RX_OVER interrupt is masked" "RX_OVER interrupt is masked,RX_OVER interrupt is unmasked" newline bitfld.long 0x0 0. "M_RX_UNDER,This bit masks the R_RX_UNDER interrupt in IC_INTR_STAT register. Reset value: 0x1 0x1: RX_UNDER interrupt is unmasked 0x0: RX_UNDER interrupt is masked" "RX_UNDER interrupt is masked,RX_UNDER interrupt is unmasked" rgroup.long 0x34++0x3 line.long 0x0 "IC_RAW_INTR_STAT,I2C Raw Interrupt Status Register Unlike the IC_INTR_STAT register. these bits are not masked so they always show the true status of the DW_apb_i2c." hexmask.long.tbyte 0x0 15.--31. 1. "RSVD_IC_RAW_INTR_STAT,IC_RAW_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 14. "SCL_STUCK_AT_LOW,Indicates whether the SCL Line is stuck at low for the IC_SCL_STUCK_LOW_TIMEOUT number of ic_clk periods. Enabled only when IC_BUS_CLEAR_FEATURE=1 and IC_ULTRA_FAST_MODE=0. Reset Value: 0x0 0x1: SCL_STUCK_AT_LOW interrupt is active 0x0:.." "SCL_STUCK_AT_LOW interrupt is inactive,SCL_STUCK_AT_LOW interrupt is active" newline bitfld.long 0x0 13. "MASTER_ON_HOLD,Indicates whether master is holding the bus and TX FIFO is empty. Enabled only when I2C_DYNAMIC_TAR_UPDATE=1 and IC_EMPTYFIFO_HOLD_MASTER_EN=1. Reset value: 0x0 0x1: MASTER_ON_HOLD interrupt is active 0x0: MASTER_ON_HOLD interrupt is.." "MASTER_ON_HOLD interrupt is inactive,MASTER_ON_HOLD interrupt is active" newline bitfld.long 0x0 12. "RESTART_DET,Indicates whether a RESTART condition has occurred on the I2C interface when DW_apb_i2c is operating in Slave mode and the slave is being addressed. Enabled only when IC_SLV_RESTART_DET_EN=1. Note: However in high-speed mode or during a.." "RESTART_DET interrupt is inactive,RESTART_DET interrupt is active" newline bitfld.long 0x0 11. "GEN_CALL,Set only when a General Call address is received and it is acknowledged. It stays set until it is cleared either by disabling DW_apb_i2c or when the CPU reads bit 0 of the IC_CLR_GEN_CALL register. DW_apb_i2c stores the received data in the Rx.." "GEN_CALL interrupt is inactive,GEN_CALL interrupt is active" newline bitfld.long 0x0 10. "START_DET,Indicates whether a START or RESTART condition has occurred on the I2C interface regardless of whether DW_apb_i2c is operating in slave or master mode. Reset value: 0x0 0x1: START_DET interrupt is active 0x0: START_DET interrupt is inactive" "START_DET interrupt is inactive,START_DET interrupt is active" newline bitfld.long 0x0 9. "STOP_DET,Indicates whether a STOP condition has occurred on the I2C interface regardless of whether DW_apb_i2c is operating in slave or master mode. In Slave Mode: - If IC_CON[7]=1'b1 (STOP_DET_IFADDRESSED) the STOP_DET interrupt will be issued only if.." "STOP_DET interrupt is inactive,STOP_DET interrupt is active" newline bitfld.long 0x0 8. "ACTIVITY,This bit captures DW_apb_i2c activity and stays set until it is cleared. There are four ways to clear it: - Disabling the DW_apb_i2c - Reading the IC_CLR_ACTIVITY register - Reading the IC_CLR_INTR register - System reset Once this bit is set.." "RAW_INTR_ACTIVITY interrupt is inactive,RAW_INTR_ACTIVITY interrupt is active" newline bitfld.long 0x0 7. "RX_DONE,When the DW_apb_i2c is acting as a slave-transmitter this bit is set to 1 if the master does not acknowledge a transmitted byte. This occurs on the last byte of the transmission indicating that the transmission is done. Reset value: 0x0 0x1:.." "RX_DONE interrupt is inactive,RX_DONE interrupt is active" newline bitfld.long 0x0 6. "TX_ABRT,This bit indicates if DW_apb_i2c as an I2C transmitter is unable to complete the intended actions on the contents of the transmit FIFO. This situation can occur both as an I2C master or an I2C slave and is referred to as a 'transmit abort'." "TX_ABRT interrupt is inactive,TX_ABRT interrupt is active" newline bitfld.long 0x0 5. "RD_REQ,This bit is set to 1 when DW_apb_i2c is acting as a slave and another I2C master is attempting to read data from DW_apb_i2c. The DW_apb_i2c holds the I2C bus in a wait state (SCL=0) until this interrupt is serviced which means that the slave has.." "RD_REQ interrupt is inactive,RD_REQ interrupt is active" newline bitfld.long 0x0 4. "TX_EMPTY,The behavior of the TX_EMPTY interrupt status differs based on the TX_EMPTY_CTRL selection in the IC_CON register. - When TX_EMPTY_CTRL = 0: This bit is set to 1 when the transmit buffer is at or below the threshold value set in the IC_TX_TL.." "TX_EMPTY interrupt is inactive,TX_EMPTY interrupt is active" newline bitfld.long 0x0 3. "TX_OVER,Set during transmit if the transmit buffer is filled to IC_TX_BUFFER_DEPTH and the processor attempts to issue another I2C command by writing to the IC_DATA_CMD register. When the module is disabled this bit keeps its level until the master or.." "TX_OVER interrupt is inactive,TX_OVER interrupt is active" newline bitfld.long 0x0 2. "RX_FULL,Set when the receive buffer reaches or goes above the RX_TL threshold in the IC_RX_TL register. It is automatically cleared by hardware when buffer level goes below the threshold. If the module is disabled (IC_ENABLE[0]=0) the RX FIFO is flushed.." "RX_FULL interrupt is inactive,RX_FULL interrupt is active" newline bitfld.long 0x0 1. "RX_OVER,Set if the receive buffer is completely filled to IC_RX_BUFFER_DEPTH and an additional byte is received from an external I2C device. The DW_apb_i2c acknowledges this but any data bytes received after the FIFO is full are lost. If the module is.." "RX_OVER interrupt is inactive,RX_OVER interrupt is active" newline bitfld.long 0x0 0. "RX_UNDER,Set if the processor attempts to read the receive buffer when it is empty by reading from the IC_DATA_CMD register. If the module is disabled (IC_ENABLE[0]=0) this bit keeps its level until the master or slave state machines go into idle and.." "RX_UNDER interrupt is inactive,RX_UNDER interrupt is active" group.long 0x38++0x7 line.long 0x0 "IC_RX_TL,I2C Receive FIFO Threshold Register" hexmask.long.tbyte 0x0 8.--31. 1. "RSVD_IC_RX_TL,IC_RX_TL Reserved bits - Read Only" newline hexmask.long.byte 0x0 0.--7. 1. "RX_TL,Receive FIFO Threshold Level. Controls the level of entries (or above) that triggers the RX_FULL interrupt (bit 2 in IC_RAW_INTR_STAT register). The valid range is 0-255 with the additional restriction that hardware does not allow this value to be.." line.long 0x4 "IC_TX_TL,I2C Transmit FIFO Threshold Register" hexmask.long.tbyte 0x4 8.--31. 1. "RSVD_IC_TX_TL,IC_TX_TL Reserved bits - Read Only" newline hexmask.long.byte 0x4 0.--7. 1. "TX_TL,Transmit FIFO Threshold Level. Controls the level of entries (or below) that trigger the TX_EMPTY interrupt (bit 4 in IC_RAW_INTR_STAT register). The valid range is 0-255 with the additional restriction that it may not be set to value larger than.." rgroup.long 0x40++0x2B line.long 0x0 "IC_CLR_INTR,Clear Combined and Individual Interrupt Register" hexmask.long 0x0 1.--31. 1. "RSVD_IC_CLR_INTR,CLR_INTR Reserved bits - Read Only" newline bitfld.long 0x0 0. "CLR_INTR,Read this register to clear the combined interrupt all individual interrupts and the IC_TX_ABRT_SOURCE register. This bit does not clear hardware clearable interrupts but software clearable interrupts. Refer to Bit 9 of the IC_TX_ABRT_SOURCE.." "0,1" line.long 0x4 "IC_CLR_RX_UNDER,Clear RX_UNDER Interrupt Register" hexmask.long 0x4 1.--31. 1. "RSVD_IC_CLR_RX_UNDER,IC_CLR_RX_UNDER Reserved bits - Read Only" newline bitfld.long 0x4 0. "CLR_RX_UNDER,Read this register to clear the RX_UNDER interrupt (bit 0) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x8 "IC_CLR_RX_OVER,Clear RX_OVER Interrupt Register" hexmask.long 0x8 1.--31. 1. "RSVD_IC_CLR_RX_OVER,IC_CLR_RX_OVER Reserved bits - Read Only" newline bitfld.long 0x8 0. "CLR_RX_OVER,Read this register to clear the RX_OVER interrupt (bit 1) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0xC "IC_CLR_TX_OVER,Clear TX_OVER Interrupt Register" hexmask.long 0xC 1.--31. 1. "RSVD_IC_CLR_TX_OVER,IC_CLR_TX_OVER Reserved bits - Read Only" newline bitfld.long 0xC 0. "CLR_TX_OVER,Read this register to clear the TX_OVER interrupt (bit 3) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x10 "IC_CLR_RD_REQ,Clear RD_REQ Interrupt Register" hexmask.long 0x10 1.--31. 1. "RSVD_IC_CLR_RD_REQ,IC_CLR_RD_REQ Reserved bits - Read Only" newline bitfld.long 0x10 0. "CLR_RD_REQ,Read this register to clear the RD_REQ interrupt (bit 5) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x14 "IC_CLR_TX_ABRT,Clear TX_ABRT Interrupt Register" hexmask.long 0x14 1.--31. 1. "RSVD_IC_CLR_TX_ABRT,IC_CLR_TX_ABRT Reserved bits - Read Only" newline bitfld.long 0x14 0. "CLR_TX_ABRT,Read this register to clear the TX_ABRT interrupt (bit 6) of the IC_RAW_INTR_STAT register and the IC_TX_ABRT_SOURCE register. This also releases the TX FIFO from the flushed/reset state allowing more writes to the TX FIFO. Refer to Bit 9.." "0,1" line.long 0x18 "IC_CLR_RX_DONE,Clear RX_DONE Interrupt Register" hexmask.long 0x18 1.--31. 1. "RSVD_IC_CLR_RX_DONE,IC_CLR_RX_DONE Reserved bits - Read Only" newline bitfld.long 0x18 0. "CLR_RX_DONE,Read this register to clear the RX_DONE interrupt (bit 7) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x1C "IC_CLR_ACTIVITY,Clear ACTIVITY Interrupt Register" hexmask.long 0x1C 1.--31. 1. "RSVD_IC_CLR_ACTIVITY,IC_CLR_ACTIVITY Reserved bits - Read Only" newline bitfld.long 0x1C 0. "CLR_ACTIVITY,Reading this register clears the ACTIVITY interrupt if the I2C is not active anymore. If the I2C module is still active on the bus the ACTIVITY interrupt bit continues to be set. It is automatically cleared by hardware if the module is.." "0,1" line.long 0x20 "IC_CLR_STOP_DET,Clear STOP_DET Interrupt Register" hexmask.long 0x20 1.--31. 1. "RSVD_IC_CLR_STOP_DET,IC_CLR_STOP_DET Reserved bits - Read Only" newline bitfld.long 0x20 0. "CLR_STOP_DET,Read this register to clear the STOP_DET interrupt (bit 9) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x24 "IC_CLR_START_DET,Clear START_DET Interrupt Register" hexmask.long 0x24 1.--31. 1. "RSVD_IC_CLR_START_DET,IC_CLR_START_DET Reserved bits - Read Only" newline bitfld.long 0x24 0. "CLR_START_DET,Read this register to clear the START_DET interrupt (bit 10) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" line.long 0x28 "IC_CLR_GEN_CALL,Clear GEN_CALL Interrupt Register" hexmask.long 0x28 1.--31. 1. "RSVD_IC_CLR_GEN_CALL,IC_CLR_GEN_CALL Reserved bits - Read Only" newline bitfld.long 0x28 0. "CLR_GEN_CALL,Read this register to clear the GEN_CALL interrupt (bit 11) of IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0x6C++0x3 line.long 0x0 "IC_ENABLE,I2C Enable Register" hexmask.long.word 0x0 19.--31. 1. "RSVD_IC_ENABLE_2,IC_ENABLE Reserved bits - Read Only" newline rbitfld.long 0x0 18. "RSVD_SMBUS_ALERT_EN,SMBUS_ALERT_EN Register field Reserved bits - Read Only" "0,1" newline rbitfld.long 0x0 17. "RSVD_SMBUS_SUSPEND_EN,SMBUS_SUSPEND_EN Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 16. "SMBUS_CLK_RESET,This bit is used in SMBus Host mode to initiate the SMBus Master Clock Reset. This bit should be enabled only when Master is in idle. Whenever this bit is enabled the SMBCLK is held low for the IC_SCL_STUCK_TIMEOUT ic_clk cycles to reset.." "Master will not initates SMBUS Clock Reset..,Master initates the SMBUS Clock Reset Mechanism" newline hexmask.long.word 0x0 4.--15. 1. "RSVD_IC_ENABLE_1,RSVD_IC_ENABLE_1 Reserved bits - Read Only" newline bitfld.long 0x0 3. "SDA_STUCK_RECOVERY_ENABLE,If SDA is stuck at low indicated through the TX_ABORT interrupt (IC_TX_ABRT_SOURCE[17]) then this bit is used as a control knob to initiate the SDA Recovery Mechanism (that is send at most 9 SCL clocks and STOP to release the.." "Master disabled the SDA stuck at low recovery..,Master initates the SDA stuck at low recovery.." newline bitfld.long 0x0 2. "TX_CMD_BLOCK,In Master mode: - 1'b1: Blocks the transmission of data on I2C bus even if Tx FIFO has data to transmit. - 1'b0: The transmission of data starts on I2C bus automatically as soon as the first data is available in the Tx FIFO. Note: To block.." "Tx Command execution not blocked,Tx Command execution blocked" newline bitfld.long 0x0 1. "ABORT,When set the controller initiates the transfer abort. - 0: ABORT not initiated or ABORT done - 1: ABORT operation in progress The software can abort the I2C transfer in master mode by setting this bit. The software can set this bit only when.." "ABORT operation not in progress,ABORT operation in progress" newline bitfld.long 0x0 0. "ENABLE,Controls whether the DW_apb_i2c is enabled. - 0: Disables DW_apb_i2c (TX and RX FIFOs are held in an erased state) - 1: Enables DW_apb_i2c Software can disable DW_apb_i2c while it is active. However it is important that care be taken to ensure.." "I2C is disabled,I2C is enabled" rgroup.long 0x70++0xB line.long 0x0 "IC_STATUS,I2C Status Register This is a read-only register used to indicate the current transfer status and FIFO status. The status register may be read at any time. None of the bits in this register request an interrupt. When the I2C is disabled by.." hexmask.long.word 0x0 21.--31. 1. "RSVD_IC_STATUS_2,IC_STATUS Reserved bits - Read Only" newline bitfld.long 0x0 20. "RSVD_SMBUS_ALERT_STATUS,SMBUS_ALERT_STATUS Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 19. "RSVD_SMBUS_SUSPEND_STATUS,SMBUS_SUSPEND_STATUS Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 18. "SMBUS_SLAVE_ADDR_RESOLVED,This bit indicates whether the slave address (ic_sar) is resolved by the ARP Master. Reset value: 0x0. 0x1: SMBUS Slave Address is Resolved. 0x0: SMBUS Slave Address is not Resolved." "SMBUS Slave Address is not Resolved,SMBUS Slave Address is Resolved" newline bitfld.long 0x0 17. "SMBUS_SLAVE_ADDR_VALID,This bit indicates whether the slave address (ic_sar) is valid or not. Reset value: IC_PERSISTANT_SLV_ADDR_DEFAULT 0x1: SMBUS Slave Address is Valid. 0x0: SMBUS SLave Address is not valid." "SMBUS SLave Address is not valid,SMBUS Slave Address is Valid" newline bitfld.long 0x0 16. "SMBUS_QUICK_CMD_BIT,This bit indicates the R/W bit of the Quick command received. This bit will be cleared after the user has read this bit. Reset value: 0x0. 0x1: SMBUS QUICK CMD Read/write is set to 1. 0x0: SMBUS QUICK CMD Read/write is set to 0." "SMBUS QUICK CMD Read/write is set to 0,SMBUS QUICK CMD Read/write is set to 1" newline hexmask.long.byte 0x0 12.--15. 1. "RSVD_IC_STATUS_1,RSVD_IC_STATUS_1 Reserved bits - Read Only" newline bitfld.long 0x0 11. "SDA_STUCK_NOT_RECOVERED,This bit indicates that SDA stuck at low is not recovered after the recovery mechanism. In Slave mode this register bit is not applicable. Reset value: 0x0. 0x1: SDA Stuck at low is recovered after recovery mechanism. 0x0: SDA.." "SDA Stuck at low is not recovered after recovery..,SDA Stuck at low is recovered after recovery.." newline bitfld.long 0x0 10. "RSVD_SLV_HOLD_RX_FIFO_FULL,SLV_HOLD_RX_FIFO_FULL Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_SLV_HOLD_TX_FIFO_EMPTY,SLV_HOLD_TX_FIFO_EMPTY Regsiter field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "RSVD_MST_HOLD_RX_FIFO_FULL,MST_HOLD_RX_FIFO_FULL Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 7. "RSVD_MST_HOLD_TX_FIFO_EMPTY,MST_HOLD_TX_FIFO_EMPTY Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 6. "SLV_ACTIVITY,Slave FSM Activity Status. When the Slave Finite State Machine (FSM) is not in the IDLE state this bit is set. - 0: Slave FSM is in IDLE state so the Slave part of DW_apb_i2c is not Active - 1: Slave FSM is not in IDLE state so the Slave.." "Slave is idle,Slave not idle" newline bitfld.long 0x0 5. "MST_ACTIVITY,Master FSM Activity Status. When the Master Finite State Machine (FSM) is not in the IDLE state this bit is set. - 0: Master FSM is in IDLE state so the Master part of DW_apb_i2c is not Active - 1: Master FSM is not in IDLE state so the.." "Master is idle,Master not idle" newline bitfld.long 0x0 4. "RFF,Receive FIFO Completely Full. When the receive FIFO is completely full this bit is set. When the receive FIFO contains one or more empty location this bit is cleared. - 0: Receive FIFO is not full - 1: Receive FIFO is full Reset value: 0x0 0x1: Rx.." "Rx FIFO not full,Rx FIFO is full" newline bitfld.long 0x0 3. "RFNE,Receive FIFO Not Empty. This bit is set when the receive FIFO contains one or more entries; it is cleared when the receive FIFO is empty. - 0: Receive FIFO is empty - 1: Receive FIFO is not empty Reset value: 0x0 0x0: Rx FIFO is empty 0x1: Rx FIFO.." "Rx FIFO is empty,Rx FIFO not empty" newline bitfld.long 0x0 2. "TFE,Transmit FIFO Completely Empty. When the transmit FIFO is completely empty this bit is set. When it contains one or more valid entries this bit is cleared. This bit field does not request an interrupt. - 0: Transmit FIFO is not empty - 1: Transmit.." "Tx FIFO not empty,Tx FIFO is empty" newline bitfld.long 0x0 1. "TFNF,Transmit FIFO Not Full. Set when the transmit FIFO contains one or more empty locations and is cleared when the FIFO is full. - 0: Transmit FIFO is full - 1: Transmit FIFO is not full Reset value: 0x1 0x0: Tx FIFO is full 0x1: Tx FIFO not full" "Tx FIFO is full,Tx FIFO not full" newline bitfld.long 0x0 0. "ACTIVITY,I2C Activity Status. Reset value: 0x0 0x1: I2C is active 0x0: I2C is idle" "I2C is idle,I2C is active" line.long 0x4 "IC_TXFLR,I2C Transmit FIFO Level Register This register contains the number of valid data entries in the transmit FIFO buffer. It is cleared whenever: - The I2C is disabled - There is a transmit abort - that is. TX_ABRT bit is set in the IC_RAW_INTR_STAT.." hexmask.long 0x4 5.--31. 1. "RSVD_TXFLR,TXFLR Register field Reserved bits - Read Only" newline hexmask.long.byte 0x4 0.--4. 1. "TXFLR,Transmit FIFO Level. Contains the number of valid data entries in the transmit FIFO. Reset value: 0x0" line.long 0x8 "IC_RXFLR,I2C Receive FIFO Level Register This register contains the number of valid data entries in the receive FIFO buffer. It is cleared whenever: - The I2C is disabled - Whenever there is a transmit abort caused by any of the events tracked in.." hexmask.long 0x8 5.--31. 1. "RSVD_RXFLR,RXFLR Reserved bits - Read Only" newline hexmask.long.byte 0x8 0.--4. 1. "RXFLR,Receive FIFO Level. Contains the number of valid data entries in the receive FIFO. Reset value: 0x0" group.long 0x7C++0x3 line.long 0x0 "IC_SDA_HOLD,I2C SDA Hold Time Length Register The bits [15:0] of this register are used to control the hold time of SDA during transmit in both slave and master mode (after SCL goes from HIGH to LOW). The bits [23:16] of this register are used to extend.." hexmask.long.byte 0x0 24.--31. 1. "RSVD_IC_SDA_HOLD,IC_SDA_HOLD Reserved bits - Read Only" newline hexmask.long.byte 0x0 16.--23. 1. "IC_SDA_RX_HOLD,Sets the required SDA hold time in units of ic_clk period when DW_apb_i2c acts as a receiver. Reset value: IC_DEFAULT_SDA_HOLD[23:16]." newline hexmask.long.word 0x0 0.--15. 1. "IC_SDA_TX_HOLD,Sets the required SDA hold time in units of ic_clk period when DW_apb_i2c acts as a transmitter. Reset value: IC_DEFAULT_SDA_HOLD[15:0]." rgroup.long 0x80++0x3 line.long 0x0 "IC_TX_ABRT_SOURCE,I2C Transmit Abort Source Register This register has 32 bits that indicate the source of the TX_ABRT bit. Except for Bit 9. this register is cleared whenever the IC_CLR_TX_ABRT register or the IC_CLR_INTR register is read. To clear Bit.." hexmask.long.word 0x0 23.--31. 1. "TX_FLUSH_CNT,This field indicates the number of Tx FIFO Data Commands which are flushed due to TX_ABRT interrupt. It is cleared whenever I2C is disabled. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Slave-Transmitter" newline bitfld.long 0x0 21.--22. "RSVD_IC_TX_ABRT_SOURCE,IC_TX_ABRT_SOURCE Reserved bits - Read Only" "0,1,2,3" newline bitfld.long 0x0 18.--20. "RSVD_ABRT_DEVICE_WRITE,ABRT_DEVICE_WRITE Register field Reserved bits - Read Only" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17. "ABRT_SDA_STUCK_AT_LOW,This is a master-mode-only bit. Master detects the SDA Stuck at low for the IC_SDA_STUCK_AT_LOW_TIMEOUT value of ic_clks. Reset value: 0x0 Role of DW_apb_i2c: Master 0x1: This abort is generated because of Sda stuck at low for.." "This abort is not generated,This abort is generated because of Sda stuck at.." newline bitfld.long 0x0 16. "ABRT_USER_ABRT,This is a master-mode-only bit. Master has detected the transfer abort (IC_ENABLE[1]) Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter 0x1: Transfer abort detected by master 0x0: Transfer abort detected by master- scenario not present" "Transfer abort detected by master,Transfer abort detected by master" newline bitfld.long 0x0 15. "ABRT_SLVRD_INTX,1: When the processor side responds to a slave mode request for data to be transmitted to a remote master and user writes a 1 in CMD (bit 8) of IC_DATA_CMD register. Reset value: 0x0 Role of DW_apb_i2c: Slave-Transmitter 0x1: Slave trying.." "Slave trying to transmit to remote master in..,Slave trying to transmit to remote master in.." newline bitfld.long 0x0 14. "ABRT_SLV_ARBLOST,This field indicates that a Slave has lost the bus while transmitting data to a remote master. IC_TX_ABRT_SOURCE[12] is set at the same time. Note: Even though the slave never 'owns' the bus something could go wrong on the bus. This is.." "Slave lost arbitration to remote master,Slave lost arbitration to remote master" newline bitfld.long 0x0 13. "ABRT_SLVFLUSH_TXFIFO,This field specifies that the Slave has received a read command and some data exists in the TX FIFO so the slave issues a TX_ABRT interrupt to flush old data in TX FIFO. Reset value: 0x0 Role of DW_apb_i2c: Slave-Transmitter 0x1:.." "Slave flushes existing data in TX-FIFO upon..,Slave flushes existing data in TX-FIFO upon.." newline bitfld.long 0x0 12. "ARB_LOST,This field specifies that the Master has lost arbitration or if IC_TX_ABRT_SOURCE[14] is also set then the slave transmitter has lost arbitration. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Slave-Transmitter 0x1: Master or.." "Master or Slave-Transmitter lost arbitration,Master or Slave-Transmitter lost arbitration" newline bitfld.long 0x0 11. "ABRT_MASTER_DIS,This field indicates that the User tries to initiate a Master operation with the Master mode disabled. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1: User intitating master operation when MASTER disabled.." "User initiating master operation when MASTER..,User intitating master operation when MASTER.." newline bitfld.long 0x0 10. "ABRT_10B_RD_NORSTRT,This field indicates that the restart is disabled (IC_RESTART_EN bit (IC_CON[5]) =0) and the master sends a read command in 10-bit addressing mode. Reset value: 0x0 Role of DW_apb_i2c: Master-Receiver 0x1: Master trying to read in.." "Master not trying to read in 10Bit addressing..,Master trying to read in 10Bit addressing mode.." newline bitfld.long 0x0 9. "ABRT_SBYTE_NORSTRT,To clear Bit 9 the source of the ABRT_SBYTE_NORSTRT must be fixed first; restart must be enabled (IC_CON[5]=1) the SPECIAL bit must be cleared (IC_TAR[11]) or the GC_OR_START bit must be cleared (IC_TAR[10]). Once the source of the.." "User trying to send START byte when RESTART..,User trying to send START byte when RESTART.." newline bitfld.long 0x0 8. "ABRT_HS_NORSTRT,This field indicates that the restart is disabled (IC_RESTART_EN bit (IC_CON[5]) =0) and the user is trying to use the master to transfer data in High Speed mode. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver.." "User trying to switch Master to HS mode when..,User trying to switch Master to HS mode when.." newline bitfld.long 0x0 7. "ABRT_SBYTE_ACKDET,This field indicates that the Master has sent a START Byte and the START Byte was acknowledged (wrong behavior). Reset value: 0x0 Role of DW_apb_i2c: Master 0x1: ACK detected for START byte 0x0: ACK detected for START byte- scenario not.." "ACK detected for START byte,ACK detected for START byte" newline bitfld.long 0x0 6. "ABRT_HS_ACKDET,This field indicates that the Master is in High Speed mode and the High Speed Master code was acknowledged (wrong behavior). Reset value: 0x0 Role of DW_apb_i2c: Master 0x1: HS Master code ACKed in HS Mode 0x0: HS Master code ACKed in HS.." "HS Master code ACKed in HS Mode,HS Master code ACKed in HS Mode" newline bitfld.long 0x0 5. "ABRT_GCALL_READ,This field indicates that DW_apb_i2c in the master mode has sent a General Call but the user programmed the byte following the General Call to be a read from the bus (IC_DATA_CMD[9] is set to 1). Reset value: 0x0 Role of DW_apb_i2c:.." "GCALL is followed by read from bus-scenario not..,GCALL is followed by read from bus" newline bitfld.long 0x0 4. "ABRT_GCALL_NOACK,This field indicates that DW_apb_i2c in master mode has sent a General Call and no slave on the bus acknowledged the General Call. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter 0x1: GCALL not ACKed by any slave 0x0: GCALL not.." "GCALL not ACKed by any slave-scenario not present,GCALL not ACKed by any slave" newline bitfld.long 0x0 3. "ABRT_TXDATA_NOACK,This field indicates the master-mode only bit. When the master receives an acknowledgement for the address but when it sends data byte(s) following the address it did not receive an acknowledge from the remote slave(s). Reset value:.." "Transmitted data non-ACKed by addressed..,Transmitted data not ACKed by addressed slave" newline bitfld.long 0x0 2. "ABRT_10ADDR2_NOACK,This field indicates that the Master is in 10-bit address mode and that the second address byte of the 10-bit address was not acknowledged by any slave. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1:.." "This abort is not generated,Byte 2 of 10Bit Address not ACKed by any slave" newline bitfld.long 0x0 1. "ABRT_10ADDR1_NOACK,This field indicates that the Master is in 10-bit address mode and the first 10-bit address byte was not acknowledged by any slave. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1: Byte 1 of 10Bit Address.." "This abort is not generated,Byte 1 of 10Bit Address not ACKed by any slave" newline bitfld.long 0x0 0. "ABRT_7B_ADDR_NOACK,This field indicates that the Master is in 7-bit addressing mode and the address sent was not acknowledged by any slave. Reset value: 0x0 Role of DW_apb_i2c: Master-Transmitter or Master-Receiver 0x1: This abort is generated because of.." "This abort is not generated,This abort is generated because of NOACK for.." group.long 0x88++0x13 line.long 0x0 "IC_DMA_CR,DMA Control Register This register is only valid when DW_apb_i2c is configured with a set of DMA Controller interface signals (IC_HAS_DMA = 1). When DW_apb_i2c is not configured for DMA operation. this register does not exist and writing to the.." hexmask.long 0x0 2.--31. 1. "RSVD_IC_DMA_CR_2_31,RSVD_IC_DMA_CR_2_31 Reserved bits - Read Only" newline bitfld.long 0x0 1. "TDMAE,Transmit DMA Enable. This bit enables/disables the transmit FIFO DMA channel. Reset value: 0x0 0x0: transmit FIFO DMA channel disabled 0x1: Transmit FIFO DMA channel enabled" "transmit FIFO DMA channel disabled,Transmit FIFO DMA channel enabled" newline bitfld.long 0x0 0. "RDMAE,Receive DMA Enable. This bit enables/disables the receive FIFO DMA channel. Reset value: 0x0 0x0: Receive FIFO DMA channel disabled 0x1: Receive FIFO DMA channel enabled" "Receive FIFO DMA channel disabled,Receive FIFO DMA channel enabled" line.long 0x4 "IC_DMA_TDLR,DMA Transmit Data Level Register This register is only valid when the DW_apb_i2c is configured with a set of DMA interface signals (IC_HAS_DMA = 1). When DW_apb_i2c is not configured for DMA operation. this register does not exist; writing to.." hexmask.long 0x4 4.--31. 1. "RSVD_DMA_TDLR,DMA_TDLR Reserved bits - Read Only" newline hexmask.long.byte 0x4 0.--3. 1. "DMATDL,Transmit Data Level. This bit field controls the level at which a DMA request is made by the transmit logic. It is equal to the watermark level; that is the dma_tx_req signal is generated when the number of valid data entries in the transmit FIFO.." line.long 0x8 "IC_DMA_RDLR,I2C Receive Data Level Register This register is only valid when DW_apb_i2c is configured with a set of DMA interface signals (IC_HAS_DMA = 1). When DW_apb_i2c is not configured for DMA operation. this register does not exist; writing to its.." hexmask.long 0x8 4.--31. 1. "RSVD_DMA_RDLR,DMA_RDLR Reserved bits - Read Only" newline hexmask.long.byte 0x8 0.--3. 1. "DMARDL,Receive Data Level. This bit field controls the level at which a DMA request is made by the receive logic. The watermark level = DMARDL+1; that is dma_rx_req is generated when the number of valid data entries in the receive FIFO is equal to or.." line.long 0xC "IC_SDA_SETUP,I2C SDA Setup Register This register controls the amount of time delay (in terms of number of ic_clk clock periods) introduced in the rising edge of SCL - relative to SDA changing - when DW_apb_i2c services a read request in a.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVD_IC_SDA_SETUP,IC_SDA_SETUP Reserved bits - Read Only" newline hexmask.long.byte 0xC 0.--7. 1. "SDA_SETUP,SDA Setup. It is recommended that if the required delay is 1000ns then for an ic_clk frequency of 10 MHz IC_SDA_SETUP should be programmed to a value of 11. IC_SDA_SETUP must be programmed with a minimum value of 2. Reset value: 0x64 but can.." line.long 0x10 "IC_ACK_GENERAL_CALL,I2C ACK General Call Register The register controls whether DW_apb_i2c responds with a ACK or NACK when it receives an I2C General Call address. This register is applicable only when the DW_apb_i2c is in slave mode." hexmask.long 0x10 1.--31. 1. "RSVD_IC_ACK_GEN_1_31,RSVD_IC_ACK_GEN_1_31 Reserved bits - Read Only" newline bitfld.long 0x10 0. "ACK_GEN_CALL,ACK General Call. When set to 1 DW_apb_i2c responds with a ACK (by asserting ic_data_oe) when it receives a General Call. Otherwise DW_apb_i2c responds with a NACK (by negating ic_data_oe). Reset value: 0x1 but can be hardcoded by setting.." "Generate NACK for General Call,Generate ACK for a General Call" rgroup.long 0x9C++0x3 line.long 0x0 "IC_ENABLE_STATUS,I2C Enable Status Register The register is used to report the DW_apb_i2c hardware status when the IC_ENABLE[0] register is set from 1 to 0; that is. when DW_apb_i2c is disabled. If IC_ENABLE[0] has been set to 1. bits 2:1 are forced to.." hexmask.long 0x0 3.--31. 1. "RSVD_IC_ENABLE_STATUS,IC_ENABLE_STATUS Reserved bits - Read Only" newline bitfld.long 0x0 2. "SLV_RX_DATA_LOST,Slave Received Data Lost. This bit indicates if a Slave-Receiver operation has been aborted with at least one data byte received from an I2C transfer due to the setting bit 0 of IC_ENABLE from 1 to 0. When read as 1 DW_apb_i2c is deemed.." "Slave RX Data is not lost,Slave RX Data is lost" newline bitfld.long 0x0 1. "SLV_DISABLED_WHILE_BUSY,Slave Disabled While Busy (Transmit Receive). This bit indicates if a potential or active Slave operation has been aborted due to the setting bit 0 of the IC_ENABLE register from 1 to 0. This bit is set when the CPU writes a 0 to.." "Slave is disabled when it is idle,Slave is disabled when it is active" newline bitfld.long 0x0 0. "IC_EN,ic_en Status. This bit always reflects the value driven on the output port ic_en. - When read as 1 DW_apb_i2c is deemed to be in an enabled state. - When read as 0 DW_apb_i2c is deemed completely inactive. Note: The CPU can safely read this bit.." "I2C disabled,I2C enabled" group.long 0xA0++0x3 line.long 0x0 "IC_FS_SPKLEN,I2C SS. FS or FM+ spike suppression limit This register is used to store the duration. measured in ic_clk cycles. of the longest spike that is filtered out by the spike suppression logic w hen the component is operating in SS. FS or FM+.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVD_IC_FS_SPKLEN,IC_FS_SPKLEN Reserved bits - Read Only" newline hexmask.long.byte 0x0 0.--7. 1. "IC_FS_SPKLEN,This register must be set before any I2C bus transaction can take place to ensure stable operation. This register sets the duration measured in ic_clk cycles of the longest spike in the SCL or SDA lines that will be filtered out by the.." rgroup.long 0xA8++0x3 line.long 0x0 "IC_CLR_RESTART_DET,Clear RESTART_DET Interrupt Register" hexmask.long 0x0 1.--31. 1. "RSVD_IC_CLR_RESTART_DET,IC_CLR_RESTART_DET Reserved bits - Read Only" newline bitfld.long 0x0 0. "CLR_RESTART_DET,Read this register to clear the RESTART_DET interrupt (bit 12) of IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0xAC++0x7 line.long 0x0 "IC_SCL_STUCK_AT_LOW_TIMEOUT,I2C SCL Stuck at Low Timeout This register is used to store the duration. measured in ic_clk cycles. used to Generate an Interrupt (SCL_STUCK_AT_LOW) if SCL is held low for the IC_SCL_STUCK_LOW_TIMEOUT duration." hexmask.long 0x0 0.--31. 1. "IC_SCL_STUCK_LOW_TIMEOUT,DW_apb_i2c generate the interrupt to indicate SCL stuck at low (SCL_STUCK_AT_LOW) if it detects the SCL stuck at low for the IC_SCL_STUCK_LOW_TIMEOUT in units of ic_clk period. This register can be written only when the I2C.." line.long 0x4 "IC_SDA_STUCK_AT_LOW_TIMEOUT,I2C SDA Stuck at Low Timeout This register is used to store the duration. measured in ic_clk cycles. used to Recover the Data (SDA) line through sending SCL pulses if SDA is held low for the mentioned duration." hexmask.long 0x4 0.--31. 1. "IC_SDA_STUCK_LOW_TIMEOUT,DW_apb_i2c initiates the recovery of SDA line through enabling the SDA_STUCK_RECOVERY_EN (IC_ENABLE[3]) register bit if it detects the SDA stuck at low for the IC_SDA_STUCK_LOW_TIMEOUT in units of ic_clk period. Reset value:.." rgroup.long 0xB4++0x3 line.long 0x0 "IC_CLR_SCL_STUCK_DET,Clear SCL Stuck at Low Detect Interrupt Register" hexmask.long 0x0 1.--31. 1. "RSVD_CLR_SCL_STUCK_DET,CLR_SCL_STUCK_DET Reserved bits - Read Only" newline bitfld.long 0x0 0. "CLR_SCL_STUCK_DET,Read this register to clear the SCL_STUCT_AT_LOW interrupt (bit 15) of the IC_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0xBC++0xB line.long 0x0 "IC_SMBUS_CLK_LOW_SEXT,SMBus Slave Clock Extend Timeout Register This Register contains the Timeout value used to determine the Slave Clock Extend Timeout in one transfer (from START to STOP). This Register can be written only when the DW_apb_i2c is.." hexmask.long 0x0 0.--31. 1. "SMBUS_CLK_LOW_SEXT_TIMEOUT,This field is used to detect the Slave Clock Extend timeout (tLOW:SEXT) in master mode extended by the slave device in one message from the initial START to the STOP. The values in this register are in units of ic_clk period." line.long 0x4 "IC_SMBUS_CLK_LOW_MEXT,SMBus Master Clock Extend Timeout Register This Register contains the Timeout value used to determine the Master Clock Extend Timeout in one byte of transfer. This Register can be written only when the DW_apb_i2c is disabled. which.." hexmask.long 0x4 0.--31. 1. "SMBUS_CLK_LOW_MEXT_TIMEOUT,This field is used to detect the Master extend SMBus clock (SCLK) timeout defined from START-to-ACK ACK-to-ACK or ACK-to-STOP in Master mode. The values in this register are in units of ic_clk period. Reset value:.." line.long 0x8 "IC_SMBUS_THIGH_MAX_IDLE_COUNT,SMBus Master THigh MAX Bus-idle count Register This register programs the Bus-idle time period used when a master has been dynamically added to the bus or when a master has generated a clock reset on the bus. This register.." hexmask.long.word 0x8 16.--31. 1. "RSVD_SMBUS_THIGH_MAX_BUS_IDLE_CNT,SMBUS_THIGH_MAX_BUS_IDLE_CNT Reserved bits - Read Only" newline hexmask.long.word 0x8 0.--15. 1. "SMBUS_THIGH_MAX_BUS_IDLE_CNT,This field is used to set the required Bus-Idle time period used when a master has been dynamically added to the bus and may not have detected a state transition on the SMBCLK or SMBDAT lines. In this case the master must.." rgroup.long 0xC8++0x3 line.long 0x0 "IC_SMBUS_INTR_STAT,SMBUS Interrupt Status Register Each bit in this register has a corresponding mask bit in the IC_SMBUS_INTR_MASK register. These bits are cleared by writing the matching SMBus interrupt clear register(IC_CLR_SMBUS_INTR) bits. The.." hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_SMBUS_INTR_STAT,IC_SMBUS_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 10. "RSVD_R_SMBUS_ALERT_DET,R_SMBUS_ALERT_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_R_SMBUS_SUSPEND_DET,R_SMBUS_SUSPEND_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "R_SLV_RX_PEC_NACK,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_SLV_RX_PEC_NACK bit. Reset value: 0x0 0x1: SLV_RX_PEC_NACK interrupt is active 0x0: SLV_RX_PEC_NACK interrupt is inactive" "SLV_RX_PEC_NACK interrupt is inactive,SLV_RX_PEC_NACK interrupt is active" newline bitfld.long 0x0 7. "R_ARP_ASSGN_ADDR_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_ASSGN_ADDR_CMD_DET bit. Reset value: 0x0 0x1: ARP_ASSGN_ADDR_CMD_DET interrupt is active 0x0: ARP_ASSGN_ADDR_CMD_DET interrupt is inactive" "ARP_ASSGN_ADDR_CMD_DET interrupt is inactive,ARP_ASSGN_ADDR_CMD_DET interrupt is active" newline bitfld.long 0x0 6. "R_ARP_GET_UDID_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_GET_UDID_CMD_DET bit. Reset value: 0x0 0x1: ARP_GET_UDID_CMD_DET interrupt is active 0x0: ARP_GET_UDID_CMD_DET interrupt is inactive" "ARP_GET_UDID_CMD_DET interrupt is inactive,ARP_GET_UDID_CMD_DET interrupt is active" newline bitfld.long 0x0 5. "R_ARP_RST_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_RST_CMD_DET bit. Reset value: 0x0 0x1: ARP_RST_CMD_DET interrupt is active 0x0: ARP_RST_CMD_DET interrupt is inactive" "ARP_RST_CMD_DET interrupt is inactive,ARP_RST_CMD_DET interrupt is active" newline bitfld.long 0x0 4. "R_ARP_PREPARE_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_ARP_PREPARE_CMD_DET bit. Reset value: 0x0 0x1: ARP_PREPARE_CMD_DET interrupt is active 0x0: ARP_PREPARE_CMD_DET interrupt is inactive" "ARP_PREPARE_CMD_DET interrupt is inactive,ARP_PREPARE_CMD_DET interrupt is active" newline bitfld.long 0x0 3. "R_HOST_NOTIFY_MST_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_HOST_NOTIFY_MST_DET bit. Reset value: 0x0 0x1: HOST_NOTIFY_MST_DET interrupt is active 0x0: HOST_NOTIFY_MST_DET interrupt is inactive" "HOST_NOTIFY_MST_DET interrupt is inactive,HOST_NOTIFY_MST_DET interrupt is active" newline bitfld.long 0x0 2. "R_QUICK_CMD_DET,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_QUICK_CMD_DET bit. Reset value: 0x0 0x1: QUICK_CMD_DET interrupt is active 0x0: QUICK_CMD_DET interrupt is inactive" "QUICK_CMD_DET interrupt is inactive,QUICK_CMD_DET interrupt is active" newline bitfld.long 0x0 1. "R_MST_CLOCK_EXTND_TIMEOUT,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_MST_CLOCK_EXTND_TIMEOUT bit. Reset value: 0x0 0x1: MST_CLOCK_EXTND_TIMEOUT interrupt is active 0x0: MST_CLOCK_EXTND_TIMEOUT interrupt is inactive" "MST_CLOCK_EXTND_TIMEOUT interrupt is inactive,MST_CLOCK_EXTND_TIMEOUT interrupt is active" newline bitfld.long 0x0 0. "R_SLV_CLOCK_EXTND_TIMEOUT,See IC_SMBUS_INTR_RAW_STATUS for a detailed description of R_SLV_CLOCK_EXTND_TIMEOUT bit. Reset value: 0x0 0x1: SLV_CLOCK_EXTND_TIMEOUT interrupt is active 0x0: SLV_CLOCK_EXTND_TIMEOUT interrupt is inactive" "SLV_CLOCK_EXTND_TIMEOUT interrupt is inactive,SLV_CLOCK_EXTND_TIMEOUT interrupt is active" group.long 0xCC++0x3 line.long 0x0 "IC_SMBUS_INTR_MASK,SMBus Interrupt Mask Register" hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_SMBUS_INTR_MASK,IC_SMBUS_INTR_MASK Reserved bits - Read Only" newline rbitfld.long 0x0 9.--10. "RSVD_IC_SMBUS_INTR_MASK_9_10,RSVD_IC_SMBUS_INTR_MASK_9_10 Register field Reserved bits." "0,1,2,3" newline bitfld.long 0x0 8. "M_SLV_RX_PEC_NACK,This bit masks the R_SLV_RX_PEC_NACK interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: SLV_RX_PEC_NACK interrupt is unmasked 0x0: SLV_RX_PEC_NACK interrupt is masked" "SLV_RX_PEC_NACK interrupt is masked,SLV_RX_PEC_NACK interrupt is unmasked" newline bitfld.long 0x0 7. "M_ARP_ASSGN_ADDR_CMD_DET,This bit masks the R_ARP_ASSGN_ADDR_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_ASSGN_ADDR_CMD_DET interrupt is unmasked 0x0: ARP_ASSGN_ADDR_CMD_DET interrupt is masked" "ARP_ASSGN_ADDR_CMD_DET interrupt is masked,ARP_ASSGN_ADDR_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 6. "M_ARP_GET_UDID_CMD_DET,This bit masks the R_ARP_GET_UDID_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_GET_UDID_CMD_DET interrupt is unmasked 0x0: ARP_GET_UDID_CMD_DET interrupt is masked" "ARP_GET_UDID_CMD_DET interrupt is masked,ARP_GET_UDID_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 5. "M_ARP_RST_CMD_DET,This bit masks the R_ARP_RST_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_RST_CMD_DET interrupt is unmasked 0x0: ARP_RST_CMD_DET interrupt is masked" "ARP_RST_CMD_DET interrupt is masked,ARP_RST_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 4. "M_ARP_PREPARE_CMD_DET,This bit masks the R_ARP_PREPARE_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: ARP_PREPARE_CMD_DET interrupt is unmasked 0x0: ARP_PREPARE_CMD_DET interrupt is masked" "ARP_PREPARE_CMD_DET interrupt is masked,ARP_PREPARE_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 3. "M_HOST_NOTIFY_MST_DET,This bit masks the R_HOST_NOTIFY_MST_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: HOST_NOTIFY_MST_DET interrupt is unmasked 0x0: HOST_NOTIFY_MST_DET interrupt is masked" "HOST_NOTIFY_MST_DET interrupt is masked,HOST_NOTIFY_MST_DET interrupt is unmasked" newline bitfld.long 0x0 2. "M_QUICK_CMD_DET,This bit masks the R_QUICK_CMD_DET interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: QUICK_CMD_DET interrupt is unmasked 0x0: QUICK_CMD_DET interrupt is masked" "QUICK_CMD_DET interrupt is masked,QUICK_CMD_DET interrupt is unmasked" newline bitfld.long 0x0 1. "M_MST_CLOCK_EXTND_TIMEOUT,This bit masks the R_MST_CLOCK_EXTND_TIMEOUT interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: MST_CLOCK_EXTND_TIMEOUT interrupt is unmasked 0x0: MST_CLOCK_EXTND_TIMEOUT interrupt is masked" "MST_CLOCK_EXTND_TIMEOUT interrupt is masked,MST_CLOCK_EXTND_TIMEOUT interrupt is unmasked" newline bitfld.long 0x0 0. "M_SLV_CLOCK_EXTND_TIMEOUT,This bit masks the R_SLV_CLOCK_EXTND_TIMEOUT interrupt in IC_SMBUS_INTR_STAT register. Reset value: 0x1 0x1: SLV_CLOCK_EXTND_TIMEOUT interrupt is unmasked 0x0: SLV_CLOCK_EXTND_TIMEOUT interrupt is masked" "SLV_CLOCK_EXTND_TIMEOUT interrupt is masked,SLV_CLOCK_EXTND_TIMEOUT interrupt is unmasked" rgroup.long 0xD0++0x3 line.long 0x0 "IC_SMBUS_RAW_INTR_STAT,SMBus Raw Interrupt Status Register Unlike the IC_SMBUS_INTR_STAT register. these bits are not masked so they always show the true status of the DW_apb_i2c." hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_SMBUS_RAW_INTR_STAT,IC_SMBUS_RAW_INTR_STAT Reserved bits - Read Only" newline bitfld.long 0x0 10. "RSVD_SMBUS_ALERT_DET,SMBUS_ALERT_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_SMBUS_SUSPEND_DET,SMBUS_SUSPEND_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "SLV_RX_PEC_NACK,Indicates whether a NACK has been sent due to PEC mismatch while working as ARP slave. Reset value: 0x0 0x1: SLV_RX_PEC_NACK interrupt is active 0x0: SLV_RX_PEC_NACK interrupt is inactive" "SLV_RX_PEC_NACK interrupt is inactive,SLV_RX_PEC_NACK interrupt is active" newline bitfld.long 0x0 7. "ARP_ASSGN_ADDR_CMD_DET,Indicates whether an Assign Address ARP command has been received. Reset value: 0x0 0x1: ARP_ASSGN_ADDR_CMD_DET interrupt is active 0x0: ARP_ASSGN_ADDR_CMD_DET interrupt is inactive" "ARP_ASSGN_ADDR_CMD_DET interrupt is inactive,ARP_ASSGN_ADDR_CMD_DET interrupt is active" newline bitfld.long 0x0 6. "ARP_GET_UDID_CMD_DET,Indicates whether a Get UDID ARP command has been received. Reset value: 0x0 0x1: ARP_GET_UDID_CMD_DET interrupt is active 0x0: ARP_GET_UDID_CMD_DET interrupt is inactive" "ARP_GET_UDID_CMD_DET interrupt is inactive,ARP_GET_UDID_CMD_DET interrupt is active" newline bitfld.long 0x0 5. "ARP_RST_CMD_DET,Indicates whether a General or Directed Reset ARP command has been received. Reset value: 0x0 0x1: ARP_RST_CMD_DET interrupt is active 0x0: ARP_RST_CMD_DET interrupt is inactive" "ARP_RST_CMD_DET interrupt is inactive,ARP_RST_CMD_DET interrupt is active" newline bitfld.long 0x0 4. "ARP_PREPARE_CMD_DET,Indicates whether a prepare to ARP command has been received. Reset value: 0x0 0x1: ARP_PREPARE_CMD_DET interrupt is active 0x0: ARP_PREPARE_CMD_DET interrupt is inactive" "ARP_PREPARE_CMD_DET interrupt is inactive,ARP_PREPARE_CMD_DET interrupt is active" newline bitfld.long 0x0 3. "HOST_NTFY_MST_DET,Indicates whether a Notify ARP Master ARP command has been received. Reset value: 0x0 0x1: HOST_NTFY_MST_DET interrupt is active 0x0: HOST_NTFY_MST_DET interrupt is inactive" "HOST_NTFY_MST_DET interrupt is inactive,HOST_NTFY_MST_DET interrupt is active" newline bitfld.long 0x0 2. "QUICK_CMD_DET,Indicates whether a Quick command has been received on the SMBus interface regardless of whether DW_apb_i2c is operating in slave or master mode. Enabled only when IC_SMBUS=1 is set to 1. Reset value: 0x0 0x1: Quick Command interrupt is.." "Quick Command interrupt is inactive,Quick Command interrupt is active" newline bitfld.long 0x0 1. "MST_CLOCK_EXTND_TIMEOUT,Indicates whether the Master device transaction (START-to-ACK ACK-to-ACK or ACK-to-STOP) from START to STOP exceeds IC_SMBUS_CLOCK_LOW_MEXT time with in each byte of message. This bit is enabled only when: - IC_SMBUS=1 -.." "Master Clock Extend Timeout interrupt is inactive,Master Clock Extend Timeout interrupt is active" newline bitfld.long 0x0 0. "SLV_CLOCK_EXTND_TIMEOUT,Indicates whether the transaction from Slave (i.e from START to STOP) exceeds IC_SMBUS_CLK_LOW_SEXT time. This bit is enabled only when: - IC_SMBUS=1 - IC_CON[0]=1 Reset value: 0x0 0x1: Slave Clock Extend Timeout interrupt is.." "Slave Clock Extend Timeout interrupt is inactive,Slave Clock Extend Timeout interrupt is active" wgroup.long 0xD4++0x3 line.long 0x0 "IC_CLR_SMBUS_INTR,SMBus Clear Interrupt Register" hexmask.long.tbyte 0x0 11.--31. 1. "RSVD_IC_CLR_SMBUS_INTR,IC_CLR_SMBUS_INTR Reserved bits - Read Only" newline bitfld.long 0x0 10. "RSVD_CLR_SMBUS_ALERT_DET,CLR_SMBUS_ALERT_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 9. "RSVD_CLR_SMBUS_SUSPEND_DET,CLR_SMBUS_SUSPEND_DET Register field Reserved bits - Read Only" "0,1" newline bitfld.long 0x0 8. "CLR_SLV_RX_PEC_NACK,Write this register bit to clear the SLV_RX_PEC_NACK interrupt (bit 8) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 7. "CLR_ARP_ASSGN_ADDR_CMD_DET,Write this register bit to clear the ARP_ASSGN_ADDR_CMD_DET interrupt (bit 7) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 6. "CLR_ARP_GET_UDID_CMD_DET,Write this register bit to clear the ARP_GET_UDID_CMD_DET interrupt (bit 6) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 5. "CLR_ARP_RST_CMD_DET,Write this register bit to clear the ARP_RST_CMD_DET interrupt (bit 5) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 4. "CLR_ARP_PREPARE_CMD_DET,Write this register bit to clear the ARP_PREPARE_CMD_DET interrupt (bit 4) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 3. "CLR_HOST_NOTIFY_MST_DET,Write this register bit to clear the HOST_NOTIFY_MST_DET interrupt (bit 3) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 2. "CLR_QUICK_CMD_DET,Write this register bit to clear the QUICK_CMD_DET interrupt (bit 2) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 1. "CLR_MST_CLOCK_EXTND_TIMEOUT,Write this register bit to clear the MST_CLOCK_EXTND_TIMEOUT interrupt (bit 1) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" newline bitfld.long 0x0 0. "CLR_SLV_CLOCK_EXTND_TIMEOUT,Write this register bit to clear the SLV_CLOCK_EXTND_TIMEOUT interrupt (bit 0) of the IC_SMBUS_RAW_INTR_STAT register. Reset value: 0x0" "0,1" group.long 0xDC++0x3 line.long 0x0 "IC_SMBUS_UDID_LSB,SMBUS ARP UDID LSB Register This Register can be written only when the DW_apb_i2c is disabled. which corresponds to IC_ENABLE[0] being set to 0. This register is present only if configuration parameter IC_SMBUS_ARP is set to 1. This.." hexmask.long 0x0 0.--31. 1. "SMBUS_UDID_LSB,This field is used to store the LSB 32 bit value of slave unique device identifier used in Address Resolution Protocol. Reset Value: IC_SMBUS_UDID_LSB_DEFAULT" rgroup.long 0xF4++0xB line.long 0x0 "IC_COMP_PARAM_1,Component Parameter Register 1 Note This is a constant read-only register that contains encoded information about the component's parameter settings. The reset value depends on coreConsultant parameter(s)." hexmask.long.byte 0x0 24.--31. 1. "RSVD_IC_COMP_PARAM_1,IC_COMP_PARAM_1 Reserved bits - Read Only" newline hexmask.long.byte 0x0 16.--23. 1. "TX_BUFFER_DEPTH,The value of this register is derived from the IC_TX_BUFFER_DEPTH coreConsultant parameter. - 0x00 = Reserved - 0x01 = 2 - 0x02 = 3 - ... - 0xFF = 256" newline hexmask.long.byte 0x0 8.--15. 1. "RX_BUFFER_DEPTH,The value of this register is derived from the IC_RX_BUFFER_DEPTH coreConsultant parameter. - 0x00: Reserved - 0x01: 2 - 0x02: 3 - ... - 0xFF: 256" newline bitfld.long 0x0 7. "ADD_ENCODED_PARAMS,The value of this register is derived from the IC_ADD_ENCODED_PARAMS coreConsultant parameter. Reading 1 in this bit means that the capability of reading these encoded parameters via software has been included. Otherwise the entire.." "Disables capability of reading encoded parameters,Enables capability of reading encoded parameters" newline bitfld.long 0x0 6. "HAS_DMA,The value of this register is derived from the IC_HAS_DMA coreConsultant parameter. 0x0: DMA handshaking signals are disabled 0x1: DMA handshaking signals are enabled" "DMA handshaking signals are disabled,DMA handshaking signals are enabled" newline bitfld.long 0x0 5. "INTR_IO,The value of this register is derived from the IC_INTR_IO coreConsultant parameter. 0x1: COMBINED Interrupt outputs 0x0: INDIVIDUAL Interrupt outputs" "INDIVIDUAL Interrupt outputs,COMBINED Interrupt outputs" newline bitfld.long 0x0 4. "HC_COUNT_VALUES,The value of this register is derived from the IC_HC_COUNT VALUES coreConsultant parameter. 0x0: Programmable count values for each mode. 0x1: Hard code the count values for each mode." "Programmable count values for each mode,Hard code the count values for each mode" newline bitfld.long 0x0 2.--3. "MAX_SPEED_MODE,The value of this register is derived from the IC_MAX_SPEED_MODE coreConsultant parameter. - 0x0: Reserved - 0x1: Standard - 0x2: Fast - 0x3: High 0x2: IC MAX SPEED is FAST MODE 0x3: IC MAX SPEED is HIGH MODE 0x1: IC MAX SPEED is STANDARD.." "Reserved,IC MAX SPEED is STANDARD MODE,IC MAX SPEED is FAST MODE,IC MAX SPEED is HIGH MODE" newline bitfld.long 0x0 0.--1. "APB_DATA_WIDTH,The value of this register is derived from the APB_DATA_WIDTH coreConsultant parameter. 0x0: APB data bus width is 08 bits 0x1: APB data bus width is 16 bits 0x2: APB data bus width is 32 bits 0x3: Reserved bits" "APB data bus width is 08 bits,APB data bus width is 16 bits,APB data bus width is 32 bits,Reserved bits" line.long 0x4 "IC_COMP_VERSION,I2C Component Version Register" hexmask.long 0x4 0.--31. 1. "IC_COMP_VERSION,Specific values for this register are described in the Releases Table in the DW_apb_i2c Release Notes" line.long 0x8 "IC_COMP_TYPE,I2C Component Type Register" hexmask.long 0x8 0.--31. 1. "IC_COMP_TYPE,Designware Component Type number = 0x44_57_01_40. This assigned unique hex value is constant and is derived from the two ASCII letters 'DW' followed by a 16-bit unsigned number." tree.end repeat.end endif tree.end tree "I2S (Inter-Integrated Circuit Sound)" sif (CORENAME()=="CORTEXR5F") repeat 2. (increment 1. 1.) (list ad:0xF05C0000 ad:0xF05D0000) tree "I2S_MC$1" base $2 group.long 0x0++0x27 line.long 0x0 "I2S_CTRL,'I2S Control Register'" rbitfld.long 0x0 29.--31. "RESERVED0,'Not implemented. Return '0' when read.'" "0,1,2,3,4,5,6,7" bitfld.long 0x0 28. "RSYNC_LOOP_BACK,'Loop-back configuration bit for receiver synchronization unit. When 0 (normal mode) the scki and wsi inputs of the I2S module (configured to be receiver synchronization unit) are connected to the external inputs rclki and rwsi. When 1.." "0,1" bitfld.long 0x0 27. "TSYNC_LOOP_BACK,'Loop-back configuration bit for transmitter synchronization unit. When 0 (normal mode) the scki and wsi inputs of the I2S module (configured to be transmitter synchronization unit) are connected to the external inputs tclki and twsi." "0,1" bitfld.long 0x0 26. "RSYNC_RST,'Reset for receiver synchronizing unit. Active LOW. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 25. "TSYNC_RST,'Reset for transmitter synchronizing unit. Active LOW. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 24. "RFIFO_RST,'Receive FIFO reset. When '0' receive FIFO pointers are reset to zero. Threshold level for this FIFO is unchanged. This bit is automatically set to '1' after one clock cycle. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 23. "TFIFO_RST,'Transmit FIFO reset. When '0' transmit FIFO pointers are reset to zero. Threshold level for this FIFO is unchanged. This bit is automatically set to '1' after one clock cycle. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 22. "R_MS,'Master (value '1') or slave (value '0') configuration bit for unit synchronizing all receivers with I2S bus. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 21. "T_MS,'Master (value '1') or slave (value '0') configuration bit for unit synchronizing all transmitters with I2S bus. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 20. "SFR_RST,'SFR block synchronous reset. When '0' all bits in SFR registers are reset to default values. This bit is automatically set to '1' after one clock cycle. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 19. "LOOP_BACK_6_7,'Loop back test configuration bit. When '1' it configures channels 6 and 7 into the loop-back mode. In this mode channels 6 and 7 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 18. "LOOP_BACK_4_5,'Loop back test configuration bit. When '1' it configures channels 4 and 5 into the loop-back mode. In this mode channels 4 and 5 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 17. "LOOP_BACK_2_3,'Loop back test configuration bit. When '1' it configures channels 2 and 3 into the loop-back mode. In this mode channels 2 and 3 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 16. "LOOP_BACK_0_1,'Loop back test configuration bit. When '1' it configures channels 0 and 1 into the loop-back mode. In this mode channels 0 and 1 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 15. "TR_CFG_7,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 7. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 14. "TR_CFG_6,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 6. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 13. "TR_CFG_5,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 5. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 12. "TR_CFG_4,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 4. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 11. "TR_CFG_3,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 3. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 10. "TR_CFG_2,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 2. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 9. "TR_CFG_1,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 1. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 8. "TR_CFG_0,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 0. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 7. "I2S_EN_7,'Enable bit for I2S channel 7. Value '0' causes reset signal for this channel (i2s_rst_7) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 6. "I2S_EN_6,'Enable bit for I2S channel 6. Value '0' causes reset signal for this channel (i2s_rst_6) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 5. "I2S_EN_5,'Enable bit for I2S channel 5. Value '0' causes reset signal for this channel (i2s_rst_5) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 4. "I2S_EN_4,'Enable bit for I2S channel 4. Value '0' causes reset signal for this channel (i2s_rst_4) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 3. "I2S_EN_3,'Enable bit for I2S channel 3. Value '0' causes reset signal for this channel (i2s_rst_3) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 2. "I2S_EN_2,'Enable bit for I2S channel 2. Value '0' causes reset signal for this channel (i2s_rst_2) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 1. "I2S_EN_1,'Enable bit for I2S channel 1. Value '0' causes reset signal for this channel (i2s_rst_1) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 0. "I2S_EN_0,'Enable bit for I2S channel 0. Value '0' causes reset signal for this channel (i2s_rst_0) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" line.long 0x4 "I2S_INTR_STAT,'I2S Interrupt Status Register'" hexmask.long.word 0x4 16.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" bitfld.long 0x4 15. "RFIFO_AFULL,'Receive FIFO almost full flag active HIGH. This flag is set to HIGH when RX FIFO becomes almost full (rising edge of 'almost full' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 14. "RFIFO_FULL,'Receive FIFO full flag active HIGH. This flag is set to HIGH when RX FIFO is full. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 13. "RFIFO_AEMPTY,'Receive FIFO almost empty flag active HIGH. This flag is set to HIGH when RX FIFO becomes almost empty (rising edge of 'almost empty' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 12. "RFIFO_EMPTY,'Receive FIFO empty flag active HIGH. This flag is set to HIGH when RX FIFO is empty. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" newline bitfld.long 0x4 11. "TFIFO_AFULL,'Transmit FIFO almost full flag active HIGH. This flag is set to HIGH when TX FIFO becomes almost full (rising edge of 'almost full' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 10. "TFIFO_FULL,'Transmit FIFO full flag active HIGH. This flag is set to HIGH when TX FIFO is full. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 9. "TFIFO_AEMPTY,'Transmit FIFO almost empty flag active HIGH. This flag is set to HIGH when TX FIFO becomes almost empty (rising edge of 'almost empty' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 8. "TFIFO_EMPTY,'Transmit FIFO empty flag active HIGH. This flag is set to HIGH when TX FIFO is empty. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" rbitfld.long 0x4 5.--7. "OVRERR_CODE,'Code of the receiver that caused overrun error. Updated on the rising edge of the clock. These bits are cleared when bit rdata_ovrerr is cleared. The code is a binary notation of the channel's number.'" "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 4. "RDATA_OVRERR,'Receiver data overrun error active HIGH. This flag is set to HIGH when RX overrun arises (rising edge of 'overrun' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt. Writing a LOW value to this bit.." "0,1" rbitfld.long 0x4 1.--3. "UNDERR_CODE,'Code of the transmitter that caused underrun event. Updated on the rising edge of the clock. These bits are cleared when bit tdata_underr is cleared. The code is a binary notation of the channel's number.'" "0,1,2,3,4,5,6,7" bitfld.long 0x4 0. "TDATA_UNDERR,'Transmitter data underrun event active HIGH. This flag is set to HIGH when TX underrun arises (rising edge of 'underrun' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" line.long 0x8 "I2S_SRR,'I2S Channels Sample Rate and Resolution Configuration Register'" hexmask.long.byte 0x8 27.--31. 1. "RRESOLUTION,'Receiver resolution (0 to 31). Sampled on the rising edge of the clock. It simply should be assigned the value equal to the number of valid bits minus one.'" hexmask.long.byte 0x8 23.--26. 1. "RESERVED1,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x8 16.--22. 1. "RSAMPLE_RATE,'Receiver sample rate. Sampled on the rising edge of the clock.'" hexmask.long.byte 0x8 11.--15. 1. "TRESOLUTION,'Transmitter resolution (0 to 31). Sampled on the rising edge of the clock. It simply should be assigned the value equal to the number of valid bits minus one.'" hexmask.long.byte 0x8 7.--10. 1. "RESERVED0,'Not implemented. Return '0' when read.'" newline hexmask.long.byte 0x8 0.--6. 1. "TSAMPLE_RATE,'Transmitter sample rate. Sampled on the rising edge of the clock.'" line.long 0xC "CID_CTRL,'Clock. Interrupt and DMA Control Register'" bitfld.long 0xC 31. "RFIFO_AFULL_MASK,'Bit masking interrupt request generation after receive FIFO becomes almost full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 30. "RFIFO_FULL_MASK,'Bit masking interrupt request generation after receive FIFO becomes full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 29. "RFIFO_AEMPTY_MASK,'Bit masking interrupt request generation after receive FIFO becomes almost empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 28. "RFIFO_EMPTY_MASK,'Bit masking interrupt request generation after receive FIFO becomes empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 27. "TFIFO_AFULL_MASK,'Bit masking interrupt request generation after transmit FIFO becomes almost full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 26. "TFIFO_FULL_MASK,'Bit masking interrupt request generation after transmit FIFO becomes full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 25. "TFIFO_AEMPTY_MASK,'Bit masking interrupt request generation after transmit FIFO becomes almost empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 24. "TFIFO_EMPTY_MASK,'Bit masking interrupt request generation after transmit FIFO becomes empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 23. "I2S_MASK_7,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 7. When LOW masks generation of interrupt request caused by the channel 7. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 22. "I2S_MASK_6,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 6. When LOW masks generation of interrupt request caused by the channel 6. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 21. "I2S_MASK_5,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 5. When LOW masks generation of interrupt request caused by the channel 5. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 20. "I2S_MASK_4,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 4. When LOW masks generation of interrupt request caused by the channel 4. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 19. "I2S_MASK_3,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 3. When LOW masks generation of interrupt request caused by the channel 3. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 18. "I2S_MASK_2,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 2. When LOW masks generation of interrupt request caused by the channel 2. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 17. "I2S_MASK_1,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 1. When LOW masks generation of interrupt request caused by the channel 1. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 16. "I2S_MASK_0,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 0. When LOW masks generation of interrupt request caused by the channel 0. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 15. "INTREQ_MASK,'Bit masking all interrupt requests. When '0' all interrupts are masked when '1' interrupts use individual masks. Sampled on the rising edge of the clock.'" "0,1" hexmask.long.byte 0xC 10.--14. 1. "RESERVED0,'Not implemented. Return '0' when read.'" bitfld.long 0xC 9. "STROBE_RS,'Clock enable for the unit synchronizing receivers. When high the clk_rs clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 8. "STROBE_TS,'Clock enable for the unit synchronizing transmitters. When high the clk_ts clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 7. "I2S_STROBE_7,'Clock enable channel 7. When high the clk_7 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 6. "I2S_STROBE_6,'Clock enable channel 6. When high the clk_6 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 5. "I2S_STROBE_5,'Clock enable channel 5. When high the clk_5 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 4. "I2S_STROBE_4,'Clock enable channel 4. When high the clk_4 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 3. "I2S_STROBE_3,'Clock enable channel 3. When high the clk_3 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 2. "I2S_STROBE_2,'Clock enable channel 2. When high the clk_2 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 1. "I2S_STROBE_1,'Clock enable channel 1. When high the clk_1 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 0. "I2S_STROBE_0,'Clock enable channel 0. When high the clk_0 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" line.long 0x10 "TFIFO_STAT,'Transmit FIFO Status Register'" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.word 0x10 0.--9. 1. "TLEVEL,'Indicates transmit FIFO level. Updated on the rising edge of the clock.'" line.long 0x14 "RFIFO_STAT,'Receive FIFO Status Register'" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.word 0x14 0.--9. 1. "RLEVEL,'Indicates receive FIFO level. Updated on the rising edge of the clock.'" line.long 0x18 "TFIFO_CTRL,'Transmit FIFO Control Register'" hexmask.long.word 0x18 20.--31. 1. "RESERVED1,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x18 16.--19. 1. "TAFULL_THRESHOLD,'Determines threshold for almost full flag in the transmit FIFO. Sampled on the rising edge of the clock.'" hexmask.long.word 0x18 4.--15. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x18 0.--3. 1. "TAEMPTY_THRESHOLD,'Determines threshold for almost empty flag in the transmit FIFO. Sampled on the rising edge of the clock.'" line.long 0x1C "RFIFO_CTRL,'Receive FIFO Control Register'" hexmask.long.word 0x1C 20.--31. 1. "RESERVED1,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x1C 16.--19. 1. "RAFULL_THRESHOLD,'Determines threshold for almost full flag in the receive FIFO. Sampled on the rising edge of the clock.'" hexmask.long.word 0x1C 4.--15. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x1C 0.--3. 1. "RAEMPTY_THRESHOLD,'Determines threshold for almost empty flag in the receive FIFO. Sampled on the rising edge of the clock.'" line.long 0x20 "DEV_CONF,'Device Configuration Register'" hexmask.long.tbyte 0x20 12.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" bitfld.long 0x20 11. "REC_WS_DSP_MODE,'The word select signal format change indicator according to the DSP audio interface specification for the receiver units. HIGH value of this bit determines generation of the word select signal format specific to the DSP audio interface.." "0,1" bitfld.long 0x20 10. "REC_DATA_WS_DEL,'The received valid data delay indicator at the I2S serial data input line after the word select signal edge. When HIGH the received serial data are updated on the second rising/falling edge of the clock signal after the word select.." "0,1" bitfld.long 0x20 9. "REC_I2S_ALIGN_LR,'Alignment of the received digital data sample at the I2S serial data line. When HIGH it determines the MSB adjustment of the resolution-width received digital data sample at the I2S serial data input line. If the received data sample.." "0,1" bitfld.long 0x20 8. "REC_APB_ALIGN_LR,'Alignment of the received digital data sample at the APB bus. HIGH value of this bit determines the MSB side alignment of the resolution-width received data sample at the APB data bus. LOW value of this bit determines the LSB side.." "0,1" newline bitfld.long 0x20 7. "REC_WS_POLAR,'The word select signal polarity selection for reception. When HIGH the level of the word select signal for the received left channel data sample is '1' and the level of this signal for the received right channel data sample is '0'. When.." "0,1" bitfld.long 0x20 6. "REC_SCK_POLAR,'The continuous serial clock active edge for reception. When HIGH the received serial data are sampled on the falling edge of the bit clock. When LOW the received serial data are sampled on the rising edge of the bit clock. Default value.." "0,1" bitfld.long 0x20 5. "TRAN_WS_DSP_MODE,'The word select signal format change indicator according to the DSP audio interface specification for the transmitter units. HIGH value of this bit determines generation of the word select signal format specific to the DSP audio.." "0,1" bitfld.long 0x20 4. "TRAN_DATA_WS_DEL,'The transmitted valid data delay indicator at the I2S serial data output line after the word select signal edge. When HIGH the serial data for transmission are updated on the first rising/falling edge of the clock signal after the word.." "0,1" bitfld.long 0x20 3. "TRAN_I2S_ALIGN_LR,'Alignment of the transmitted digital data sample at the I2S serial data line. When HIGH it determines the MSB adjustment of the resolution-width transmitted digital data sample at the I2S serial data output line. If the transmitted.." "0,1" newline bitfld.long 0x20 2. "TRAN_APB_ALIGN_LR,'Alignment of the transmitted digital data sample at the APB bus. HIGH value of this bit determines the MSB side alignment of the resolution-width transmitted data sample at the APB data bus. LOW value of this bit determines the LSB.." "0,1" bitfld.long 0x20 1. "TRAN_WS_POLAR,'The word select signal polarity selection for transmission. When HIGH the level of the word select signal for the transmitted left channel data sample is '1' and the level of this signal for the transmitted right channel data sample is.." "0,1" bitfld.long 0x20 0. "TRAN_SCK_POLAR,'The continuous serial clock active edge for transmission. When HIGH the serial data for transmission are updated on the falling edge of the bit clock. When LOW the serial data for transmission are updated on the rising edge of the bit.." "0,1" line.long 0x24 "I2S_POLL_STAT,'The I2S status register for controlling the IP by polling is read only special function register. All used bits reflect current status of FIFO flags. data overrun and data underrun.'" hexmask.long 0x24 7.--31. 1. "RESERVED1,'Not implemented. Return '0' when read.'" bitfld.long 0x24 6. "RX_OVERRUN,'Receiver data overrun: 1 - RX data overrun has occurred 0 - No RX data overrun Updated on the rising edge of the clock. '" "0,1" bitfld.long 0x24 5. "RX_AFULL,'Receive FIFO almost full flag: 1 - RX FIFO is almost full 0 - RX FIFO is not almost full Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 4. "RX_FULL,'Receive FIFO full flag: 1 - RX FIFO is full 0 - RX FIFO is not full Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 3. "RESERVED0,'Not implemented. Return '0' when read.'" "0,1" newline bitfld.long 0x24 2. "TX_UNDERRUN,'Transmitter data underrun: 1 - TX data underrun has occurred 0 - No TX data underrun Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 1. "TX_AEMPTY,'Transmit FIFO almost empty flag: 1 - TX FIFO is almost empty 0 - TX FIFO is not almost empty Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 0. "TX_EMPTY,'Transmit FIFO empty flag: 1 - TX FIFO is empty 0 - TX FIFO is not empty Updated on the rising edge of the clock.'" "0,1" tree.end repeat.end repeat 8. (increment 1. 1.) (list ad:0xF0300000 ad:0xF0310000 ad:0xF0600000 ad:0xF0610000 ad:0xF0620000 ad:0xF0630000 ad:0xF0640000 ad:0xF0650000) tree "I2S_SC$1" base $2 group.long 0x0++0x17 line.long 0x0 "I2S_CTRL,'I2S control register. After end of reset bit sfr_rst triggers to 1 and bit fifo_rst triggers to 1. Therefore register value after end of reset is 000001B8'" bitfld.long 0x0 31. "LR_PACK,Left/Right packing mode enable When HIGH the Left/Right packing mode is enabled and then the left audio channel sample data is created from less significant bits of FIFO data..Respectively the right audio channel sample data is created from.." "0,1" bitfld.long 0x0 30. "FIFO_AFULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost full. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x0 29. "FIFO_FULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes full. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x0 28. "FIFO_AEMPTY_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost empty. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x0 27. "FIFO_EMPTY_MASK,Bit masking interrupt request generation after FIFO becomes empty. When LOW masks generation of interrupt request.When full-duplex mode is active this bit is a mask only for transmitter FIFO empty condition. Sampled on the rising edge.." "0,1" newline bitfld.long 0x0 26. "I2S_MASK,Bit masking interrupt request generation after underrun/overrun condition occurrence in I2S-SC transceiver. When LOW masks generation of interrupt request.When full-duplex mode is active this bit is a mask only for underrun event interrupt." "0,1" bitfld.long 0x0 25. "INTREQ_MASK,Bit masking all interrupt requests. When LOW all interrupts are masked when HIGH interrupts use individual masks. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 24. "I2S_STB,Transceiver clock enable. When LOW the clk_i2s clock is enabled else clock is disabled. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 23. "HOST_DATA_ALIGN,Audio data sample alignment at host data bus. When LOW the audio sample data is aligned to the LSB at the host data bus. When HIGH the audio sample data is aligned to the MSB at host data bus. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 22. "DATA_ORDER,Audio data sample arrangement in audio data slot. When LOW audio sample arrangement in audio data slot is MSB-first. When HIGH audio sample arrangement in audio data slot is LSB-first. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x0 21. "DATA_ALIGN,Audio sample alignment in audio data time slot. When LOW the audio sample bits are left justified in audio data time slot. When HIGH the audio sample bits are right justified in audio data time slot. Sampled on the rising edge of the clock." "0,1" hexmask.long.byte 0x0 16.--20. 1. "DATA_WS_DEL,Audio data delay after WS signal edge at serial line. TDM mode is not active: Value from 0...(CHN_WIDTH-1) range specified that first audio data bit is updated/received at the first second...last edge of the SCK signal after the WS signal.." bitfld.long 0x0 15. "WS_POLAR,The word select signal polarity selection. I2S Word Select (WS) mode is active: When HIGH the level of the word select signal for the left channel data sample is '1' and the level of this signal for the right channel data sample is '0'. When.." "0,1" bitfld.long 0x0 14. "SCK_POLAR,The continuous serial clock active edge. When HIGH the serial data are updated on the falling edge of the bit clock.When LOW serial data are updated on the rising edge of the bit clock. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 13. "AUDIO_MODE,Mono/stereo audio mode selection. Active only when TDM mode is inactive and I2S-SC works at standard stereo audio interface mode. When LOW both left and right channel audio samples are transmitted/received for transmitter/receiver mode.." "0,1" newline bitfld.long 0x0 12. "MONO_MODE,Active audio channel in mono mode. When LOW left audio channel is active. When HIGH right audio channel is active. Sampled on the rising edge of the clock." "0,1" hexmask.long.byte 0x0 8.--11. 1. "WS_MODE,Word select signal (WS) format. TDM mode is not active: Only bit 8 is relevant. If it is set to LOW (even value of ws_mode) WS signal have DSP audio interface specification format. If it is set to HIGH (odd value of ws_mode) WS signal have.." bitfld.long 0x0 5.--7. "CHN_WIDTH,Audio channel time slot width in I2S master or DSP/TDM master and slave modes. 0 - 8 SCK cycles per audio channel 1 - 12 SCK cycles per audio channel 2 - 16 SCK cycles per audio channel 3 - 18 SCK cycles per audio channel 4 - 20 SCK cycles per.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 4. "FIFO_RST,Full-duplex mode receiver FIFO reset. Active only in full-duplex mode. When '0' RFIFO pointer is reset to zero. Threshold levels for RFIFO are unchanged. The bit is automatically set to '1' after one clock cycle." "0,1" bitfld.long 0x0 3. "SFR_RST,SFR block synchronous reset. When LOW all bits in SFR registers are reset to default values. This bit is automatically set to HIGH after one clock cycle. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x0 2. "MS_CFG,Configuration bit for transceiver synchronizing unit: 1 - Master 0 - Slave Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 1. "DIR_CFG,Hal-duplex direction of transmission: 1 - Transmitter 0 - Receiver Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 0. "I2S_EN,Enable bit for I2S transceiver 1 - enables transceiver 0 - disables transceiver causes reset signal configuration SFR bits are unchanged Sampled on the rising edge of the clock." "0,1" line.long 0x4 "I2S_CTRL_FDX,'I2S Full-Duplex Mode Control register. After end of reset bit fifo_rst triggers to 1. Therefore register value after end of reset is 00000010'" bitfld.long 0x4 30. "RFIFO_AFULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost full.When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 29. "RFIFO_FULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes full. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 28. "RFIFO_AEMPTY_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost empty.When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 27. "RFIFO_EMPTY_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes empty. When LOW masks generation of interrupt request." "0,1" newline bitfld.long 0x4 26. "RI2S_MASK,Bit masking interrupt request generation after overrun condition occurrence in I2S-SC full-duplex mode receiver. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 4. "FIFO_RST,Full-duplex mode receiver FIFO reset. Active only in full-duplex mode. When '0' RFIFO pointer is reset to zero. Threshold levels for RFIFO are unchanged. The bit is automatically set to '1' after one clock cycle." "0,1" bitfld.long 0x4 2. "I2S_FRX_EN,Full-duplex mode receiver enable When full_duplex mode enable bit is active the bit controls receiving data through sdi serial data input.Otherwise the bit setup is not applicable." "0,1" newline bitfld.long 0x4 1. "I2S_FTX_EN,Full-duplex mode transmitter enable When full_duplex mode enable bit is active the bit controls transmitting data through sdo serial data output. Otherwise the bit setup is not applicable." "0,1" bitfld.long 0x4 0. "FULL_DUPLEX,Full-duplex mode enable When HIGH transmitter and receiver data units enable are controlled dynamically by the i2s_ftx_en (I2S_CTRL_FDX[1]) and i2s_frx_en (I2S_CTRL_FDX[2]) bits. Modifiable only when I2S transceiver is disabled (i2s_en bit of.." "0,1" line.long 0x8 "I2S_SRES,'Transceiver Sample Resolution'" hexmask.long.byte 0x8 0.--4. 1. "RESOLUTION,Samples resolution. When full-duplex mode is active this value specifies resolution only for transmitted samples. Sampled on the rising edge of the clock. It simply should be assigned the value equal to the number of valid bits minus one for.." line.long 0xC "I2S_SRES_FDR,'Full Duplex Mode Receive Samples Resolution'" hexmask.long.byte 0xC 0.--4. 1. "RRESOLUTION,Full-duplex mode receive samples resolution. When half-duplex mode is active this value is ignored. It simply should be assigned the value equal to the number of valid bits minus one for example: 00000 B - sample resolution = 1 bit 11111 B -.." line.long 0x10 "I2S_SRATE,'Transceiver Sample Rate'" hexmask.long.tbyte 0x10 0.--19. 1. "SAMPLE_RATE,In general value of sample rate is the quotient of clk frequency and I2S rate [bit/s]. The value of sample rate is the higher the clk frequency is greater than I2S rate." line.long 0x14 "I2S_STAT,'I2S-SC Status Flags Register'" bitfld.long 0x14 19. "RFIFO_AFULL,Receive FIFO almost full flag in full-duplex mode. Active HIGH. This flag is set to HIGH when RX FIFO becomes almost full (rising edge of 'almost full' condition). This bit can trigger the interrupt." "0,1" bitfld.long 0x14 18. "RFIFO_FULL,Receive FIFO full flag in full-duplex mode. Active HIGH. This flag is set to HIGH when RX FIFO is full. This bit can trigger the interrupt." "0,1" bitfld.long 0x14 17. "RFIFO_AEMPTY,Receive FIFO almost empty flag in full-duplex mode. Active HIGH. This flag is set to HIGH when RX FIFO becomes almost empty (rising edge of 'almost empty' condition). This bit can trigger the interrupt." "0,1" bitfld.long 0x14 16. "RFIFO_EMPTY,Receive FIFO empty flag in full-duplex mode.Active HIGH. This flag is set to HIGH when RX FIFO is empty. This bit can trigger the interrupt." "0,1" newline hexmask.long.word 0x14 6.--15. 1. "RESERVED," bitfld.long 0x14 5. "FIFO_AFULL,FIFO almost full flag. Active HIGH. This flag is set to HIGH when FIFO becomes almost full (rising edge of 'almost full' condition). When full-duplex mode is active this bit is a flag only for transmitter FIFO almost full condition. This bit.." "0,1" bitfld.long 0x14 4. "FIFO_FULL,FIFO full flag. Active HIGH. This flag is set to HIGH when FIFO is full. When full-duplex mode is active this bit is a flag only for transmitter FIFO full condition. This bit can trigger the interrupt." "0,1" bitfld.long 0x14 3. "FIFO_AEMPTY,FIFO almost empty flag. Active HIGH. This flag is set to HIGH when FIFO becomes almost empty (rising edge of 'almost empty' condition). When full-duplex mode is active this bit is a flag only for transmitter FIFO almost empty condition. This.." "0,1" bitfld.long 0x14 2. "FIFO_EMPTY,FIFO empty flag. Active HIGH. This flag is set to HIGH when FIFO is empty. When full-duplex mode is active this bit is a flag only for transmitter FIFO empty condition. This bit can trigger the interrupt." "0,1" newline bitfld.long 0x14 1. "RDATA_OVRERR,Indicates data overrun event in receiver mode active HIGH. This flag is set to HIGH when RX overrun arises (rising edge of 'overrun'condition). Updated on the rising edge of the clock. This bit can trigger the interrupt." "0,1" bitfld.long 0x14 0. "TDATA_UNDERR,Indicates data underrun event active HIGH. This flag is set to HIGH when TX underrun arises (rising edge of 'underrun'condition). Updated on the rising edge of the clock. This bit can trigger the interrupt." "0,1" rgroup.long 0x18++0x3 line.long 0x0 "FIFO_LEVEL,'FIFO Using Level Register (read only)'" hexmask.long.byte 0x0 0.--7. 1. "FIFO_LEVEL,FIFO Using Level Register (read only)" group.long 0x1C++0x7 line.long 0x0 "FIFO_AEMPTY,'FIFO Almost Empty Level'" hexmask.long.byte 0x0 0.--6. 1. "AEMPTY_THRESHOLD,Determines threshold for almost empty flag in the FIFO. When full-duplex mode is active this register specifies an almost empty level of the transmitter FIFO level. Sampled on the rising edge of the clock." line.long 0x4 "FIFO_AFULL,'FIFO Almost Empty Level'" hexmask.long.byte 0x4 0.--6. 1. "AFULL_THRESHOLD,Determines threshold for almost full flag in the FIFO. When full-duplex mode is active this register specifies an almost full level of the transmitter FIFO level. Sampled on the rising edge of the clock." rgroup.long 0x24++0x3 line.long 0x0 "FIFO_LEVEL_FDR,'Full-Duplex Mode Receiver FIFO Level Register (read only)'" hexmask.long.byte 0x0 0.--7. 1. "FIFO_LEVEL,FIFO Using Level Register (read only)" group.long 0x28++0xF line.long 0x0 "FIFO_AEMPTY_FDR,'Full-Duplex Mode Receiver FIFO Almost Empty Level'" hexmask.long.byte 0x0 0.--6. 1. "AEMPTY_THRESHOLD,Determines threshold for almost empty flag in the FIFO. When full-duplex mode is active this register specifies an almost empty level of the transmitter FIFO level. Sampled on the rising edge of the clock." line.long 0x4 "FIFO_AFULL_FDR,'Full-Duplex Mode Receiver FIFO Almost Full Level'" hexmask.long.byte 0x4 0.--6. 1. "AFULL_THRESHOLD,Determines threshold for almost full flag in the FIFO. When full-duplex mode is active this register specifies an almost full level of the transmitter FIFO level. Sampled on the rising edge of the clock." line.long 0x8 "TDM_CTRL,'Time Division Multiplexing Control Register'" bitfld.long 0x8 31. "CH15_EN,TDM interface channel 15 activating bit. When HIGH 15th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 30. "CH14_EN,TDM interface channel 14 activating bit. When HIGH 14th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 29. "CH13_EN,TDM interface channel 13 activating bit. When HIGH 13th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 28. "CH12_EN,TDM interface channel 12 activating bit. When HIGH 12th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 27. "CH11_EN,TDM interface channel 11 activating bit. When HIGH 11th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x8 26. "CH10_EN,TDM interface channel 10 activating bit. When HIGH 10th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 25. "CH9_EN,TDM interface channel 9 activating bit. When HIGH 9th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 24. "CH8_EN,TDM interface channel 8 activating bit. When HIGH 8th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 23. "CH7_EN,TDM interface channel 7 activating bit. When HIGH 7th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 22. "CH6_EN,TDM interface channel 6 activating bit. When HIGH 6th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x8 21. "CH5_EN,TDM interface channel 5 activating bit. When HIGH 5th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 20. "CH4_EN,TDM interface channel 4 activating bit. When HIGH 4th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 19. "CH3_EN,TDM interface channel 3 activating bit. When HIGH 3th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 18. "CH2_EN,TDM interface channel 2 activating bit. When HIGH 2nd time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 17. "CH1_EN,TDM interface channel 1 activating bit. When HIGH 1st time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x8 16. "CH0_EN,TDM interface channel 0 activating bit. When HIGH zero time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" hexmask.long.byte 0x8 1.--4. 1. "CHN_NO,Number of supported audio channels in TDM compatible interface mode. Written value equals channels number minus 1. Sampled on the rising edge of the clock" bitfld.long 0x8 0. "TDM_EN,Time Division Multiplexing audio interface enable. When HIGH audio interface works in TDM compatible mode determined by this register value. When LOW audio interface works in standard stereo I 2 S mode. Sampled on the rising edge of the clock." "0,1" line.long 0xC "TDM_FD_DIR,'Time Division Multiplexing Full-Duplex Mode Channels Direction Register'" bitfld.long 0xC 31. "CH15_RXEN,TDM interface channel 15 receive enable bit. Active only in full-duplex mode. When HIGH and ch15_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 15 time slot." "0,1" bitfld.long 0xC 30. "CH14_RXEN,TDM interface channel 14 receive enable bit. Active only in full-duplex mode. When HIGH and ch14_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 14 time slot." "0,1" bitfld.long 0xC 29. "CH13_RXEN,TDM interface channel 13 receive enable bit. Active only in full-duplex mode. When HIGH and ch13_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 13 time slot." "0,1" bitfld.long 0xC 28. "CH12_RXEN,TDM interface channel 12 receive enable bit. Active only in full-duplex mode. When HIGH and ch12_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 12 time slot." "0,1" bitfld.long 0xC 27. "CH11_RXEN,TDM interface channel 11 receive enable bit. Active only in full-duplex mode. When HIGH and ch11_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 11 time slot." "0,1" newline bitfld.long 0xC 26. "CH10_RXEN,TDM interface channel 10 receive enable bit. Active only in full-duplex mode. When HIGH and ch10_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 10 time slot." "0,1" bitfld.long 0xC 25. "CH9_RXEN,TDM interface channel 9 receive enable bit. Active only in full-duplex mode. When HIGH and ch9_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 9 time slot." "0,1" bitfld.long 0xC 24. "CH8_RXEN,TDM interface channel 8 receive enable bit. Active only in full-duplex mode. When HIGH and ch8_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 8 time slot." "0,1" bitfld.long 0xC 23. "CH7_RXEN,TDM interface channel 7 receive enable bit. Active only in full-duplex mode. When HIGH and ch7_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 7 time slot." "0,1" bitfld.long 0xC 22. "CH6_RXEN,TDM interface channel 6 receive enable bit. Active only in full-duplex mode. When HIGH and ch6_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 6 time slot." "0,1" newline bitfld.long 0xC 21. "CH5_RXEN,TDM interface channel 5 receive enable bit. Active only in full-duplex mode. When HIGH and ch5_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 5 time slot." "0,1" bitfld.long 0xC 20. "CH4_RXEN,TDM interface channel 4 receive enable bit. Active only in full-duplex mode. When HIGH and ch4_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 4 time slot." "0,1" bitfld.long 0xC 19. "CH3_RXEN,TDM interface channel 3 receive enable bit. Active only in full-duplex mode. When HIGH and ch3_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 3 time slot." "0,1" bitfld.long 0xC 18. "CH2_RXEN,TDM interface channel 2 receive enable bit. Active only in full-duplex mode. When HIGH and ch2_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 2 time slot." "0,1" bitfld.long 0xC 17. "CH1_RXEN,TDM interface channel 1 receive enable bit. Active only in full-duplex mode. When HIGH and ch1_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 1 time slot." "0,1" newline bitfld.long 0xC 16. "CH0_RXEN,TDM interface channel 0 receive enable bit. Active only in full-duplex mode. When HIGH and ch0_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 0 time slot." "0,1" bitfld.long 0xC 15. "CH15_TXEN,TDM interface channel 15 transmit enable bit. Active only in full-duplex mode. When HIGH and ch15_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 15 time slot through sdo line." "0,1" bitfld.long 0xC 14. "CH14_TXEN,TDM interface channel 14 transmit enable bit. Active only in full-duplex mode. When HIGH and ch14_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 14 time slot through sdo line." "0,1" bitfld.long 0xC 13. "CH13_TXEN,TDM interface channel 13 transmit enable bit. Active only in full-duplex mode. When HIGH and ch13_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 13 time slot through sdo line." "0,1" bitfld.long 0xC 12. "CH12_TXEN,TDM interface channel 12 transmit enable bit. Active only in full-duplex mode. When HIGH and ch12_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 12 time slot through sdo line." "0,1" newline bitfld.long 0xC 11. "CH11_TXEN,TDM interface channel 11 transmit enable bit. Active only in full-duplex mode. When HIGH and ch11_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 11 time slot through sdo line." "0,1" bitfld.long 0xC 10. "CH10_TXEN,TDM interface channel 10 transmit enable bit. Active only in full-duplex mode. When HIGH and ch10_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 10 time slot through sdo line." "0,1" bitfld.long 0xC 9. "CH9_TXEN,TDM interface channel 9 transmit enable bit. Active only in full-duplex mode. When HIGH and ch9_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 9 time slot through sdo line." "0,1" bitfld.long 0xC 8. "CH8_TXEN,TDM interface channel 8 transmit enable bit. Active only in full-duplex mode. When HIGH and ch8_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 8 time slot through sdo line." "0,1" bitfld.long 0xC 7. "CH7_TXEN,TDM interface channel 7 transmit enable bit. Active only in full-duplex mode. When HIGH and ch7_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 7 time slot through sdo line." "0,1" newline bitfld.long 0xC 6. "CH6_TXEN,TDM interface channel 6 transmit enable bit. Active only in full-duplex mode. When HIGH and ch6_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 6 time slot through sdo line." "0,1" bitfld.long 0xC 5. "CH5_TXEN,TDM interface channel 5 transmit enable bit. Active only in full-duplex mode. When HIGH and ch5_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 5 time slot through sdo line." "0,1" bitfld.long 0xC 4. "CH4_TXEN,TDM interface channel 4 transmit enable bit. Active only in full-duplex mode. When HIGH and ch4_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 4 time slot through sdo line." "0,1" bitfld.long 0xC 3. "CH3_TXEN,TDM interface channel 3 transmit enable bit. Active only in full-duplex mode. When HIGH and ch3_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 3 time slot through sdo line." "0,1" bitfld.long 0xC 2. "CH2_TXEN,TDM interface channel 2 transmit enable bit. Active only in full-duplex mode. When HIGH and ch2_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 2 time slot through sdo line." "0,1" newline bitfld.long 0xC 1. "CH1_TXEN,TDM interface channel 1 transmit enable bit. Active only in full-duplex mode. When HIGH and ch1_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 1 time slot through sdo line." "0,1" bitfld.long 0xC 0. "CH0_TXEN,TDM interface channel 0 transmit enable bit. Active only in full-duplex mode. When HIGH and ch0_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 0 time slot through sdo line." "0,1" tree.end repeat.end elif (CORENAME()=="CORTEXA55") repeat 2. (increment 1. 1.) (list ad:0x305C0000 ad:0x305D0000) tree "I2S_MC$1" base $2 group.long 0x0++0x27 line.long 0x0 "I2S_CTRL,'I2S Control Register'" rbitfld.long 0x0 29.--31. "RESERVED0,'Not implemented. Return '0' when read.'" "0,1,2,3,4,5,6,7" bitfld.long 0x0 28. "RSYNC_LOOP_BACK,'Loop-back configuration bit for receiver synchronization unit. When 0 (normal mode) the scki and wsi inputs of the I2S module (configured to be receiver synchronization unit) are connected to the external inputs rclki and rwsi. When 1.." "0,1" bitfld.long 0x0 27. "TSYNC_LOOP_BACK,'Loop-back configuration bit for transmitter synchronization unit. When 0 (normal mode) the scki and wsi inputs of the I2S module (configured to be transmitter synchronization unit) are connected to the external inputs tclki and twsi." "0,1" bitfld.long 0x0 26. "RSYNC_RST,'Reset for receiver synchronizing unit. Active LOW. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 25. "TSYNC_RST,'Reset for transmitter synchronizing unit. Active LOW. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 24. "RFIFO_RST,'Receive FIFO reset. When '0' receive FIFO pointers are reset to zero. Threshold level for this FIFO is unchanged. This bit is automatically set to '1' after one clock cycle. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 23. "TFIFO_RST,'Transmit FIFO reset. When '0' transmit FIFO pointers are reset to zero. Threshold level for this FIFO is unchanged. This bit is automatically set to '1' after one clock cycle. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 22. "R_MS,'Master (value '1') or slave (value '0') configuration bit for unit synchronizing all receivers with I2S bus. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 21. "T_MS,'Master (value '1') or slave (value '0') configuration bit for unit synchronizing all transmitters with I2S bus. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 20. "SFR_RST,'SFR block synchronous reset. When '0' all bits in SFR registers are reset to default values. This bit is automatically set to '1' after one clock cycle. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 19. "LOOP_BACK_6_7,'Loop back test configuration bit. When '1' it configures channels 6 and 7 into the loop-back mode. In this mode channels 6 and 7 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 18. "LOOP_BACK_4_5,'Loop back test configuration bit. When '1' it configures channels 4 and 5 into the loop-back mode. In this mode channels 4 and 5 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 17. "LOOP_BACK_2_3,'Loop back test configuration bit. When '1' it configures channels 2 and 3 into the loop-back mode. In this mode channels 2 and 3 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 16. "LOOP_BACK_0_1,'Loop back test configuration bit. When '1' it configures channels 0 and 1 into the loop-back mode. In this mode channels 0 and 1 can work in both directions depending on configuration bits. Default value '0' causes normal operation.." "0,1" bitfld.long 0x0 15. "TR_CFG_7,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 7. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 14. "TR_CFG_6,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 6. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 13. "TR_CFG_5,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 5. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 12. "TR_CFG_4,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 4. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 11. "TR_CFG_3,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 3. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 10. "TR_CFG_2,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 2. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 9. "TR_CFG_1,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 1. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 8. "TR_CFG_0,'Transmitter (value '1') or receiver (value '0') configuration bit for I2S channel 0. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 7. "I2S_EN_7,'Enable bit for I2S channel 7. Value '0' causes reset signal for this channel (i2s_rst_7) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 6. "I2S_EN_6,'Enable bit for I2S channel 6. Value '0' causes reset signal for this channel (i2s_rst_6) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 5. "I2S_EN_5,'Enable bit for I2S channel 5. Value '0' causes reset signal for this channel (i2s_rst_5) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0x0 4. "I2S_EN_4,'Enable bit for I2S channel 4. Value '0' causes reset signal for this channel (i2s_rst_4) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 3. "I2S_EN_3,'Enable bit for I2S channel 3. Value '0' causes reset signal for this channel (i2s_rst_3) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 2. "I2S_EN_2,'Enable bit for I2S channel 2. Value '0' causes reset signal for this channel (i2s_rst_2) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 1. "I2S_EN_1,'Enable bit for I2S channel 1. Value '0' causes reset signal for this channel (i2s_rst_1) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0x0 0. "I2S_EN_0,'Enable bit for I2S channel 0. Value '0' causes reset signal for this channel (i2s_rst_0) configuration SFR bits for this channel are unchanged. Value '1' enables channel. Sampled on the rising edge of the clock.'" "0,1" line.long 0x4 "I2S_INTR_STAT,'I2S Interrupt Status Register'" hexmask.long.word 0x4 16.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" bitfld.long 0x4 15. "RFIFO_AFULL,'Receive FIFO almost full flag active HIGH. This flag is set to HIGH when RX FIFO becomes almost full (rising edge of 'almost full' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 14. "RFIFO_FULL,'Receive FIFO full flag active HIGH. This flag is set to HIGH when RX FIFO is full. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 13. "RFIFO_AEMPTY,'Receive FIFO almost empty flag active HIGH. This flag is set to HIGH when RX FIFO becomes almost empty (rising edge of 'almost empty' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 12. "RFIFO_EMPTY,'Receive FIFO empty flag active HIGH. This flag is set to HIGH when RX FIFO is empty. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" newline bitfld.long 0x4 11. "TFIFO_AFULL,'Transmit FIFO almost full flag active HIGH. This flag is set to HIGH when TX FIFO becomes almost full (rising edge of 'almost full' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 10. "TFIFO_FULL,'Transmit FIFO full flag active HIGH. This flag is set to HIGH when TX FIFO is full. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 9. "TFIFO_AEMPTY,'Transmit FIFO almost empty flag active HIGH. This flag is set to HIGH when TX FIFO becomes almost empty (rising edge of 'almost empty' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" bitfld.long 0x4 8. "TFIFO_EMPTY,'Transmit FIFO empty flag active HIGH. This flag is set to HIGH when TX FIFO is empty. Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" rbitfld.long 0x4 5.--7. "OVRERR_CODE,'Code of the receiver that caused overrun error. Updated on the rising edge of the clock. These bits are cleared when bit rdata_ovrerr is cleared. The code is a binary notation of the channel's number.'" "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 4. "RDATA_OVRERR,'Receiver data overrun error active HIGH. This flag is set to HIGH when RX overrun arises (rising edge of 'overrun' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt. Writing a LOW value to this bit.." "0,1" rbitfld.long 0x4 1.--3. "UNDERR_CODE,'Code of the transmitter that caused underrun event. Updated on the rising edge of the clock. These bits are cleared when bit tdata_underr is cleared. The code is a binary notation of the channel's number.'" "0,1,2,3,4,5,6,7" bitfld.long 0x4 0. "TDATA_UNDERR,'Transmitter data underrun event active HIGH. This flag is set to HIGH when TX underrun arises (rising edge of 'underrun' condition). Updated on the rising edge of the clock. This bit can trigger the interrupt.'" "0,1" line.long 0x8 "I2S_SRR,'I2S Channels Sample Rate and Resolution Configuration Register'" hexmask.long.byte 0x8 27.--31. 1. "RRESOLUTION,'Receiver resolution (0 to 31). Sampled on the rising edge of the clock. It simply should be assigned the value equal to the number of valid bits minus one.'" hexmask.long.byte 0x8 23.--26. 1. "RESERVED1,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x8 16.--22. 1. "RSAMPLE_RATE,'Receiver sample rate. Sampled on the rising edge of the clock.'" hexmask.long.byte 0x8 11.--15. 1. "TRESOLUTION,'Transmitter resolution (0 to 31). Sampled on the rising edge of the clock. It simply should be assigned the value equal to the number of valid bits minus one.'" hexmask.long.byte 0x8 7.--10. 1. "RESERVED0,'Not implemented. Return '0' when read.'" newline hexmask.long.byte 0x8 0.--6. 1. "TSAMPLE_RATE,'Transmitter sample rate. Sampled on the rising edge of the clock.'" line.long 0xC "CID_CTRL,'Clock. Interrupt and DMA Control Register'" bitfld.long 0xC 31. "RFIFO_AFULL_MASK,'Bit masking interrupt request generation after receive FIFO becomes almost full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 30. "RFIFO_FULL_MASK,'Bit masking interrupt request generation after receive FIFO becomes full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 29. "RFIFO_AEMPTY_MASK,'Bit masking interrupt request generation after receive FIFO becomes almost empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 28. "RFIFO_EMPTY_MASK,'Bit masking interrupt request generation after receive FIFO becomes empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 27. "TFIFO_AFULL_MASK,'Bit masking interrupt request generation after transmit FIFO becomes almost full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 26. "TFIFO_FULL_MASK,'Bit masking interrupt request generation after transmit FIFO becomes full. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 25. "TFIFO_AEMPTY_MASK,'Bit masking interrupt request generation after transmit FIFO becomes almost empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 24. "TFIFO_EMPTY_MASK,'Bit masking interrupt request generation after transmit FIFO becomes empty. When LOW masks generation of interrupt request. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 23. "I2S_MASK_7,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 7. When LOW masks generation of interrupt request caused by the channel 7. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 22. "I2S_MASK_6,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 6. When LOW masks generation of interrupt request caused by the channel 6. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 21. "I2S_MASK_5,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 5. When LOW masks generation of interrupt request caused by the channel 5. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 20. "I2S_MASK_4,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 4. When LOW masks generation of interrupt request caused by the channel 4. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 19. "I2S_MASK_3,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 3. When LOW masks generation of interrupt request caused by the channel 3. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 18. "I2S_MASK_2,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 2. When LOW masks generation of interrupt request caused by the channel 2. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 17. "I2S_MASK_1,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 1. When LOW masks generation of interrupt request caused by the channel 1. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 16. "I2S_MASK_0,'Bit masking interrupt request generation after underrun / overrun condition occurrence in I2S channel 0. When LOW masks generation of interrupt request caused by the channel 0. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 15. "INTREQ_MASK,'Bit masking all interrupt requests. When '0' all interrupts are masked when '1' interrupts use individual masks. Sampled on the rising edge of the clock.'" "0,1" hexmask.long.byte 0xC 10.--14. 1. "RESERVED0,'Not implemented. Return '0' when read.'" bitfld.long 0xC 9. "STROBE_RS,'Clock enable for the unit synchronizing receivers. When high the clk_rs clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 8. "STROBE_TS,'Clock enable for the unit synchronizing transmitters. When high the clk_ts clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 7. "I2S_STROBE_7,'Clock enable channel 7. When high the clk_7 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 6. "I2S_STROBE_6,'Clock enable channel 6. When high the clk_6 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 5. "I2S_STROBE_5,'Clock enable channel 5. When high the clk_5 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 4. "I2S_STROBE_4,'Clock enable channel 4. When high the clk_4 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 3. "I2S_STROBE_3,'Clock enable channel 3. When high the clk_3 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" newline bitfld.long 0xC 2. "I2S_STROBE_2,'Clock enable channel 2. When high the clk_2 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 1. "I2S_STROBE_1,'Clock enable channel 1. When high the clk_1 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" bitfld.long 0xC 0. "I2S_STROBE_0,'Clock enable channel 0. When high the clk_0 clock is blocked else it is enabled. Sampled on the rising edge of the clock.'" "0,1" line.long 0x10 "TFIFO_STAT,'Transmit FIFO Status Register'" hexmask.long.tbyte 0x10 10.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.word 0x10 0.--9. 1. "TLEVEL,'Indicates transmit FIFO level. Updated on the rising edge of the clock.'" line.long 0x14 "RFIFO_STAT,'Receive FIFO Status Register'" hexmask.long.tbyte 0x14 10.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.word 0x14 0.--9. 1. "RLEVEL,'Indicates receive FIFO level. Updated on the rising edge of the clock.'" line.long 0x18 "TFIFO_CTRL,'Transmit FIFO Control Register'" hexmask.long.word 0x18 20.--31. 1. "RESERVED1,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x18 16.--19. 1. "TAFULL_THRESHOLD,'Determines threshold for almost full flag in the transmit FIFO. Sampled on the rising edge of the clock.'" hexmask.long.word 0x18 4.--15. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x18 0.--3. 1. "TAEMPTY_THRESHOLD,'Determines threshold for almost empty flag in the transmit FIFO. Sampled on the rising edge of the clock.'" line.long 0x1C "RFIFO_CTRL,'Receive FIFO Control Register'" hexmask.long.word 0x1C 20.--31. 1. "RESERVED1,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x1C 16.--19. 1. "RAFULL_THRESHOLD,'Determines threshold for almost full flag in the receive FIFO. Sampled on the rising edge of the clock.'" hexmask.long.word 0x1C 4.--15. 1. "RESERVED0,'Not implemented. Return '0' when read.'" hexmask.long.byte 0x1C 0.--3. 1. "RAEMPTY_THRESHOLD,'Determines threshold for almost empty flag in the receive FIFO. Sampled on the rising edge of the clock.'" line.long 0x20 "DEV_CONF,'Device Configuration Register'" hexmask.long.tbyte 0x20 12.--31. 1. "RESERVED0,'Not implemented. Return '0' when read.'" bitfld.long 0x20 11. "REC_WS_DSP_MODE,'The word select signal format change indicator according to the DSP audio interface specification for the receiver units. HIGH value of this bit determines generation of the word select signal format specific to the DSP audio interface.." "0,1" bitfld.long 0x20 10. "REC_DATA_WS_DEL,'The received valid data delay indicator at the I2S serial data input line after the word select signal edge. When HIGH the received serial data are updated on the second rising/falling edge of the clock signal after the word select.." "0,1" bitfld.long 0x20 9. "REC_I2S_ALIGN_LR,'Alignment of the received digital data sample at the I2S serial data line. When HIGH it determines the MSB adjustment of the resolution-width received digital data sample at the I2S serial data input line. If the received data sample.." "0,1" bitfld.long 0x20 8. "REC_APB_ALIGN_LR,'Alignment of the received digital data sample at the APB bus. HIGH value of this bit determines the MSB side alignment of the resolution-width received data sample at the APB data bus. LOW value of this bit determines the LSB side.." "0,1" newline bitfld.long 0x20 7. "REC_WS_POLAR,'The word select signal polarity selection for reception. When HIGH the level of the word select signal for the received left channel data sample is '1' and the level of this signal for the received right channel data sample is '0'. When.." "0,1" bitfld.long 0x20 6. "REC_SCK_POLAR,'The continuous serial clock active edge for reception. When HIGH the received serial data are sampled on the falling edge of the bit clock. When LOW the received serial data are sampled on the rising edge of the bit clock. Default value.." "0,1" bitfld.long 0x20 5. "TRAN_WS_DSP_MODE,'The word select signal format change indicator according to the DSP audio interface specification for the transmitter units. HIGH value of this bit determines generation of the word select signal format specific to the DSP audio.." "0,1" bitfld.long 0x20 4. "TRAN_DATA_WS_DEL,'The transmitted valid data delay indicator at the I2S serial data output line after the word select signal edge. When HIGH the serial data for transmission are updated on the first rising/falling edge of the clock signal after the word.." "0,1" bitfld.long 0x20 3. "TRAN_I2S_ALIGN_LR,'Alignment of the transmitted digital data sample at the I2S serial data line. When HIGH it determines the MSB adjustment of the resolution-width transmitted digital data sample at the I2S serial data output line. If the transmitted.." "0,1" newline bitfld.long 0x20 2. "TRAN_APB_ALIGN_LR,'Alignment of the transmitted digital data sample at the APB bus. HIGH value of this bit determines the MSB side alignment of the resolution-width transmitted data sample at the APB data bus. LOW value of this bit determines the LSB.." "0,1" bitfld.long 0x20 1. "TRAN_WS_POLAR,'The word select signal polarity selection for transmission. When HIGH the level of the word select signal for the transmitted left channel data sample is '1' and the level of this signal for the transmitted right channel data sample is.." "0,1" bitfld.long 0x20 0. "TRAN_SCK_POLAR,'The continuous serial clock active edge for transmission. When HIGH the serial data for transmission are updated on the falling edge of the bit clock. When LOW the serial data for transmission are updated on the rising edge of the bit.." "0,1" line.long 0x24 "I2S_POLL_STAT,'The I2S status register for controlling the IP by polling is read only special function register. All used bits reflect current status of FIFO flags. data overrun and data underrun.'" hexmask.long 0x24 7.--31. 1. "RESERVED1,'Not implemented. Return '0' when read.'" bitfld.long 0x24 6. "RX_OVERRUN,'Receiver data overrun: 1 - RX data overrun has occurred 0 - No RX data overrun Updated on the rising edge of the clock. '" "0,1" bitfld.long 0x24 5. "RX_AFULL,'Receive FIFO almost full flag: 1 - RX FIFO is almost full 0 - RX FIFO is not almost full Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 4. "RX_FULL,'Receive FIFO full flag: 1 - RX FIFO is full 0 - RX FIFO is not full Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 3. "RESERVED0,'Not implemented. Return '0' when read.'" "0,1" newline bitfld.long 0x24 2. "TX_UNDERRUN,'Transmitter data underrun: 1 - TX data underrun has occurred 0 - No TX data underrun Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 1. "TX_AEMPTY,'Transmit FIFO almost empty flag: 1 - TX FIFO is almost empty 0 - TX FIFO is not almost empty Updated on the rising edge of the clock.'" "0,1" bitfld.long 0x24 0. "TX_EMPTY,'Transmit FIFO empty flag: 1 - TX FIFO is empty 0 - TX FIFO is not empty Updated on the rising edge of the clock.'" "0,1" tree.end repeat.end repeat 8. (increment 1. 1.) (list ad:0x30300000 ad:0x30310000 ad:0x30600000 ad:0x30610000 ad:0x30620000 ad:0x30630000 ad:0x30640000 ad:0x30650000) tree "I2S_SC$1" base $2 group.long 0x0++0x17 line.long 0x0 "I2S_CTRL,'I2S control register. After end of reset bit sfr_rst triggers to 1 and bit fifo_rst triggers to 1. Therefore register value after end of reset is 000001B8'" bitfld.long 0x0 31. "LR_PACK,Left/Right packing mode enable When HIGH the Left/Right packing mode is enabled and then the left audio channel sample data is created from less significant bits of FIFO data..Respectively the right audio channel sample data is created from.." "0,1" bitfld.long 0x0 30. "FIFO_AFULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost full. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x0 29. "FIFO_FULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes full. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x0 28. "FIFO_AEMPTY_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost empty. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x0 27. "FIFO_EMPTY_MASK,Bit masking interrupt request generation after FIFO becomes empty. When LOW masks generation of interrupt request.When full-duplex mode is active this bit is a mask only for transmitter FIFO empty condition. Sampled on the rising edge.." "0,1" newline bitfld.long 0x0 26. "I2S_MASK,Bit masking interrupt request generation after underrun/overrun condition occurrence in I2S-SC transceiver. When LOW masks generation of interrupt request.When full-duplex mode is active this bit is a mask only for underrun event interrupt." "0,1" bitfld.long 0x0 25. "INTREQ_MASK,Bit masking all interrupt requests. When LOW all interrupts are masked when HIGH interrupts use individual masks. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 24. "I2S_STB,Transceiver clock enable. When LOW the clk_i2s clock is enabled else clock is disabled. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 23. "HOST_DATA_ALIGN,Audio data sample alignment at host data bus. When LOW the audio sample data is aligned to the LSB at the host data bus. When HIGH the audio sample data is aligned to the MSB at host data bus. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 22. "DATA_ORDER,Audio data sample arrangement in audio data slot. When LOW audio sample arrangement in audio data slot is MSB-first. When HIGH audio sample arrangement in audio data slot is LSB-first. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x0 21. "DATA_ALIGN,Audio sample alignment in audio data time slot. When LOW the audio sample bits are left justified in audio data time slot. When HIGH the audio sample bits are right justified in audio data time slot. Sampled on the rising edge of the clock." "0,1" hexmask.long.byte 0x0 16.--20. 1. "DATA_WS_DEL,Audio data delay after WS signal edge at serial line. TDM mode is not active: Value from 0...(CHN_WIDTH-1) range specified that first audio data bit is updated/received at the first second...last edge of the SCK signal after the WS signal.." bitfld.long 0x0 15. "WS_POLAR,The word select signal polarity selection. I2S Word Select (WS) mode is active: When HIGH the level of the word select signal for the left channel data sample is '1' and the level of this signal for the right channel data sample is '0'. When.." "0,1" bitfld.long 0x0 14. "SCK_POLAR,The continuous serial clock active edge. When HIGH the serial data are updated on the falling edge of the bit clock.When LOW serial data are updated on the rising edge of the bit clock. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 13. "AUDIO_MODE,Mono/stereo audio mode selection. Active only when TDM mode is inactive and I2S-SC works at standard stereo audio interface mode. When LOW both left and right channel audio samples are transmitted/received for transmitter/receiver mode.." "0,1" newline bitfld.long 0x0 12. "MONO_MODE,Active audio channel in mono mode. When LOW left audio channel is active. When HIGH right audio channel is active. Sampled on the rising edge of the clock." "0,1" hexmask.long.byte 0x0 8.--11. 1. "WS_MODE,Word select signal (WS) format. TDM mode is not active: Only bit 8 is relevant. If it is set to LOW (even value of ws_mode) WS signal have DSP audio interface specification format. If it is set to HIGH (odd value of ws_mode) WS signal have.." bitfld.long 0x0 5.--7. "CHN_WIDTH,Audio channel time slot width in I2S master or DSP/TDM master and slave modes. 0 - 8 SCK cycles per audio channel 1 - 12 SCK cycles per audio channel 2 - 16 SCK cycles per audio channel 3 - 18 SCK cycles per audio channel 4 - 20 SCK cycles per.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 4. "FIFO_RST,Full-duplex mode receiver FIFO reset. Active only in full-duplex mode. When '0' RFIFO pointer is reset to zero. Threshold levels for RFIFO are unchanged. The bit is automatically set to '1' after one clock cycle." "0,1" bitfld.long 0x0 3. "SFR_RST,SFR block synchronous reset. When LOW all bits in SFR registers are reset to default values. This bit is automatically set to HIGH after one clock cycle. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x0 2. "MS_CFG,Configuration bit for transceiver synchronizing unit: 1 - Master 0 - Slave Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 1. "DIR_CFG,Hal-duplex direction of transmission: 1 - Transmitter 0 - Receiver Sampled on the rising edge of the clock." "0,1" bitfld.long 0x0 0. "I2S_EN,Enable bit for I2S transceiver 1 - enables transceiver 0 - disables transceiver causes reset signal configuration SFR bits are unchanged Sampled on the rising edge of the clock." "0,1" line.long 0x4 "I2S_CTRL_FDX,'I2S Full-Duplex Mode Control register. After end of reset bit fifo_rst triggers to 1. Therefore register value after end of reset is 00000010'" bitfld.long 0x4 30. "RFIFO_AFULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost full.When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 29. "RFIFO_FULL_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes full. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 28. "RFIFO_AEMPTY_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes almost empty.When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 27. "RFIFO_EMPTY_MASK,Bit masking interrupt request generation after full-duplex mode receive FIFO becomes empty. When LOW masks generation of interrupt request." "0,1" newline bitfld.long 0x4 26. "RI2S_MASK,Bit masking interrupt request generation after overrun condition occurrence in I2S-SC full-duplex mode receiver. When LOW masks generation of interrupt request." "0,1" bitfld.long 0x4 4. "FIFO_RST,Full-duplex mode receiver FIFO reset. Active only in full-duplex mode. When '0' RFIFO pointer is reset to zero. Threshold levels for RFIFO are unchanged. The bit is automatically set to '1' after one clock cycle." "0,1" bitfld.long 0x4 2. "I2S_FRX_EN,Full-duplex mode receiver enable When full_duplex mode enable bit is active the bit controls receiving data through sdi serial data input.Otherwise the bit setup is not applicable." "0,1" newline bitfld.long 0x4 1. "I2S_FTX_EN,Full-duplex mode transmitter enable When full_duplex mode enable bit is active the bit controls transmitting data through sdo serial data output. Otherwise the bit setup is not applicable." "0,1" bitfld.long 0x4 0. "FULL_DUPLEX,Full-duplex mode enable When HIGH transmitter and receiver data units enable are controlled dynamically by the i2s_ftx_en (I2S_CTRL_FDX[1]) and i2s_frx_en (I2S_CTRL_FDX[2]) bits. Modifiable only when I2S transceiver is disabled (i2s_en bit of.." "0,1" line.long 0x8 "I2S_SRES,'Transceiver Sample Resolution'" hexmask.long.byte 0x8 0.--4. 1. "RESOLUTION,Samples resolution. When full-duplex mode is active this value specifies resolution only for transmitted samples. Sampled on the rising edge of the clock. It simply should be assigned the value equal to the number of valid bits minus one for.." line.long 0xC "I2S_SRES_FDR,'Full Duplex Mode Receive Samples Resolution'" hexmask.long.byte 0xC 0.--4. 1. "RRESOLUTION,Full-duplex mode receive samples resolution. When half-duplex mode is active this value is ignored. It simply should be assigned the value equal to the number of valid bits minus one for example: 00000 B - sample resolution = 1 bit 11111 B -.." line.long 0x10 "I2S_SRATE,'Transceiver Sample Rate'" hexmask.long.tbyte 0x10 0.--19. 1. "SAMPLE_RATE,In general value of sample rate is the quotient of clk frequency and I2S rate [bit/s]. The value of sample rate is the higher the clk frequency is greater than I2S rate." line.long 0x14 "I2S_STAT,'I2S-SC Status Flags Register'" bitfld.long 0x14 19. "RFIFO_AFULL,Receive FIFO almost full flag in full-duplex mode. Active HIGH. This flag is set to HIGH when RX FIFO becomes almost full (rising edge of 'almost full' condition). This bit can trigger the interrupt." "0,1" bitfld.long 0x14 18. "RFIFO_FULL,Receive FIFO full flag in full-duplex mode. Active HIGH. This flag is set to HIGH when RX FIFO is full. This bit can trigger the interrupt." "0,1" bitfld.long 0x14 17. "RFIFO_AEMPTY,Receive FIFO almost empty flag in full-duplex mode. Active HIGH. This flag is set to HIGH when RX FIFO becomes almost empty (rising edge of 'almost empty' condition). This bit can trigger the interrupt." "0,1" bitfld.long 0x14 16. "RFIFO_EMPTY,Receive FIFO empty flag in full-duplex mode.Active HIGH. This flag is set to HIGH when RX FIFO is empty. This bit can trigger the interrupt." "0,1" newline hexmask.long.word 0x14 6.--15. 1. "RESERVED," bitfld.long 0x14 5. "FIFO_AFULL,FIFO almost full flag. Active HIGH. This flag is set to HIGH when FIFO becomes almost full (rising edge of 'almost full' condition). When full-duplex mode is active this bit is a flag only for transmitter FIFO almost full condition. This bit.." "0,1" bitfld.long 0x14 4. "FIFO_FULL,FIFO full flag. Active HIGH. This flag is set to HIGH when FIFO is full. When full-duplex mode is active this bit is a flag only for transmitter FIFO full condition. This bit can trigger the interrupt." "0,1" bitfld.long 0x14 3. "FIFO_AEMPTY,FIFO almost empty flag. Active HIGH. This flag is set to HIGH when FIFO becomes almost empty (rising edge of 'almost empty' condition). When full-duplex mode is active this bit is a flag only for transmitter FIFO almost empty condition. This.." "0,1" bitfld.long 0x14 2. "FIFO_EMPTY,FIFO empty flag. Active HIGH. This flag is set to HIGH when FIFO is empty. When full-duplex mode is active this bit is a flag only for transmitter FIFO empty condition. This bit can trigger the interrupt." "0,1" newline bitfld.long 0x14 1. "RDATA_OVRERR,Indicates data overrun event in receiver mode active HIGH. This flag is set to HIGH when RX overrun arises (rising edge of 'overrun'condition). Updated on the rising edge of the clock. This bit can trigger the interrupt." "0,1" bitfld.long 0x14 0. "TDATA_UNDERR,Indicates data underrun event active HIGH. This flag is set to HIGH when TX underrun arises (rising edge of 'underrun'condition). Updated on the rising edge of the clock. This bit can trigger the interrupt." "0,1" rgroup.long 0x18++0x3 line.long 0x0 "FIFO_LEVEL,'FIFO Using Level Register (read only)'" hexmask.long.byte 0x0 0.--7. 1. "FIFO_LEVEL,FIFO Using Level Register (read only)" group.long 0x1C++0x7 line.long 0x0 "FIFO_AEMPTY,'FIFO Almost Empty Level'" hexmask.long.byte 0x0 0.--6. 1. "AEMPTY_THRESHOLD,Determines threshold for almost empty flag in the FIFO. When full-duplex mode is active this register specifies an almost empty level of the transmitter FIFO level. Sampled on the rising edge of the clock." line.long 0x4 "FIFO_AFULL,'FIFO Almost Empty Level'" hexmask.long.byte 0x4 0.--6. 1. "AFULL_THRESHOLD,Determines threshold for almost full flag in the FIFO. When full-duplex mode is active this register specifies an almost full level of the transmitter FIFO level. Sampled on the rising edge of the clock." rgroup.long 0x24++0x3 line.long 0x0 "FIFO_LEVEL_FDR,'Full-Duplex Mode Receiver FIFO Level Register (read only)'" hexmask.long.byte 0x0 0.--7. 1. "FIFO_LEVEL,FIFO Using Level Register (read only)" group.long 0x28++0xF line.long 0x0 "FIFO_AEMPTY_FDR,'Full-Duplex Mode Receiver FIFO Almost Empty Level'" hexmask.long.byte 0x0 0.--6. 1. "AEMPTY_THRESHOLD,Determines threshold for almost empty flag in the FIFO. When full-duplex mode is active this register specifies an almost empty level of the transmitter FIFO level. Sampled on the rising edge of the clock." line.long 0x4 "FIFO_AFULL_FDR,'Full-Duplex Mode Receiver FIFO Almost Full Level'" hexmask.long.byte 0x4 0.--6. 1. "AFULL_THRESHOLD,Determines threshold for almost full flag in the FIFO. When full-duplex mode is active this register specifies an almost full level of the transmitter FIFO level. Sampled on the rising edge of the clock." line.long 0x8 "TDM_CTRL,'Time Division Multiplexing Control Register'" bitfld.long 0x8 31. "CH15_EN,TDM interface channel 15 activating bit. When HIGH 15th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 30. "CH14_EN,TDM interface channel 14 activating bit. When HIGH 14th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 29. "CH13_EN,TDM interface channel 13 activating bit. When HIGH 13th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 28. "CH12_EN,TDM interface channel 12 activating bit. When HIGH 12th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 27. "CH11_EN,TDM interface channel 11 activating bit. When HIGH 11th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x8 26. "CH10_EN,TDM interface channel 10 activating bit. When HIGH 10th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 25. "CH9_EN,TDM interface channel 9 activating bit. When HIGH 9th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 24. "CH8_EN,TDM interface channel 8 activating bit. When HIGH 8th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 23. "CH7_EN,TDM interface channel 7 activating bit. When HIGH 7th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 22. "CH6_EN,TDM interface channel 6 activating bit. When HIGH 6th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x8 21. "CH5_EN,TDM interface channel 5 activating bit. When HIGH 5th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 20. "CH4_EN,TDM interface channel 4 activating bit. When HIGH 4th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 19. "CH3_EN,TDM interface channel 3 activating bit. When HIGH 3th time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 18. "CH2_EN,TDM interface channel 2 activating bit. When HIGH 2nd time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" bitfld.long 0x8 17. "CH1_EN,TDM interface channel 1 activating bit. When HIGH 1st time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" newline bitfld.long 0x8 16. "CH0_EN,TDM interface channel 0 activating bit. When HIGH zero time slot sample is transmitted and/or received depending on transceiver mode. Sampled on the rising edge of the clock." "0,1" hexmask.long.byte 0x8 1.--4. 1. "CHN_NO,Number of supported audio channels in TDM compatible interface mode. Written value equals channels number minus 1. Sampled on the rising edge of the clock" bitfld.long 0x8 0. "TDM_EN,Time Division Multiplexing audio interface enable. When HIGH audio interface works in TDM compatible mode determined by this register value. When LOW audio interface works in standard stereo I 2 S mode. Sampled on the rising edge of the clock." "0,1" line.long 0xC "TDM_FD_DIR,'Time Division Multiplexing Full-Duplex Mode Channels Direction Register'" bitfld.long 0xC 31. "CH15_RXEN,TDM interface channel 15 receive enable bit. Active only in full-duplex mode. When HIGH and ch15_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 15 time slot." "0,1" bitfld.long 0xC 30. "CH14_RXEN,TDM interface channel 14 receive enable bit. Active only in full-duplex mode. When HIGH and ch14_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 14 time slot." "0,1" bitfld.long 0xC 29. "CH13_RXEN,TDM interface channel 13 receive enable bit. Active only in full-duplex mode. When HIGH and ch13_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 13 time slot." "0,1" bitfld.long 0xC 28. "CH12_RXEN,TDM interface channel 12 receive enable bit. Active only in full-duplex mode. When HIGH and ch12_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 12 time slot." "0,1" bitfld.long 0xC 27. "CH11_RXEN,TDM interface channel 11 receive enable bit. Active only in full-duplex mode. When HIGH and ch11_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 11 time slot." "0,1" newline bitfld.long 0xC 26. "CH10_RXEN,TDM interface channel 10 receive enable bit. Active only in full-duplex mode. When HIGH and ch10_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 10 time slot." "0,1" bitfld.long 0xC 25. "CH9_RXEN,TDM interface channel 9 receive enable bit. Active only in full-duplex mode. When HIGH and ch9_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 9 time slot." "0,1" bitfld.long 0xC 24. "CH8_RXEN,TDM interface channel 8 receive enable bit. Active only in full-duplex mode. When HIGH and ch8_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 8 time slot." "0,1" bitfld.long 0xC 23. "CH7_RXEN,TDM interface channel 7 receive enable bit. Active only in full-duplex mode. When HIGH and ch7_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 7 time slot." "0,1" bitfld.long 0xC 22. "CH6_RXEN,TDM interface channel 6 receive enable bit. Active only in full-duplex mode. When HIGH and ch6_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 6 time slot." "0,1" newline bitfld.long 0xC 21. "CH5_RXEN,TDM interface channel 5 receive enable bit. Active only in full-duplex mode. When HIGH and ch5_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 5 time slot." "0,1" bitfld.long 0xC 20. "CH4_RXEN,TDM interface channel 4 receive enable bit. Active only in full-duplex mode. When HIGH and ch4_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 4 time slot." "0,1" bitfld.long 0xC 19. "CH3_RXEN,TDM interface channel 3 receive enable bit. Active only in full-duplex mode. When HIGH and ch3_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 3 time slot." "0,1" bitfld.long 0xC 18. "CH2_RXEN,TDM interface channel 2 receive enable bit. Active only in full-duplex mode. When HIGH and ch2_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 2 time slot." "0,1" bitfld.long 0xC 17. "CH1_RXEN,TDM interface channel 1 receive enable bit. Active only in full-duplex mode. When HIGH and ch1_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 1 time slot." "0,1" newline bitfld.long 0xC 16. "CH0_RXEN,TDM interface channel 0 receive enable bit. Active only in full-duplex mode. When HIGH and ch0_en bit in TDM_CTRL register is HIGH audio sample is received from sdi line at 0 time slot." "0,1" bitfld.long 0xC 15. "CH15_TXEN,TDM interface channel 15 transmit enable bit. Active only in full-duplex mode. When HIGH and ch15_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 15 time slot through sdo line." "0,1" bitfld.long 0xC 14. "CH14_TXEN,TDM interface channel 14 transmit enable bit. Active only in full-duplex mode. When HIGH and ch14_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 14 time slot through sdo line." "0,1" bitfld.long 0xC 13. "CH13_TXEN,TDM interface channel 13 transmit enable bit. Active only in full-duplex mode. When HIGH and ch13_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 13 time slot through sdo line." "0,1" bitfld.long 0xC 12. "CH12_TXEN,TDM interface channel 12 transmit enable bit. Active only in full-duplex mode. When HIGH and ch12_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 12 time slot through sdo line." "0,1" newline bitfld.long 0xC 11. "CH11_TXEN,TDM interface channel 11 transmit enable bit. Active only in full-duplex mode. When HIGH and ch11_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 11 time slot through sdo line." "0,1" bitfld.long 0xC 10. "CH10_TXEN,TDM interface channel 10 transmit enable bit. Active only in full-duplex mode. When HIGH and ch10_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 10 time slot through sdo line." "0,1" bitfld.long 0xC 9. "CH9_TXEN,TDM interface channel 9 transmit enable bit. Active only in full-duplex mode. When HIGH and ch9_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 9 time slot through sdo line." "0,1" bitfld.long 0xC 8. "CH8_TXEN,TDM interface channel 8 transmit enable bit. Active only in full-duplex mode. When HIGH and ch8_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 8 time slot through sdo line." "0,1" bitfld.long 0xC 7. "CH7_TXEN,TDM interface channel 7 transmit enable bit. Active only in full-duplex mode. When HIGH and ch7_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 7 time slot through sdo line." "0,1" newline bitfld.long 0xC 6. "CH6_TXEN,TDM interface channel 6 transmit enable bit. Active only in full-duplex mode. When HIGH and ch6_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 6 time slot through sdo line." "0,1" bitfld.long 0xC 5. "CH5_TXEN,TDM interface channel 5 transmit enable bit. Active only in full-duplex mode. When HIGH and ch5_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 5 time slot through sdo line." "0,1" bitfld.long 0xC 4. "CH4_TXEN,TDM interface channel 4 transmit enable bit. Active only in full-duplex mode. When HIGH and ch4_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 4 time slot through sdo line." "0,1" bitfld.long 0xC 3. "CH3_TXEN,TDM interface channel 3 transmit enable bit. Active only in full-duplex mode. When HIGH and ch3_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 3 time slot through sdo line." "0,1" bitfld.long 0xC 2. "CH2_TXEN,TDM interface channel 2 transmit enable bit. Active only in full-duplex mode. When HIGH and ch2_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 2 time slot through sdo line." "0,1" newline bitfld.long 0xC 1. "CH1_TXEN,TDM interface channel 1 transmit enable bit. Active only in full-duplex mode. When HIGH and ch1_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 1 time slot through sdo line." "0,1" bitfld.long 0xC 0. "CH0_TXEN,TDM interface channel 0 transmit enable bit. Active only in full-duplex mode. When HIGH and ch0_en bit in TDM_CTRL register is HIGH audio sample is transmitted at 0 time slot through sdo line." "0,1" tree.end repeat.end endif tree.end tree "IRAMC (IRAM Controller)" sif (CORENAME()=="CORTEXR5F") repeat 5. (increment 1. 1.) (list ad:0xF01F0000 ad:0xF0710000 ad:0xF0720000 ad:0xF0730000 ad:0xF0CB0000) tree "IRAMC$1" base $2 group.long 0x0++0x23 line.long 0x0 "MEM_ERR_INT_STATUS,Memory ECC error interrupt status" bitfld.long 0x0 3. "RDATA_FATAL,It can report memory ECC correction issue and protect normal rdata internal path in iram_ctrl" "0,1" bitfld.long 0x0 2. "WR_RDATA_FATAL,It can report memory ECC correction issue and protect read-modify-write rdata internal path in iram_ctrl" "0,1" bitfld.long 0x0 1. "MUL_ERR,Indicate double bit errors are detected." "0,1" bitfld.long 0x0 0. "SIG_ERR,Indicate single bit error is detected." "0,1" line.long 0x4 "MEM_ERR_INT_STA_EN,Memory ECC error interrupt status enable" bitfld.long 0x4 3. "RDATA_FATAL_EN,Fatal normal rdata interrupt status enable." "0,1" bitfld.long 0x4 2. "WR_RDATA_FATAL_EN,Fatal read-modify-write rdata interrupt status enable." "0,1" bitfld.long 0x4 1. "MUL_ERR_EN,Multiple bit error interrupt status enable." "0,1" bitfld.long 0x4 0. "SIG_ERR_EN,Single bit error interrupt status enable." "0,1" line.long 0x8 "MEM_ERR_INT_SIG_EN,Memory ECC error interrupt signal enable" bitfld.long 0x8 3. "RDATA_FATAL_EN,Fatal normal rdata interrupt signal enable" "0,1" bitfld.long 0x8 2. "WR_RDATA_FATAL_EN,Fatal read-modify-write rdata interrupt signal enable." "0,1" bitfld.long 0x8 1. "MUL_ERR_EN,Multiple bits errors interrupt signal enable." "0,1" bitfld.long 0x8 0. "SIG_ERR_EN,Single bit error interrupt signal enable." "0,1" line.long 0xC "UNCERR_INT_STA,AXI bus uncorrectable error interrupt status" bitfld.long 0xC 31. "BREADY,Uncorrectable error for BREADY" "0,1" bitfld.long 0xC 27. "WSTRB_FATAL,It can report BIP ECC correction issue and protect wstrb internal path in iram_ctrl" "0,1" bitfld.long 0xC 26. "WDATA_FATAL,It can report BIP ECC correction issue and protect wdata internal path in iram_ctrl" "0,1" bitfld.long 0xC 25. "WVALID,Uncorrectable error for WVALID" "0,1" newline bitfld.long 0xC 24. "WEOBI,Uncorrectable error for WEOBI" "0,1" bitfld.long 0xC 22. "WCTL,Uncorrectable error for WCTL(WLAST WSTRB)" "0,1" bitfld.long 0xC 21. "WDATA,Uncorrectable error for WDATA" "0,1" bitfld.long 0xC 20. "RREADY,Uncorrectable error for RREADY" "0,1" newline bitfld.long 0xC 12. "AWVALID,Uncorrectable error for AWVALID" "0,1" bitfld.long 0xC 10. "AWCTL1,Uncorrectable error for AWCTL1(AWLOCK AWBURST AWSIZE AWLEN)" "0,1" newline bitfld.long 0xC 8. "AWADDR,Uncorrectable error for AWADDR" "0,1" bitfld.long 0xC 7. "AWID,Uncorrectable error for AWID" "0,1" bitfld.long 0xC 5. "ARVALID,Uncorrectable error for ARVALID" "0,1" newline bitfld.long 0xC 3. "ARCTL1,Uncorrectable error for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0xC 1. "ARADDR,Uncorrectable error for ARADDR" "0,1" bitfld.long 0xC 0. "ARID,Uncorrectable error for ARID" "0,1" line.long 0x10 "UNCERR_INT_STA_EN,AXI bus uncorrectable error interrupt status enable" bitfld.long 0x10 31. "BREADY,Status enable for BREADY uncorrectable error interrupt." "0,1" bitfld.long 0x10 27. "WSTRB_FATAL,Status enable for WSTRB_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x10 26. "WDATA_FATAL,Status enable for WDATA_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x10 25. "WVALID,Status enable for WVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 24. "WEOBI,Status enable for WEOBI uncorrectable error interrupt." "0,1" bitfld.long 0x10 22. "WCTL,Status enable for WCTL(WLAST WSTRB) uncorrectable error interrupt." "0,1" bitfld.long 0x10 21. "WDATA,Status enable for WDATA uncorrectable error interrupt." "0,1" bitfld.long 0x10 20. "RREADY,Status enable for RREADY uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 12. "AWVALID,Status enable for AWVALID uncorrectable error interrupt." "0,1" bitfld.long 0x10 10. "AWCTL1,Status enable for AWCTL1(AWLOCK AWBURST AWSIZE AWLEN) uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 8. "AWADDR,Status enable for AWADDR uncorrectable error interrupt." "0,1" bitfld.long 0x10 7. "AWID,Status enable for AWID uncorrectable error interrupt." "0,1" bitfld.long 0x10 5. "ARVALID,Status enable for ARVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 3. "ARCTL1,Status enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN) uncorrectable error interrupt." "0,1" bitfld.long 0x10 1. "ARADDR,Status enable for ARADDR uncorrectable error interrupt." "0,1" bitfld.long 0x10 0. "ARID,Status enable for ARID uncorrectable error interrupt." "0,1" line.long 0x14 "UNCERR_INT_SIG_EN,AXI bus uncorrectable error interrupt signal enable" bitfld.long 0x14 31. "BREADY,Signal enable for BREADY uncorrectable error interrupt." "0,1" bitfld.long 0x14 27. "WSTRB_FATAL,Signal enable for WSTRB_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x14 26. "WDATA_FATAL,Signal enable for WDATA_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x14 25. "WVALID,Signal enable for WVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 24. "WEOBI,Signal enable for WEOBI uncorrectable error interrupt." "0,1" bitfld.long 0x14 22. "WCTL,Signal enable for WCTL(WLAST WSTRB) uncorrectable error interrupt." "0,1" bitfld.long 0x14 21. "WDATA,Signal enable for WDATA uncorrectable error interrupt." "0,1" bitfld.long 0x14 20. "RREADY,Signal enable for RREADY uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 12. "AWVALID,Signal enable for AWVALID uncorrectable error interrupt." "0,1" bitfld.long 0x14 10. "AWCTL1,Signal enable for AWCTL1(AWLOCK AWBURST AWSIZE AWLEN) uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 8. "AWADDR,Signal enable for AWADDR uncorrectable error interrupt." "0,1" bitfld.long 0x14 7. "AWID,Signal enable for AWID uncorrectable error interrupt." "0,1" bitfld.long 0x14 5. "ARVALID,Signal enable for ARVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 3. "ARCTL1,Signal enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN) uncorrectable error interrupt." "0,1" bitfld.long 0x14 1. "ARADDR,Signal enable for ARADDR uncorrectable error interrupt." "0,1" bitfld.long 0x14 0. "ARID,Signal enable for ARID uncorrectable error interrupt." "0,1" line.long 0x18 "CORERR_INT_STA,AXI bus correctable error interrupt status" bitfld.long 0x18 3. "WDATA,Correctable error interrupt status for WDATA." "0,1" bitfld.long 0x18 1. "AWADDR,Correctable error interrupt status for AWADDR" "0,1" bitfld.long 0x18 0. "ARADDR,Correctable error interrupt status for ARADDR" "0,1" line.long 0x1C "CORERR_INT_STA_EN,AXI bus correctable error interrupt status enable" bitfld.long 0x1C 3. "WDATA,Status enable for WDATA correctable erorr interrupt." "0,1" bitfld.long 0x1C 1. "AWADDR,Status enable for AWADDR correctable erorr interrupt." "0,1" bitfld.long 0x1C 0. "ARADDR,Status enable for ARADDR correctable erorr interrupt." "0,1" line.long 0x20 "CORERR_INT_SIG_EN,AXI bus correctable error interrupt signal enable" bitfld.long 0x20 3. "WDATA,Signal enable for WDATA correctable erorr interrupt." "0,1" bitfld.long 0x20 1. "AWADDR,Signal enable for AWADDRcorrectable erorr interrupt." "0,1" bitfld.long 0x20 0. "ARADDR,Signal enable for ARADDR correctable erorr interrupt." "0,1" rgroup.long 0x40++0x27 line.long 0x0 "SIG_ERR_ADDR,This register is used to store access address when detect first single bit error." hexmask.long 0x0 0.--31. 1. "ADDR,Error addr" line.long 0x4 "SIG_ERR_DATA_31_0,This register is used to store error data[31:0] when detect first single bit error." hexmask.long 0x4 0.--31. 1. "DATA,Error data" line.long 0x8 "SIG_ERR_DATA_63_32,This register is used to store error data[63:32] when detect first single bit error." hexmask.long 0x8 0.--31. 1. "DATA,Error data" line.long 0xC "SIG_ERR_DATA_95_64,This register is used to store error data[95:64] when detect first single bit error." hexmask.long 0xC 0.--31. 1. "DATA,Error data" line.long 0x10 "SIG_ERR_DATA_127_96,This register is used to store error data[127:96] when detect first single bit error." hexmask.long 0x10 0.--31. 1. "DATA,Error data" line.long 0x14 "MUL_ERR_ADDR,This register is used to store access address when detect first multiple bits error." hexmask.long 0x14 0.--31. 1. "ADDR,Error address" line.long 0x18 "MUL_ERR_DATA_31_0,This register is used to store error data[31:0] when detect first multiple bits error." hexmask.long 0x18 0.--31. 1. "DATA,Error data" line.long 0x1C "MUL_ERR_DATA_63_32,This register is used to store error data[63:31] when detect first multiple bits error." hexmask.long 0x1C 0.--31. 1. "DATA,Error data" line.long 0x20 "MUL_ERR_DATA_95_64,This register is used to store error data[95:64] when detect first multiple bits error." hexmask.long 0x20 0.--31. 1. "DATA,Error data" line.long 0x24 "MUL_ERR_DATA_127_96,This register is used to store error data[127:96] when detect first multiple bits error." hexmask.long 0x24 0.--31. 1. "DATA,Error data" group.long 0x80++0x3 line.long 0x0 "DATA_INIT,This register is used to initializate all the ram data and ecc data" bitfld.long 0x0 31. "LOCK,Once this bit is set INIT and this bit can be not be written unless IRAM_CTRL is reset" "0,1" bitfld.long 0x0 0. "INIT,After this bit is set iram_ctrl will automatically initialize all the ram data and ecc data to 0. Once initialization is completed this bit will be auto cleared." "0,1" group.long 0x100++0x4F line.long 0x0 "BANK0_DATA_31_0_INJ,This register provides error injection on bank0 read data[31:0]" hexmask.long 0x0 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x4 "BANK0_DATA_63_32_INJ,This register provides error injection on bank0 read data[63:32]" hexmask.long 0x4 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x8 "BANK0_DATA_95_64_INJ,This register provides error injection on bank0 read data[95:64]" hexmask.long 0x8 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0xC "BANK0_DATA_127_96_INJ,This register provides error injection on bank0 read data[127:96]" hexmask.long 0xC 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x10 "BANK1_DATA_31_0_INJ,This register provides error injection on bank1 read data[31:0]" hexmask.long 0x10 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x14 "BANK1_DATA_63_32_INJ,This register provides error injection on bank1 read data[63:32]" hexmask.long 0x14 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x18 "BANK1_DATA_95_64_INJ,This register provides error injection on bank1 read data[95:64]" hexmask.long 0x18 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x1C "BANK1_DATA_127_96_INJ,This register provides error injection on bank1 read data[127:96]" hexmask.long 0x1C 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x20 "BANK2_DATA_31_0_INJ,This register provides error injection on bank2 read data[31:0]" hexmask.long 0x20 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x24 "BANK2_DATA_63_32_INJ,This register provides error injection on bank2 read data[63:32]" hexmask.long 0x24 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x28 "BANK2_DATA_95_64_INJ,This register provides error injection on bank2 read data[95:64]" hexmask.long 0x28 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x2C "BANK2_DATA_127_96_INJ,This register provides error injection on bank2 read data[127:96]" hexmask.long 0x2C 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x30 "BANK3_DATA_31_0_INJ,This register provides error injection on bank3 read data[31:0]" hexmask.long 0x30 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x34 "BANK3_DATA_63_32_INJ,This register provides error injection on bank3 read data[63:32]" hexmask.long 0x34 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x38 "BANK3_DATA_95_64_INJ,This register provides error injection on bank0 read data[95:64]" hexmask.long 0x38 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x3C "BANK3_DATA_127_96_INJ,This register provides error injection on bank3 read data[127:96]" hexmask.long 0x3C 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x40 "BANK0_ECC_INJ,This register provides error injection on bank0 ecc code" hexmask.long.byte 0x40 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x40 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" line.long 0x44 "BANK1_ECC_INJ,This register provides error injection on bank1 ecc code" hexmask.long.byte 0x44 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x44 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" line.long 0x48 "BANK2_ECC_INJ,This register provides error injection on bank2 ecc code" hexmask.long.byte 0x48 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x48 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" line.long 0x4C "BANK3_ECC_INJ,This register provides error injection on bank3 ecc code" hexmask.long.byte 0x4C 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x4C 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" group.long 0x200++0x13 line.long 0x0 "RDATA_31_0_INJ,This register provides error injection on secded monitor read data[31:0]" hexmask.long 0x0 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x4 "RDATA_63_32_INJ,This register provides error injection on secded monitor read data[63:31]" hexmask.long 0x4 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x8 "RDATA_95_64_INJ,This register provides error injection on secded monitor read data[95:32]" hexmask.long 0x8 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0xC "RDATA_127_96_INJ,This register provides error injection on secded monitor read data[127:96]" hexmask.long 0xC 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x10 "RECC_INJ,This register provides error injection on secded monitor read ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" group.long 0x220++0x13 line.long 0x0 "WDATA_31_0_INJ,This register provides error injection on secded monitor wdata data[31:0]" hexmask.long 0x0 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x4 "WDATA_63_32_INJ,This register provides error injection on secded monitor wdata data[63:32]" hexmask.long 0x4 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x8 "WDATA_95_64_INJ,This register provides error injection on secded monitor wdata data[95:64]" hexmask.long 0x8 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0xC "WDATA_127_96_INJ,This register provides error injection on secded monitor wdata data[127:96]" hexmask.long 0xC 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x10 "WECC_INJ,This register provides error injection on secded monitor write ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" group.long 0x240++0x3 line.long 0x0 "WSTRB_INJ,This register provides error injection on ded monitor wstrb and wctl code" hexmask.long.byte 0x0 16.--20. 1. "WCTLCODE_INJ,Error injection on wctlcode" hexmask.long.word 0x0 0.--15. 1. "WTSTRB_INJ,Error injection on wstrb data" tree.end repeat.end elif (CORENAME()=="CORTEXA55") repeat 5. (increment 1. 1.) (list ad:0x301F0000 ad:0x30710000 ad:0x30720000 ad:0x30730000 ad:0x30CB0000) tree "IRAMC$1" base $2 group.long 0x0++0x23 line.long 0x0 "MEM_ERR_INT_STATUS,Memory ECC error interrupt status" bitfld.long 0x0 3. "RDATA_FATAL,It can report memory ECC correction issue and protect normal rdata internal path in iram_ctrl" "0,1" bitfld.long 0x0 2. "WR_RDATA_FATAL,It can report memory ECC correction issue and protect read-modify-write rdata internal path in iram_ctrl" "0,1" bitfld.long 0x0 1. "MUL_ERR,Indicate double bit errors are detected." "0,1" bitfld.long 0x0 0. "SIG_ERR,Indicate single bit error is detected." "0,1" line.long 0x4 "MEM_ERR_INT_STA_EN,Memory ECC error interrupt status enable" bitfld.long 0x4 3. "RDATA_FATAL_EN,Fatal normal rdata interrupt status enable." "0,1" bitfld.long 0x4 2. "WR_RDATA_FATAL_EN,Fatal read-modify-write rdata interrupt status enable." "0,1" bitfld.long 0x4 1. "MUL_ERR_EN,Multiple bit error interrupt status enable." "0,1" bitfld.long 0x4 0. "SIG_ERR_EN,Single bit error interrupt status enable." "0,1" line.long 0x8 "MEM_ERR_INT_SIG_EN,Memory ECC error interrupt signal enable" bitfld.long 0x8 3. "RDATA_FATAL_EN,Fatal normal rdata interrupt signal enable" "0,1" bitfld.long 0x8 2. "WR_RDATA_FATAL_EN,Fatal read-modify-write rdata interrupt signal enable." "0,1" bitfld.long 0x8 1. "MUL_ERR_EN,Multiple bits errors interrupt signal enable." "0,1" bitfld.long 0x8 0. "SIG_ERR_EN,Single bit error interrupt signal enable." "0,1" line.long 0xC "UNCERR_INT_STA,AXI bus uncorrectable error interrupt status" bitfld.long 0xC 31. "BREADY,Uncorrectable error for BREADY" "0,1" bitfld.long 0xC 27. "WSTRB_FATAL,It can report BIP ECC correction issue and protect wstrb internal path in iram_ctrl" "0,1" bitfld.long 0xC 26. "WDATA_FATAL,It can report BIP ECC correction issue and protect wdata internal path in iram_ctrl" "0,1" bitfld.long 0xC 25. "WVALID,Uncorrectable error for WVALID" "0,1" newline bitfld.long 0xC 24. "WEOBI,Uncorrectable error for WEOBI" "0,1" bitfld.long 0xC 22. "WCTL,Uncorrectable error for WCTL(WLAST WSTRB)" "0,1" bitfld.long 0xC 21. "WDATA,Uncorrectable error for WDATA" "0,1" bitfld.long 0xC 20. "RREADY,Uncorrectable error for RREADY" "0,1" newline bitfld.long 0xC 12. "AWVALID,Uncorrectable error for AWVALID" "0,1" bitfld.long 0xC 10. "AWCTL1,Uncorrectable error for AWCTL1(AWLOCK AWBURST AWSIZE AWLEN)" "0,1" newline bitfld.long 0xC 8. "AWADDR,Uncorrectable error for AWADDR" "0,1" bitfld.long 0xC 7. "AWID,Uncorrectable error for AWID" "0,1" bitfld.long 0xC 5. "ARVALID,Uncorrectable error for ARVALID" "0,1" newline bitfld.long 0xC 3. "ARCTL1,Uncorrectable error for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0xC 1. "ARADDR,Uncorrectable error for ARADDR" "0,1" bitfld.long 0xC 0. "ARID,Uncorrectable error for ARID" "0,1" line.long 0x10 "UNCERR_INT_STA_EN,AXI bus uncorrectable error interrupt status enable" bitfld.long 0x10 31. "BREADY,Status enable for BREADY uncorrectable error interrupt." "0,1" bitfld.long 0x10 27. "WSTRB_FATAL,Status enable for WSTRB_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x10 26. "WDATA_FATAL,Status enable for WDATA_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x10 25. "WVALID,Status enable for WVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 24. "WEOBI,Status enable for WEOBI uncorrectable error interrupt." "0,1" bitfld.long 0x10 22. "WCTL,Status enable for WCTL(WLAST WSTRB) uncorrectable error interrupt." "0,1" bitfld.long 0x10 21. "WDATA,Status enable for WDATA uncorrectable error interrupt." "0,1" bitfld.long 0x10 20. "RREADY,Status enable for RREADY uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 12. "AWVALID,Status enable for AWVALID uncorrectable error interrupt." "0,1" bitfld.long 0x10 10. "AWCTL1,Status enable for AWCTL1(AWLOCK AWBURST AWSIZE AWLEN) uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 8. "AWADDR,Status enable for AWADDR uncorrectable error interrupt." "0,1" bitfld.long 0x10 7. "AWID,Status enable for AWID uncorrectable error interrupt." "0,1" bitfld.long 0x10 5. "ARVALID,Status enable for ARVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x10 3. "ARCTL1,Status enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN) uncorrectable error interrupt." "0,1" bitfld.long 0x10 1. "ARADDR,Status enable for ARADDR uncorrectable error interrupt." "0,1" bitfld.long 0x10 0. "ARID,Status enable for ARID uncorrectable error interrupt." "0,1" line.long 0x14 "UNCERR_INT_SIG_EN,AXI bus uncorrectable error interrupt signal enable" bitfld.long 0x14 31. "BREADY,Signal enable for BREADY uncorrectable error interrupt." "0,1" bitfld.long 0x14 27. "WSTRB_FATAL,Signal enable for WSTRB_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x14 26. "WDATA_FATAL,Signal enable for WDATA_FATAL uncorrectable error interrupt." "0,1" bitfld.long 0x14 25. "WVALID,Signal enable for WVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 24. "WEOBI,Signal enable for WEOBI uncorrectable error interrupt." "0,1" bitfld.long 0x14 22. "WCTL,Signal enable for WCTL(WLAST WSTRB) uncorrectable error interrupt." "0,1" bitfld.long 0x14 21. "WDATA,Signal enable for WDATA uncorrectable error interrupt." "0,1" bitfld.long 0x14 20. "RREADY,Signal enable for RREADY uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 12. "AWVALID,Signal enable for AWVALID uncorrectable error interrupt." "0,1" bitfld.long 0x14 10. "AWCTL1,Signal enable for AWCTL1(AWLOCK AWBURST AWSIZE AWLEN) uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 8. "AWADDR,Signal enable for AWADDR uncorrectable error interrupt." "0,1" bitfld.long 0x14 7. "AWID,Signal enable for AWID uncorrectable error interrupt." "0,1" bitfld.long 0x14 5. "ARVALID,Signal enable for ARVALID uncorrectable error interrupt." "0,1" newline bitfld.long 0x14 3. "ARCTL1,Signal enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN) uncorrectable error interrupt." "0,1" bitfld.long 0x14 1. "ARADDR,Signal enable for ARADDR uncorrectable error interrupt." "0,1" bitfld.long 0x14 0. "ARID,Signal enable for ARID uncorrectable error interrupt." "0,1" line.long 0x18 "CORERR_INT_STA,AXI bus correctable error interrupt status" bitfld.long 0x18 3. "WDATA,Correctable error interrupt status for WDATA." "0,1" bitfld.long 0x18 1. "AWADDR,Correctable error interrupt status for AWADDR" "0,1" bitfld.long 0x18 0. "ARADDR,Correctable error interrupt status for ARADDR" "0,1" line.long 0x1C "CORERR_INT_STA_EN,AXI bus correctable error interrupt status enable" bitfld.long 0x1C 3. "WDATA,Status enable for WDATA correctable erorr interrupt." "0,1" bitfld.long 0x1C 1. "AWADDR,Status enable for AWADDR correctable erorr interrupt." "0,1" bitfld.long 0x1C 0. "ARADDR,Status enable for ARADDR correctable erorr interrupt." "0,1" line.long 0x20 "CORERR_INT_SIG_EN,AXI bus correctable error interrupt signal enable" bitfld.long 0x20 3. "WDATA,Signal enable for WDATA correctable erorr interrupt." "0,1" bitfld.long 0x20 1. "AWADDR,Signal enable for AWADDRcorrectable erorr interrupt." "0,1" bitfld.long 0x20 0. "ARADDR,Signal enable for ARADDR correctable erorr interrupt." "0,1" rgroup.long 0x40++0x27 line.long 0x0 "SIG_ERR_ADDR,This register is used to store access address when detect first single bit error." hexmask.long 0x0 0.--31. 1. "ADDR,Error addr" line.long 0x4 "SIG_ERR_DATA_31_0,This register is used to store error data[31:0] when detect first single bit error." hexmask.long 0x4 0.--31. 1. "DATA,Error data" line.long 0x8 "SIG_ERR_DATA_63_32,This register is used to store error data[63:32] when detect first single bit error." hexmask.long 0x8 0.--31. 1. "DATA,Error data" line.long 0xC "SIG_ERR_DATA_95_64,This register is used to store error data[95:64] when detect first single bit error." hexmask.long 0xC 0.--31. 1. "DATA,Error data" line.long 0x10 "SIG_ERR_DATA_127_96,This register is used to store error data[127:96] when detect first single bit error." hexmask.long 0x10 0.--31. 1. "DATA,Error data" line.long 0x14 "MUL_ERR_ADDR,This register is used to store access address when detect first multiple bits error." hexmask.long 0x14 0.--31. 1. "ADDR,Error address" line.long 0x18 "MUL_ERR_DATA_31_0,This register is used to store error data[31:0] when detect first multiple bits error." hexmask.long 0x18 0.--31. 1. "DATA,Error data" line.long 0x1C "MUL_ERR_DATA_63_32,This register is used to store error data[63:31] when detect first multiple bits error." hexmask.long 0x1C 0.--31. 1. "DATA,Error data" line.long 0x20 "MUL_ERR_DATA_95_64,This register is used to store error data[95:64] when detect first multiple bits error." hexmask.long 0x20 0.--31. 1. "DATA,Error data" line.long 0x24 "MUL_ERR_DATA_127_96,This register is used to store error data[127:96] when detect first multiple bits error." hexmask.long 0x24 0.--31. 1. "DATA,Error data" group.long 0x80++0x3 line.long 0x0 "DATA_INIT,This register is used to initializate all the ram data and ecc data" bitfld.long 0x0 31. "LOCK,Once this bit is set INIT and this bit can be not be written unless IRAM_CTRL is reset" "0,1" bitfld.long 0x0 0. "INIT,After this bit is set iram_ctrl will automatically initialize all the ram data and ecc data to 0. Once initialization is completed this bit will be auto cleared." "0,1" group.long 0x100++0x4F line.long 0x0 "BANK0_DATA_31_0_INJ,This register provides error injection on bank0 read data[31:0]" hexmask.long 0x0 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x4 "BANK0_DATA_63_32_INJ,This register provides error injection on bank0 read data[63:32]" hexmask.long 0x4 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x8 "BANK0_DATA_95_64_INJ,This register provides error injection on bank0 read data[95:64]" hexmask.long 0x8 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0xC "BANK0_DATA_127_96_INJ,This register provides error injection on bank0 read data[127:96]" hexmask.long 0xC 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x10 "BANK1_DATA_31_0_INJ,This register provides error injection on bank1 read data[31:0]" hexmask.long 0x10 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x14 "BANK1_DATA_63_32_INJ,This register provides error injection on bank1 read data[63:32]" hexmask.long 0x14 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x18 "BANK1_DATA_95_64_INJ,This register provides error injection on bank1 read data[95:64]" hexmask.long 0x18 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x1C "BANK1_DATA_127_96_INJ,This register provides error injection on bank1 read data[127:96]" hexmask.long 0x1C 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x20 "BANK2_DATA_31_0_INJ,This register provides error injection on bank2 read data[31:0]" hexmask.long 0x20 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x24 "BANK2_DATA_63_32_INJ,This register provides error injection on bank2 read data[63:32]" hexmask.long 0x24 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x28 "BANK2_DATA_95_64_INJ,This register provides error injection on bank2 read data[95:64]" hexmask.long 0x28 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x2C "BANK2_DATA_127_96_INJ,This register provides error injection on bank2 read data[127:96]" hexmask.long 0x2C 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x30 "BANK3_DATA_31_0_INJ,This register provides error injection on bank3 read data[31:0]" hexmask.long 0x30 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x34 "BANK3_DATA_63_32_INJ,This register provides error injection on bank3 read data[63:32]" hexmask.long 0x34 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x38 "BANK3_DATA_95_64_INJ,This register provides error injection on bank0 read data[95:64]" hexmask.long 0x38 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x3C "BANK3_DATA_127_96_INJ,This register provides error injection on bank3 read data[127:96]" hexmask.long 0x3C 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x40 "BANK0_ECC_INJ,This register provides error injection on bank0 ecc code" hexmask.long.byte 0x40 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x40 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" line.long 0x44 "BANK1_ECC_INJ,This register provides error injection on bank1 ecc code" hexmask.long.byte 0x44 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x44 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" line.long 0x48 "BANK2_ECC_INJ,This register provides error injection on bank2 ecc code" hexmask.long.byte 0x48 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x48 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" line.long 0x4C "BANK3_ECC_INJ,This register provides error injection on bank3 ecc code" hexmask.long.byte 0x4C 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x4C 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" group.long 0x200++0x13 line.long 0x0 "RDATA_31_0_INJ,This register provides error injection on secded monitor read data[31:0]" hexmask.long 0x0 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x4 "RDATA_63_32_INJ,This register provides error injection on secded monitor read data[63:31]" hexmask.long 0x4 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x8 "RDATA_95_64_INJ,This register provides error injection on secded monitor read data[95:32]" hexmask.long 0x8 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0xC "RDATA_127_96_INJ,This register provides error injection on secded monitor read data[127:96]" hexmask.long 0xC 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x10 "RECC_INJ,This register provides error injection on secded monitor read ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" group.long 0x220++0x13 line.long 0x0 "WDATA_31_0_INJ,This register provides error injection on secded monitor wdata data[31:0]" hexmask.long 0x0 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x4 "WDATA_63_32_INJ,This register provides error injection on secded monitor wdata data[63:32]" hexmask.long 0x4 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x8 "WDATA_95_64_INJ,This register provides error injection on secded monitor wdata data[95:64]" hexmask.long 0x8 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0xC "WDATA_127_96_INJ,This register provides error injection on secded monitor wdata data[127:96]" hexmask.long 0xC 0.--31. 1. "DATA_INJ,Error injection on read data" line.long 0x10 "WECC_INJ,This register provides error injection on secded monitor write ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits read data" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits read data" group.long 0x240++0x3 line.long 0x0 "WSTRB_INJ,This register provides error injection on ded monitor wstrb and wctl code" hexmask.long.byte 0x0 16.--20. 1. "WCTLCODE_INJ,Error injection on wctlcode" hexmask.long.word 0x0 0.--15. 1. "WTSTRB_INJ,Error injection on wstrb data" tree.end repeat.end endif tree.end tree "LVDS (LVDS Interface)" sif (CORENAME()=="CORTEXR5F") tree "LVDS_COMMON" base ad:0xF0C40000 group.long 0x0++0xF line.long 0x0 "SOFT_RESET,lvds softreset" bitfld.long 0x0 6. "TRIM_MODE,trim_mode 1: from fuse 0: form REG" "form REG,from fuse" bitfld.long 0x0 5. "RTE_CTL,rte_ctl control io" "0,1" newline bitfld.long 0x0 4. "COMBINE_SOFT_RESET,combine SOFT_RESET" "0,1" bitfld.long 0x0 3. "CH3_SOFT_RESET,CH4 SOFT_RESET" "0,1" bitfld.long 0x0 2. "CH2_SOFT_RESET,CH3 SOFT_RESET" "0,1" newline bitfld.long 0x0 1. "CH1_SOFT_RESET,CH2 SOFT_RESET" "0,1" bitfld.long 0x0 0. "CH0_SOFT_RESET,CH0 SOFT_RESET" "0,1" line.long 0x4 "MUX_SEL,lvds subsyestem mux sel" bitfld.long 0x4 19.--20. "MUX8_SEL,MUX8_SEL group2 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 17.--18. "MUX7_SEL,MUX7_SEL group2 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" newline bitfld.long 0x4 15.--16. "MUX6_SEL,MUX6_SEL group 1 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 13.--14. "MUX5_SEL,MUX5_SEL group 1 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 12. "MUX4_SEL,MUX4_SEL pixel combine 0: DC1 and DC2 1: DC3 and DC4" "DC1 and DC2,DC3 and DC4" newline bitfld.long 0x4 9.--11. "MUX3_SEL,MUX0_SEL :group 2 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 6.--8. "MUX2_SEL,MUX0_SEL :group 2 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 3.--5. "MUX1_SEL,MUX1_SEL :group 1 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" newline bitfld.long 0x4 0.--2. "MUX0_SEL,MUX0_SEL :group1 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" line.long 0x8 "LVDS_COMBINE,lvds_combine" bitfld.long 0x8 29. "ENABLE,lvde combine enable" "0,1" bitfld.long 0x8 28. "COMBINE_MODE,lvds combine mode 0:mode 0 1:mode 1" "mode 0,mode 1" newline bitfld.long 0x8 27. "DATA_EN_POL,data_en polarity" "0,1" bitfld.long 0x8 26. "HSYNC_POL,hsync polarity" "0,1" bitfld.long 0x8 25. "VSYNC_POL,vsync polarity" "0,1" newline bitfld.long 0x8 24. "CH_SWAP,channel swap" "0,1" hexmask.long.byte 0x8 16.--23. 1. "GAP,skip gap" hexmask.long.word 0x8 0.--15. 1. "VLD_HEIGHT,valid height" line.long 0xC "LVDS_BIAS_SET,lvds BIAS setting" bitfld.long 0xC 2.--3. "BIAS_TRIM,bias_trim" "0,1,2,3" bitfld.long 0xC 1. "BIAS_SELVDD,bias_selvdd" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,BIAS_EN" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0x100)++0x3 line.long 0x0 "TEST_CFG_$1,test data/enable configure" bitfld.long 0x0 7. "ENABLE,test cfg enable" "0,1" hexmask.long.byte 0x0 0.--6. 1. "DATA,test cfg data" repeat.end group.long 0x10000++0xB line.long 0x0 "LVDS_CH_0,lvds ch0 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_0,lvds 0 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH0_PAD_COM_SET,lvds ch0 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x10010++0x13 line.long 0x0 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x20000++0xB line.long 0x0 "LVDS_CH_1,lvds ch1 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_1,lvds 1 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH1_PAD_COM_SET,lvds ch1 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x20010++0x13 line.long 0x0 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x30000++0xB line.long 0x0 "LVDS_CH_2,lvds ch2 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_2,lvds 2 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH2_PAD_COM_SET,lvds ch2 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x30010++0x13 line.long 0x0 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x40000++0xB line.long 0x0 "LVDS_CH_3,lvds ch3 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_3,lvds 3 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH3_PAD_COM_SET,lvds ch3 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x40010++0x13 line.long 0x0 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" tree.end repeat 4. (increment 1. 1) (list ad:0xF0C50000 ad:0xF0C60000 ad:0xF0C70000 ad:0xF0C80000) tree "LVDS$1" base $2 group.long 0x0++0xF line.long 0x0 "SOFT_RESET,lvds softreset" bitfld.long 0x0 6. "TRIM_MODE,trim_mode 1: from fuse 0: form REG" "form REG,from fuse" bitfld.long 0x0 5. "RTE_CTL,rte_ctl control io" "0,1" newline bitfld.long 0x0 4. "COMBINE_SOFT_RESET,combine SOFT_RESET" "0,1" bitfld.long 0x0 3. "CH3_SOFT_RESET,CH4 SOFT_RESET" "0,1" bitfld.long 0x0 2. "CH2_SOFT_RESET,CH3 SOFT_RESET" "0,1" newline bitfld.long 0x0 1. "CH1_SOFT_RESET,CH2 SOFT_RESET" "0,1" bitfld.long 0x0 0. "CH0_SOFT_RESET,CH0 SOFT_RESET" "0,1" line.long 0x4 "MUX_SEL,lvds subsyestem mux sel" bitfld.long 0x4 19.--20. "MUX8_SEL,MUX8_SEL group2 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 17.--18. "MUX7_SEL,MUX7_SEL group2 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" newline bitfld.long 0x4 15.--16. "MUX6_SEL,MUX6_SEL group 1 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 13.--14. "MUX5_SEL,MUX5_SEL group 1 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 12. "MUX4_SEL,MUX4_SEL pixel combine 0: DC1 and DC2 1: DC3 and DC4" "DC1 and DC2,DC3 and DC4" newline bitfld.long 0x4 9.--11. "MUX3_SEL,MUX0_SEL :group 2 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 6.--8. "MUX2_SEL,MUX0_SEL :group 2 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 3.--5. "MUX1_SEL,MUX1_SEL :group 1 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" newline bitfld.long 0x4 0.--2. "MUX0_SEL,MUX0_SEL :group1 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" line.long 0x8 "LVDS_COMBINE,lvds_combine" bitfld.long 0x8 29. "ENABLE,lvde combine enable" "0,1" bitfld.long 0x8 28. "COMBINE_MODE,lvds combine mode 0:mode 0 1:mode 1" "mode 0,mode 1" newline bitfld.long 0x8 27. "DATA_EN_POL,data_en polarity" "0,1" bitfld.long 0x8 26. "HSYNC_POL,hsync polarity" "0,1" bitfld.long 0x8 25. "VSYNC_POL,vsync polarity" "0,1" newline bitfld.long 0x8 24. "CH_SWAP,channel swap" "0,1" hexmask.long.byte 0x8 16.--23. 1. "GAP,skip gap" hexmask.long.word 0x8 0.--15. 1. "VLD_HEIGHT,valid height" line.long 0xC "LVDS_BIAS_SET,lvds BIAS setting" bitfld.long 0xC 2.--3. "BIAS_TRIM,bias_trim" "0,1,2,3" bitfld.long 0xC 1. "BIAS_SELVDD,bias_selvdd" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,BIAS_EN" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0x100)++0x3 line.long 0x0 "TEST_CFG_$1,test data/enable configure" bitfld.long 0x0 7. "ENABLE,test cfg enable" "0,1" hexmask.long.byte 0x0 0.--6. 1. "DATA,test cfg data" repeat.end group.long 0x10000++0xB line.long 0x0 "LVDS_CH_0,lvds ch0 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_0,lvds 0 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH0_PAD_COM_SET,lvds ch0 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x10010++0x13 line.long 0x0 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x20000++0xB line.long 0x0 "LVDS_CH_1,lvds ch1 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_1,lvds 1 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH1_PAD_COM_SET,lvds ch1 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x20010++0x13 line.long 0x0 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x30000++0xB line.long 0x0 "LVDS_CH_2,lvds ch2 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_2,lvds 2 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH2_PAD_COM_SET,lvds ch2 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x30010++0x13 line.long 0x0 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x40000++0xB line.long 0x0 "LVDS_CH_3,lvds ch3 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_3,lvds 3 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH3_PAD_COM_SET,lvds ch3 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x40010++0x13 line.long 0x0 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" tree.end repeat.end elif (CORENAME()=="CORTEXA55") tree "LVDS_COMMON" base ad:0x30C40000 group.long 0x0++0xF line.long 0x0 "SOFT_RESET,lvds softreset" bitfld.long 0x0 6. "TRIM_MODE,trim_mode 1: from fuse 0: form REG" "form REG,from fuse" bitfld.long 0x0 5. "RTE_CTL,rte_ctl control io" "0,1" newline bitfld.long 0x0 4. "COMBINE_SOFT_RESET,combine SOFT_RESET" "0,1" bitfld.long 0x0 3. "CH3_SOFT_RESET,CH4 SOFT_RESET" "0,1" bitfld.long 0x0 2. "CH2_SOFT_RESET,CH3 SOFT_RESET" "0,1" newline bitfld.long 0x0 1. "CH1_SOFT_RESET,CH2 SOFT_RESET" "0,1" bitfld.long 0x0 0. "CH0_SOFT_RESET,CH0 SOFT_RESET" "0,1" line.long 0x4 "MUX_SEL,lvds subsyestem mux sel" bitfld.long 0x4 19.--20. "MUX8_SEL,MUX8_SEL group2 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 17.--18. "MUX7_SEL,MUX7_SEL group2 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" newline bitfld.long 0x4 15.--16. "MUX6_SEL,MUX6_SEL group 1 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 13.--14. "MUX5_SEL,MUX5_SEL group 1 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 12. "MUX4_SEL,MUX4_SEL pixel combine 0: DC1 and DC2 1: DC3 and DC4" "DC1 and DC2,DC3 and DC4" newline bitfld.long 0x4 9.--11. "MUX3_SEL,MUX0_SEL :group 2 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 6.--8. "MUX2_SEL,MUX0_SEL :group 2 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 3.--5. "MUX1_SEL,MUX1_SEL :group 1 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" newline bitfld.long 0x4 0.--2. "MUX0_SEL,MUX0_SEL :group1 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" line.long 0x8 "LVDS_COMBINE,lvds_combine" bitfld.long 0x8 29. "ENABLE,lvde combine enable" "0,1" bitfld.long 0x8 28. "COMBINE_MODE,lvds combine mode 0:mode 0 1:mode 1" "mode 0,mode 1" newline bitfld.long 0x8 27. "DATA_EN_POL,data_en polarity" "0,1" bitfld.long 0x8 26. "HSYNC_POL,hsync polarity" "0,1" bitfld.long 0x8 25. "VSYNC_POL,vsync polarity" "0,1" newline bitfld.long 0x8 24. "CH_SWAP,channel swap" "0,1" hexmask.long.byte 0x8 16.--23. 1. "GAP,skip gap" hexmask.long.word 0x8 0.--15. 1. "VLD_HEIGHT,valid height" line.long 0xC "LVDS_BIAS_SET,lvds BIAS setting" bitfld.long 0xC 2.--3. "BIAS_TRIM,bias_trim" "0,1,2,3" bitfld.long 0xC 1. "BIAS_SELVDD,bias_selvdd" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,BIAS_EN" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0x100)++0x3 line.long 0x0 "TEST_CFG_$1,test data/enable configure" bitfld.long 0x0 7. "ENABLE,test cfg enable" "0,1" hexmask.long.byte 0x0 0.--6. 1. "DATA,test cfg data" repeat.end group.long 0x10000++0xB line.long 0x0 "LVDS_CH_0,lvds ch0 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_0,lvds 0 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH0_PAD_COM_SET,lvds ch0 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x10010++0x13 line.long 0x0 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x20000++0xB line.long 0x0 "LVDS_CH_1,lvds ch1 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_1,lvds 1 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH1_PAD_COM_SET,lvds ch1 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x20010++0x13 line.long 0x0 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x30000++0xB line.long 0x0 "LVDS_CH_2,lvds ch2 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_2,lvds 2 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH2_PAD_COM_SET,lvds ch2 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x30010++0x13 line.long 0x0 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x40000++0xB line.long 0x0 "LVDS_CH_3,lvds ch3 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_3,lvds 3 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH3_PAD_COM_SET,lvds ch3 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x40010++0x13 line.long 0x0 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" tree.end repeat 4. (increment 1. 1) (list ad:0x30C50000 ad:0x30C60000 ad:0x30C70000 ad:0x30C80000) tree "LVDS$1" base $2 group.long 0x0++0xF line.long 0x0 "SOFT_RESET,lvds softreset" bitfld.long 0x0 6. "TRIM_MODE,trim_mode 1: from fuse 0: form REG" "form REG,from fuse" bitfld.long 0x0 5. "RTE_CTL,rte_ctl control io" "0,1" newline bitfld.long 0x0 4. "COMBINE_SOFT_RESET,combine SOFT_RESET" "0,1" bitfld.long 0x0 3. "CH3_SOFT_RESET,CH4 SOFT_RESET" "0,1" bitfld.long 0x0 2. "CH2_SOFT_RESET,CH3 SOFT_RESET" "0,1" newline bitfld.long 0x0 1. "CH1_SOFT_RESET,CH2 SOFT_RESET" "0,1" bitfld.long 0x0 0. "CH0_SOFT_RESET,CH0 SOFT_RESET" "0,1" line.long 0x4 "MUX_SEL,lvds subsyestem mux sel" bitfld.long 0x4 19.--20. "MUX8_SEL,MUX8_SEL group2 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 17.--18. "MUX7_SEL,MUX7_SEL group2 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" newline bitfld.long 0x4 15.--16. "MUX6_SEL,MUX6_SEL group 1 ch2 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 13.--14. "MUX5_SEL,MUX5_SEL group 1 ch1 lvds clock source 00: LVDS PLL1 x7 clock 01: LVDS PLL2 x7 clock 10: LVDS PLL3 x7 clock 11: LVDS PLL4 x7 clock" "LVDS PLL1 x7 clock,LVDS PLL2 x7 clock,?,?" bitfld.long 0x4 12. "MUX4_SEL,MUX4_SEL pixel combine 0: DC1 and DC2 1: DC3 and DC4" "DC1 and DC2,DC3 and DC4" newline bitfld.long 0x4 9.--11. "MUX3_SEL,MUX0_SEL :group 2 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 6.--8. "MUX2_SEL,MUX0_SEL :group 2 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" bitfld.long 0x4 3.--5. "MUX1_SEL,MUX1_SEL :group 1 CH2 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" newline bitfld.long 0x4 0.--2. "MUX0_SEL,MUX0_SEL :group1 CH1 000: DC1 001: DC2 010: DC3 011: DC4 100: DC5 101: combine output channel 1 110: combine output channel 2 111:not support" "DC1,DC2,?,?,?,?,?,?" line.long 0x8 "LVDS_COMBINE,lvds_combine" bitfld.long 0x8 29. "ENABLE,lvde combine enable" "0,1" bitfld.long 0x8 28. "COMBINE_MODE,lvds combine mode 0:mode 0 1:mode 1" "mode 0,mode 1" newline bitfld.long 0x8 27. "DATA_EN_POL,data_en polarity" "0,1" bitfld.long 0x8 26. "HSYNC_POL,hsync polarity" "0,1" bitfld.long 0x8 25. "VSYNC_POL,vsync polarity" "0,1" newline bitfld.long 0x8 24. "CH_SWAP,channel swap" "0,1" hexmask.long.byte 0x8 16.--23. 1. "GAP,skip gap" hexmask.long.word 0x8 0.--15. 1. "VLD_HEIGHT,valid height" line.long 0xC "LVDS_BIAS_SET,lvds BIAS setting" bitfld.long 0xC 2.--3. "BIAS_TRIM,bias_trim" "0,1,2,3" bitfld.long 0xC 1. "BIAS_SELVDD,bias_selvdd" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,BIAS_EN" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.long ($2+0x100)++0x3 line.long 0x0 "TEST_CFG_$1,test data/enable configure" bitfld.long 0x0 7. "ENABLE,test cfg enable" "0,1" hexmask.long.byte 0x0 0.--6. 1. "DATA,test cfg data" repeat.end group.long 0x10000++0xB line.long 0x0 "LVDS_CH_0,lvds ch0 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_0,lvds 0 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH0_PAD_COM_SET,lvds ch0 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x10010++0x13 line.long 0x0 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH0_PAD_SET,lvds ch0 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x20000++0xB line.long 0x0 "LVDS_CH_1,lvds ch1 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_1,lvds 1 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH1_PAD_COM_SET,lvds ch1 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x20010++0x13 line.long 0x0 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH1_PAD_SET,lvds ch1 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x30000++0xB line.long 0x0 "LVDS_CH_2,lvds ch2 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_2,lvds 2 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH2_PAD_COM_SET,lvds ch2 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x30010++0x13 line.long 0x0 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH2_PAD_SET,lvds ch2 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" group.long 0x40000++0xB line.long 0x0 "LVDS_CH_3,lvds ch3 channel" bitfld.long 0x0 31. "ENABLE,enable" "0,1" bitfld.long 0x0 23.--24. "MUX,mux : the source of data come from 00:: lvds pair0 odd 01:lvds pair0 even 01:lvds pair1 odd 11:lvds pair1 even" "lvds pair0 odd,lvds pair1 odd,?,?" newline bitfld.long 0x0 22. "DUALODD,dual odd/even only when 30bit dualmode" "0,1" hexmask.long.word 0x0 7.--21. 1. "LANE_UPDATE,each lane update slot 2:0 clk lane 5:3 tx0 lane 8:6 tx1 lane 11:9 tx2 lane 14:12 tx3 lane" bitfld.long 0x0 5.--6. "FRAME_MASK,frame mask 00: 0 frame mask 01: 1 frame mask 10: 2 frame mask 11: 3 frame mask" "0,1,2,3" newline bitfld.long 0x0 4. "VSYNC_POL,vsync polarity" "0,1" bitfld.long 0x0 3. "FORMAT,map format 0:jeida 1:swpg" "jeida 1:swpg,?" bitfld.long 0x0 1.--2. "BPP,pixel format 00:18bit 01:24bit 10:30bit" "0,1,2,3" newline bitfld.long 0x0 0. "DUALMODE,dual mode" "0,1" line.long 0x4 "LVDS_CLOCK_3,lvds 3 clock div setting" hexmask.long.byte 0x4 11.--14. 1. "DIV_NUM_DBG,dbg div" hexmask.long.byte 0x4 7.--10. 1. "DIV_NUM_LVDS,lvds div" newline rbitfld.long 0x4 6. "GATING_ACK,gating_ack" "0,1" hexmask.long.byte 0x4 1.--5. 1. "GATING_EN,clock 0:clock disable 1:clock enable gating_en[0]:lvds_clk gating_en[1]:lvds_clk_x7 gating_en[2]:lvds_clk_x3.5 gating_en[3]:dbg_clk gating_en[4]:dsp_clk(from lvds_clk_x7 or lvds_clk_x3.5)" bitfld.long 0x4 0. "SRC_SEL,0: 7 clock 1: 3.5 clock" "0,1" line.long 0x8 "LVDS_CH3_PAD_COM_SET,lvds ch3 common setting" bitfld.long 0x8 14.--16. "LVDS_TRIM,lvds pad trim" "0,1,2,3,4,5,6,7" bitfld.long 0x8 13. "TEST_TXD_P,test_txd_p" "0,1" newline bitfld.long 0x8 12. "TEST_TXD_N,test_tx_n" "0,1" bitfld.long 0x8 11. "TEST_SCHMITT_EN,test_schmitt_en" "0,1" bitfld.long 0x8 10. "TEST_RXEN,test_rxen" "0,1" newline bitfld.long 0x8 9. "TEST_RXCM_EN,test_rxcm_en" "0,1" bitfld.long 0x8 8. "TEST_PULLDN,test_pulldn" "0,1" bitfld.long 0x8 7. "TEST_OEN_P,test_oen_p" "0,1" newline bitfld.long 0x8 6. "TEST_OEN_N,test_oen_n" "0,1" bitfld.long 0x8 5. "TEST_IEN_P,test_ien_p" "0,1" bitfld.long 0x8 4. "TEST_IEN_N,test_ien_n" "0,1" newline group.long 0x40010++0x13 line.long 0x0 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x0 11. "RXDA,rxda data" "0,1" rbitfld.long 0x0 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x0 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x0 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x0 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x0 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x0 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x0 0. "BIAS_EN,bias enable" "0,1" line.long 0x4 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x4 11. "RXDA,rxda data" "0,1" rbitfld.long 0x4 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x4 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x4 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x4 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x4 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x4 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x4 0. "BIAS_EN,bias enable" "0,1" line.long 0x8 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x8 11. "RXDA,rxda data" "0,1" rbitfld.long 0x8 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x8 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x8 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x8 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x8 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x8 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x8 0. "BIAS_EN,bias enable" "0,1" line.long 0xC "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0xC 11. "RXDA,rxda data" "0,1" rbitfld.long 0xC 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0xC 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0xC 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0xC 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0xC 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0xC 2. "TX_CM,tx_cm" "0,1" bitfld.long 0xC 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0xC 0. "BIAS_EN,bias enable" "0,1" line.long 0x10 "LVDS_CH3_PAD_SET,lvds ch3 pad setting" rbitfld.long 0x10 11. "RXDA,rxda data" "0,1" rbitfld.long 0x10 10. "RXD_P,rxd_p data" "0,1" newline rbitfld.long 0x10 9. "RXD_N,rxd_n data" "0,1" bitfld.long 0x10 8. "VBIAS_SEL,vbais_sel" "0,1" bitfld.long 0x10 7. "TXEN,tx_en" "0,1" newline hexmask.long.byte 0x10 3.--6. 1. "TXDRV,tx_drv" bitfld.long 0x10 2. "TX_CM,tx_cm" "0,1" bitfld.long 0x10 1. "RTERM_EN,rterm enable" "0,1" newline bitfld.long 0x10 0. "BIAS_EN,bias enable" "0,1" tree.end repeat.end endif tree.end tree "MAC (Memory Access Controller)" sif (CORENAME()=="CORTEXR5F") repeat 4. (increment 1. 1.) (list ad:0xF0BC0000 ad:0xF0BD0000 ad:0xF0BE0000 ad:0xF0BF0000) tree "MAC$1" base $2 group.long 0x0++0x17 line.long 0x0 "GLB_CTL,Global enable settings" bitfld.long 0x0 5. "DOM_CFG_LOCK,Lock write for DOM_CFG_MODE bit." "0,1" bitfld.long 0x0 4. "DOM_CFG_MODE,When enabled all the source setting can be configured by secure core which master ID is 1 if it is not locked. When disabled only resource manager can configure the permission of the resource." "0,1" bitfld.long 0x0 3. "PERCK_DIS_LOCK,Lock write for PERCK_DIS" "0,1" newline bitfld.long 0x0 2. "PERCK_DIS,All the permission check disable." "0,1" bitfld.long 0x0 1. "DOM_PRO_LOCK,Lock write for DOM_PRO_EN bit." "0,1" bitfld.long 0x0 0. "DOM_PRO_EN,When disabled no domain access permission check" "0,1" line.long 0x4 "RES_MGR,Assign Resource manager for global resource access control" bitfld.long 0x4 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x4 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x4 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" newline bitfld.long 0x4 13.--14. "PRI_PER,Resource manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" bitfld.long 0x4 12. "PRI_PER_EN,Resource manager privileged access permission enable." "0,1" bitfld.long 0x4 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x4 9.--10. "SEC_PER,Resource manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" newline bitfld.long 0x4 8. "SEC_PER_EN,Resource manager secure permission enable" "0,1" bitfld.long 0x4 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" hexmask.long.byte 0x4 3.--6. 1. "DID,Resource manager domain ID" bitfld.long 0x4 2. "DID_EN,Resource manager Domain ID enable" "0,1" newline bitfld.long 0x4 1. "RES_MGR_EN_LOCK,Lock for RES_MGR_EN" "0,1" bitfld.long 0x4 0. "RES_MGR_EN,Resource manager enable. When the bit is disable there is no resource manager." "0,1" line.long 0x8 "RES_MGR_MA0,Assign master 0~31 for resource manager" hexmask.long 0x8 0.--31. 1. "MID,Assign master 0~31 for resource manager" line.long 0xC "RES_MGR_MA1,Assign master 32~63 for resource manager" hexmask.long 0xC 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." line.long 0x10 "RES_MGR_MA2,Assign master 64~95 for resource manager" hexmask.long 0x10 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." line.long 0x14 "RES_MGR_MA3,Assign master 96~127 for resource manager" hexmask.long 0x14 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x100)++0x3 line.long 0x0 "GRP_MGR_$1,Assign group manager 0" bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" newline bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Group manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" newline bitfld.long 0x0 8. "SEC_PER_EN,Group manager secure permission enable" "0,1" bitfld.long 0x0 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" hexmask.long.byte 0x0 3.--6. 1. "DID,Group manager domain ID" bitfld.long 0x0 2. "DID_EN,Group manager Domain ID enable" "0,1" newline bitfld.long 0x0 1. "GRP_MGR_EN_LOCK,Lock write for GPR_MGR_EN" "0,1" bitfld.long 0x0 0. "GRP_MGR_EN,Group manager enable. When the bit is disable there is no resource manager." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x104)++0x3 line.long 0x0 "GRP_MGR_MA0_$1,Assign master 0~31 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x108)++0x3 line.long 0x0 "GRP_MGR_MA1_$1,Assign master 32~63 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x10C)++0x3 line.long 0x0 "GRP_MGR_MA2_$1,Assign master 64~95 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x110)++0x3 line.long 0x0 "GRP_MGR_MA3_$1,Assign master 96~127 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x200++0x3 line.long 0x0 "DOM_GID_0,Indicate which group domain 0 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x204)++0x3 line.long 0x0 "DOM_OWN_$1,Assign owner for function domain 0." bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" newline bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Domain owner secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" newline bitfld.long 0x0 8. "SEC_PER_EN,Domain owner secure permission enable" "0,1" bitfld.long 0x0 1. "DOM_OWN_EN_LOCK,Lock for DOM_OWN_EN" "0,1" bitfld.long 0x0 0. "DOM_OWN_EN,Domain owner enable. When the bit is disable there is no domain owner." "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x208)++0x3 line.long 0x0 "DOM_OWN_MA0_$1,Assign master 0~31 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x20C)++0x3 line.long 0x0 "DOM_OWN_MA1_$1,Assign master 32~63 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x210)++0x3 line.long 0x0 "DOM_OWN_MA2_$1,Assign master 64~95 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x214)++0x3 line.long 0x0 "DOM_OWN_MA3_$1,Assign master 96~127 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x218++0x3 line.long 0x0 "DOM_GID_1,Indicate which group domain 1 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x230++0x3 line.long 0x0 "DOM_GID_2,Indicate which group domain 2 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x248++0x3 line.long 0x0 "DOM_GID_3,Indicate which group domain 3 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x260++0x3 line.long 0x0 "DOM_GID_4,Indicate which group domain 4 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x278++0x3 line.long 0x0 "DOM_GID_5,Indicate which group domain 5 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x290++0x3 line.long 0x0 "DOM_GID_6,Indicate which group domain 6 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2A8++0x3 line.long 0x0 "DOM_GID_7,Indicate which group domain 7 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2C0++0x3 line.long 0x0 "DOM_GID_8,Indicate which group domain 8 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2D8++0x3 line.long 0x0 "DOM_GID_9,Indicate which group domain 9 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2F0++0x3 line.long 0x0 "DOM_GID_10,Indicate which group domain 10 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x308++0x3 line.long 0x0 "DOM_GID_11,Indicate which group domain 11 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x320++0x3 line.long 0x0 "DOM_GID_12,Indicate which group domain 12 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x338++0x3 line.long 0x0 "DOM_GID_13,Indicate which group domain 13 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x350++0x3 line.long 0x0 "DOM_GID_14,Indicate which group domain 14 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x368++0x3 line.long 0x0 "DOM_GID_15,Indicate which group domain 15 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x8 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x80 0x88 0x90 0x98 0xA0 0xA8 0xB0 0xB8 0xC0 0xC8 0xD0 0xD8 0xE0 0xE8 0xF0 0xF8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x100 0x108 0x110 0x118 0x120 0x128 0x130 0x138 0x140 0x148 0x150 0x158 0x160 0x168 0x170 0x178 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x180 0x188 0x190 0x198 0x1A0 0x1A8 0x1B0 0x1B8 0x1C0 0x1C8 0x1D0 0x1D8 0x1E0 0x1E8 0x1F0 0x1F8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x200 0x208 0x210 0x218 0x220 0x228 0x230 0x238 0x240 0x248 0x250 0x258 0x260 0x268 0x270 0x278 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x280 0x288 0x290 0x298 0x2A0 0x2A8 0x2B0 0x2B8 0x2C0 0x2C8 0x2D0 0x2D8 0x2E0 0x2E8 0x2F0 0x2F8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x300 0x308 0x310 0x318 0x320 0x328 0x330 0x338 0x340 0x348 0x350 0x358 0x360 0x368 0x370 0x378 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x380 0x388 0x390 0x398 0x3A0 0x3A8 0x3B0 0x3B8 0x3C0 0x3C8 0x3D0 0x3D8 0x3E0 0x3E8 0x3F0 0x3F8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x8 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x80 0x88 0x90 0x98 0xA0 0xA8 0xB0 0xB8 0xC0 0xC8 0xD0 0xD8 0xE0 0xE8 0xF0 0xF8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x100 0x108 0x110 0x118 0x120 0x128 0x130 0x138 0x140 0x148 0x150 0x158 0x160 0x168 0x170 0x178 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x180 0x188 0x190 0x198 0x1A0 0x1A8 0x1B0 0x1B8 0x1C0 0x1C8 0x1D0 0x1D8 0x1E0 0x1E8 0x1F0 0x1F8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x200 0x208 0x210 0x218 0x220 0x228 0x230 0x238 0x240 0x248 0x250 0x258 0x260 0x268 0x270 0x278 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x280 0x288 0x290 0x298 0x2A0 0x2A8 0x2B0 0x2B8 0x2C0 0x2C8 0x2D0 0x2D8 0x2E0 0x2E8 0x2F0 0x2F8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x300 0x308 0x310 0x318 0x320 0x328 0x330 0x338 0x340 0x348 0x350 0x358 0x360 0x368 0x370 0x378 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x380 0x388 0x390 0x398 0x3A0 0x3A8 0x3B0 0x3B8 0x3C0 0x3C8 0x3D0 0x3D8 0x3E0 0x3E8 0x3F0 0x3F8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end tree.end repeat.end elif (CORENAME()=="CORTEXA55") repeat 4. (increment 1. 1.) (list ad:0x30BC0000 ad:0x30BD0000 ad:0x30BE0000 ad:0x30BF0000) tree "MAC$1" base $2 group.long 0x0++0x17 line.long 0x0 "GLB_CTL,Global enable settings" bitfld.long 0x0 5. "DOM_CFG_LOCK,Lock write for DOM_CFG_MODE bit." "0,1" bitfld.long 0x0 4. "DOM_CFG_MODE,When enabled all the source setting can be configured by secure core which master ID is 1 if it is not locked. When disabled only resource manager can configure the permission of the resource." "0,1" bitfld.long 0x0 3. "PERCK_DIS_LOCK,Lock write for PERCK_DIS" "0,1" newline bitfld.long 0x0 2. "PERCK_DIS,All the permission check disable." "0,1" bitfld.long 0x0 1. "DOM_PRO_LOCK,Lock write for DOM_PRO_EN bit." "0,1" bitfld.long 0x0 0. "DOM_PRO_EN,When disabled no domain access permission check" "0,1" line.long 0x4 "RES_MGR,Assign Resource manager for global resource access control" bitfld.long 0x4 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x4 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x4 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" newline bitfld.long 0x4 13.--14. "PRI_PER,Resource manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" bitfld.long 0x4 12. "PRI_PER_EN,Resource manager privileged access permission enable." "0,1" bitfld.long 0x4 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x4 9.--10. "SEC_PER,Resource manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" newline bitfld.long 0x4 8. "SEC_PER_EN,Resource manager secure permission enable" "0,1" bitfld.long 0x4 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" hexmask.long.byte 0x4 3.--6. 1. "DID,Resource manager domain ID" bitfld.long 0x4 2. "DID_EN,Resource manager Domain ID enable" "0,1" newline bitfld.long 0x4 1. "RES_MGR_EN_LOCK,Lock for RES_MGR_EN" "0,1" bitfld.long 0x4 0. "RES_MGR_EN,Resource manager enable. When the bit is disable there is no resource manager." "0,1" line.long 0x8 "RES_MGR_MA0,Assign master 0~31 for resource manager" hexmask.long 0x8 0.--31. 1. "MID,Assign master 0~31 for resource manager" line.long 0xC "RES_MGR_MA1,Assign master 32~63 for resource manager" hexmask.long 0xC 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." line.long 0x10 "RES_MGR_MA2,Assign master 64~95 for resource manager" hexmask.long 0x10 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." line.long 0x14 "RES_MGR_MA3,Assign master 96~127 for resource manager" hexmask.long 0x14 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x100)++0x3 line.long 0x0 "GRP_MGR_$1,Assign group manager 0" bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" newline bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Group manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" newline bitfld.long 0x0 8. "SEC_PER_EN,Group manager secure permission enable" "0,1" bitfld.long 0x0 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" hexmask.long.byte 0x0 3.--6. 1. "DID,Group manager domain ID" bitfld.long 0x0 2. "DID_EN,Group manager Domain ID enable" "0,1" newline bitfld.long 0x0 1. "GRP_MGR_EN_LOCK,Lock write for GPR_MGR_EN" "0,1" bitfld.long 0x0 0. "GRP_MGR_EN,Group manager enable. When the bit is disable there is no resource manager." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x104)++0x3 line.long 0x0 "GRP_MGR_MA0_$1,Assign master 0~31 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x108)++0x3 line.long 0x0 "GRP_MGR_MA1_$1,Assign master 32~63 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x10C)++0x3 line.long 0x0 "GRP_MGR_MA2_$1,Assign master 64~95 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x110)++0x3 line.long 0x0 "GRP_MGR_MA3_$1,Assign master 96~127 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x200++0x3 line.long 0x0 "DOM_GID_0,Indicate which group domain 0 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x204)++0x3 line.long 0x0 "DOM_OWN_$1,Assign owner for function domain 0." bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" newline bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Domain owner secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" newline bitfld.long 0x0 8. "SEC_PER_EN,Domain owner secure permission enable" "0,1" bitfld.long 0x0 1. "DOM_OWN_EN_LOCK,Lock for DOM_OWN_EN" "0,1" bitfld.long 0x0 0. "DOM_OWN_EN,Domain owner enable. When the bit is disable there is no domain owner." "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x208)++0x3 line.long 0x0 "DOM_OWN_MA0_$1,Assign master 0~31 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x20C)++0x3 line.long 0x0 "DOM_OWN_MA1_$1,Assign master 32~63 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x210)++0x3 line.long 0x0 "DOM_OWN_MA2_$1,Assign master 64~95 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x214)++0x3 line.long 0x0 "DOM_OWN_MA3_$1,Assign master 96~127 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x218++0x3 line.long 0x0 "DOM_GID_1,Indicate which group domain 1 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x230++0x3 line.long 0x0 "DOM_GID_2,Indicate which group domain 2 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x248++0x3 line.long 0x0 "DOM_GID_3,Indicate which group domain 3 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x260++0x3 line.long 0x0 "DOM_GID_4,Indicate which group domain 4 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x278++0x3 line.long 0x0 "DOM_GID_5,Indicate which group domain 5 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x290++0x3 line.long 0x0 "DOM_GID_6,Indicate which group domain 6 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2A8++0x3 line.long 0x0 "DOM_GID_7,Indicate which group domain 7 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2C0++0x3 line.long 0x0 "DOM_GID_8,Indicate which group domain 8 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2D8++0x3 line.long 0x0 "DOM_GID_9,Indicate which group domain 9 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2F0++0x3 line.long 0x0 "DOM_GID_10,Indicate which group domain 10 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x308++0x3 line.long 0x0 "DOM_GID_11,Indicate which group domain 11 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x320++0x3 line.long 0x0 "DOM_GID_12,Indicate which group domain 12 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x338++0x3 line.long 0x0 "DOM_GID_13,Indicate which group domain 13 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x350++0x3 line.long 0x0 "DOM_GID_14,Indicate which group domain 14 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x368++0x3 line.long 0x0 "DOM_GID_15,Indicate which group domain 15 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x8 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x80 0x88 0x90 0x98 0xA0 0xA8 0xB0 0xB8 0xC0 0xC8 0xD0 0xD8 0xE0 0xE8 0xF0 0xF8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x100 0x108 0x110 0x118 0x120 0x128 0x130 0x138 0x140 0x148 0x150 0x158 0x160 0x168 0x170 0x178 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x180 0x188 0x190 0x198 0x1A0 0x1A8 0x1B0 0x1B8 0x1C0 0x1C8 0x1D0 0x1D8 0x1E0 0x1E8 0x1F0 0x1F8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x200 0x208 0x210 0x218 0x220 0x228 0x230 0x238 0x240 0x248 0x250 0x258 0x260 0x268 0x270 0x278 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x280 0x288 0x290 0x298 0x2A0 0x2A8 0x2B0 0x2B8 0x2C0 0x2C8 0x2D0 0x2D8 0x2E0 0x2E8 0x2F0 0x2F8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x300 0x308 0x310 0x318 0x320 0x328 0x330 0x338 0x340 0x348 0x350 0x358 0x360 0x368 0x370 0x378 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x380 0x388 0x390 0x398 0x3A0 0x3A8 0x3B0 0x3B8 0x3C0 0x3C8 0x3D0 0x3D8 0x3E0 0x3E8 0x3F0 0x3F8 ) group.long ($2+0x400)++0x3 line.long 0x0 "MDA_$1,Assign domian for Master 0." bitfld.long 0x0 31. "LOCK,Lock write for DID" "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for master" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x8 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x80 0x88 0x90 0x98 0xA0 0xA8 0xB0 0xB8 0xC0 0xC8 0xD0 0xD8 0xE0 0xE8 0xF0 0xF8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x100 0x108 0x110 0x118 0x120 0x128 0x130 0x138 0x140 0x148 0x150 0x158 0x160 0x168 0x170 0x178 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x180 0x188 0x190 0x198 0x1A0 0x1A8 0x1B0 0x1B8 0x1C0 0x1C8 0x1D0 0x1D8 0x1E0 0x1E8 0x1F0 0x1F8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x200 0x208 0x210 0x218 0x220 0x228 0x230 0x238 0x240 0x248 0x250 0x258 0x260 0x268 0x270 0x278 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x280 0x288 0x290 0x298 0x2A0 0x2A8 0x2B0 0x2B8 0x2C0 0x2C8 0x2D0 0x2D8 0x2E0 0x2E8 0x2F0 0x2F8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x300 0x308 0x310 0x318 0x320 0x328 0x330 0x338 0x340 0x348 0x350 0x358 0x360 0x368 0x370 0x378 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x380 0x388 0x390 0x398 0x3A0 0x3A8 0x3B0 0x3B8 0x3C0 0x3C8 0x3D0 0x3D8 0x3E0 0x3E8 0x3F0 0x3F8 ) group.long ($2+0x404)++0x3 line.long 0x0 "MAA_$1,Assign stream ID . secure/privileged attribute for master 0." bitfld.long 0x0 14. "SRID_LOCK,lock write for SRID_OV_EN and SRID bits" "0,1" hexmask.long.byte 0x0 6.--13. 1. "SRID,Only bit7 and bit6 are used as RID in SOC." bitfld.long 0x0 5. "PRI_LOCK,Lock write for PRI_OV_EN and PRI bits." "0,1" newline bitfld.long 0x0 4. "PRI_OV_EN,Master privileged signal override enable" "0,1" bitfld.long 0x0 3. "PRI,0 : user access 1 : Privileged access" "user access,Privileged access" bitfld.long 0x0 2. "SEC_LOCK,Lock write for SEC_OV_EN and SEC bits." "0,1" bitfld.long 0x0 1. "SEC_OV_EN,Master secure signal override enable" "0,1" newline bitfld.long 0x0 0. "SEC,0:Secure access 1: Non-secure access" "Secure access,Non-secure access" repeat.end tree.end repeat.end endif tree.end tree "MAILBOX (MAILBOX)" sif (CORENAME()=="CORTEXR5F") base ad:0xF4040000 group.long 0x0++0xB line.long 0x0 "TMH0,Transmitter Message Header(TMH0). 32 bit register. each processor has a TMH0" hexmask.long.byte 0x0 24.--31. 1. "MID,Message Index (MID[7:0]) as MU only support 4 outstanding messages to each one of those other processers only MID[1:0] is used for physical message index decoding" hexmask.long.byte 0x0 16.--23. 1. "MDP,Message Destination Processer (MDP) of this message. E.g. when MDP[7:0] = 10000001 this message is meant to be received by processer-0 and processer-7. To unify programming model processer which initiates this message is also encoded in MAB[7:0].." hexmask.long.byte 0x0 12.--15. 1. "MBM,message buffer mask(MBM) each bit of MBM represents the MB which are used for transferring this message. e.g. MBM[3:0] = b0101 means that the message is stored in MB0 and MB2" bitfld.long 0x0 11. "TXUSE_MB,whether this messge buffer(MB) are used in this message" "0,1" newline hexmask.long.word 0x0 0.--10. 1. "TXMES_LEN,Message length(ML) 1x2 to 2048 x 2 Byte" line.long 0x4 "TMH1,Transmitter Message Header(TMH1). 32 bit register. each processor has a TMH1" hexmask.long 0x4 0.--31. 1. "TMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x8 "TMH2,Transmitter Message Header(TMH2). 32 bit register. each processor has a TMH2" hexmask.long 0x8 0.--31. 1. "TMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC ) group.long ($2+0xC)++0x3 line.long 0x0 "TMC$1,Transmitter Message Control(TMC): 32bit register each processor has 4 TMC" hexmask.long.byte 0x0 16.--23. 1. "TMC0_WAK_REQ,self-cleared. When set to 1 wakeup interrupt to corresponding processer is initiated" bitfld.long 0x0 8. "TMC0_MSG_CANCEL,Self cleared controls message interrupt to other processer. E.g. when set to 1 message interrupt to processer as described in MDP and MID are cleared. In the meantime MB allocated for this message is free" "0,1" newline bitfld.long 0x0 0. "TMC0_MSG_SEND,When Write 1 the messaging interrupts to other processers are asserted e.g. the message are sent. when read the status will be cleared when message are received by all destination processors." "0,1" repeat.end rgroup.long 0x1C++0x3 line.long 0x0 "TMS,32-bit register each processor has a TMS" hexmask.long 0x0 0.--31. 1. "TMS,TMS[31:0] Message In Transfer status MIT[M][N] where M <8 N<4.Each bit represents message-N which transfer from processer-M is sent out.This field is set by message initiator.Clear by message receiver by writing 1 to transfer acknowledge (TACK).." group.long 0x20++0x3 line.long 0x0 "TPWES,Transmitter Proccessor wakeup event status(TPWES). 32 bit register each processor has a TPWES" hexmask.long.byte 0x0 0.--7. 1. "TPWES,wakeup status. TPWES[M] = 1 means that related processer-M Will be cleared by destination processer reset even or writing 1 to WACK register." wgroup.long 0x24++0x3 line.long 0x0 "RMC,32-bit register. each processor has a RMC" hexmask.long 0x0 0.--31. 1. "RMC,Message acknowledgement TACK[M][N] where M<8 N<4. Self-cleared when sets 1to TACK[M][N] message-N interrupts from processer-M are cleared." group.long 0x28++0x7 line.long 0x0 "RWC,32bit register.each processor has a RWC" hexmask.long.byte 0x0 8.--15. 1. "WEM,processer Wakeup Event Mask (WEM). When set wakeup event from corresponding processer is masked" bitfld.long 0x0 0. "WACK,RWC processer Wakeup Acknowledgement(WACK). Self-cleared when set all interrupt from other processers are cleared" "0,1" line.long 0x4 "CPU0_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x4 24.--31. 1. "CPU0_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x4 16. "CPU0_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x4 12.--15. 1. "CPU0_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x4 11. "CPU0_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x4 0.--10. 1. "CPU0_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x30++0x7 line.long 0x0 "CPU0_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x38++0x3 line.long 0x0 "CPU0_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU0_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU0_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU0_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU0_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU0_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x3C++0x7 line.long 0x0 "CPU0_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x44++0x3 line.long 0x0 "CPU0_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU0_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU0_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU0_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU0_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU0_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x48++0x7 line.long 0x0 "CPU0_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x50++0x3 line.long 0x0 "CPU0_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU0_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU0_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU0_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU0_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU0_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x54++0x7 line.long 0x0 "CPU0_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x5C++0x3 line.long 0x0 "CPU1_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x60++0x7 line.long 0x0 "CPU1_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x68++0x3 line.long 0x0 "CPU1_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x6C++0x7 line.long 0x0 "CPU1_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x74++0x3 line.long 0x0 "CPU1_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x78++0x7 line.long 0x0 "CPU1_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x80++0x3 line.long 0x0 "CPU1_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x84++0x7 line.long 0x0 "CPU1_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x8C++0x3 line.long 0x0 "CPU2_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x90++0x7 line.long 0x0 "CPU2_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x98++0x3 line.long 0x0 "CPU2_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x9C++0x7 line.long 0x0 "CPU2_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xA4++0x3 line.long 0x0 "CPU2_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xA8++0x7 line.long 0x0 "CPU2_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xB0++0x3 line.long 0x0 "CPU2_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xB4++0x7 line.long 0x0 "CPU2_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xBC++0x3 line.long 0x0 "CPU3_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xC0++0x7 line.long 0x0 "CPU3_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xC8++0x3 line.long 0x0 "CPU3_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xCC++0x7 line.long 0x0 "CPU3_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xD4++0x3 line.long 0x0 "CPU3_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xD8++0x7 line.long 0x0 "CPU3_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xE0++0x3 line.long 0x0 "CPU3_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xE4++0x7 line.long 0x0 "CPU3_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xEC++0x3 line.long 0x0 "CPU4_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xF0++0x7 line.long 0x0 "CPU4_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xF8++0x3 line.long 0x0 "CPU4_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xFC++0x7 line.long 0x0 "CPU4_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x104++0x3 line.long 0x0 "CPU4_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x108++0x7 line.long 0x0 "CPU4_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x110++0x3 line.long 0x0 "CPU4_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x114++0x7 line.long 0x0 "CPU4_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x11C++0x3 line.long 0x0 "CPU5_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x120++0x7 line.long 0x0 "CPU5_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x128++0x3 line.long 0x0 "CPU5_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x12C++0x7 line.long 0x0 "CPU5_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x134++0x3 line.long 0x0 "CPU5_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x138++0x7 line.long 0x0 "CPU5_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x140++0x3 line.long 0x0 "CPU5_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x144++0x7 line.long 0x0 "CPU5_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x14C++0x3 line.long 0x0 "CPU6_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x150++0x7 line.long 0x0 "CPU6_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x158++0x3 line.long 0x0 "CPU6_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x15C++0x7 line.long 0x0 "CPU6_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x164++0x3 line.long 0x0 "CPU6_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x168++0x7 line.long 0x0 "CPU6_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x170++0x3 line.long 0x0 "CPU6_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x174++0x7 line.long 0x0 "CPU6_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x17C++0x3 line.long 0x0 "CPU7_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x180++0x7 line.long 0x0 "CPU7_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x188++0x3 line.long 0x0 "CPU7_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x18C++0x7 line.long 0x0 "CPU7_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x194++0x3 line.long 0x0 "CPU7_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x198++0x7 line.long 0x0 "CPU7_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x1A0++0x3 line.long 0x0 "CPU7_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x1A4++0x7 line.long 0x0 "CPU7_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x8 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x80 0x88 0x90 0x98 0xA0 0xA8 0xB0 0xB8 0xC0 0xC8 0xD0 0xD8 0xE0 0xE8 0xF0 0xF8 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x100 0x108 0x110 0x118 0x120 0x128 0x130 0x138 0x140 0x148 0x150 0x158 0x160 0x168 0x170 0x178 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x180 0x188 0x190 0x198 0x1A0 0x1A8 0x1B0 0x1B8 0x1C0 0x1C8 0x1D0 0x1D8 0x1E0 0x1E8 0x1F0 0x1F8 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end group.long 0x204++0x3 line.long 0x0 "SEMAG0PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG0PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG0P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x20C++0x3 line.long 0x0 "SEMAG1PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG1PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG1P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x214++0x3 line.long 0x0 "SEMAG2PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG2PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG2P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x21C++0x3 line.long 0x0 "SEMAG3PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG3PL,Semaphore Gate3 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG3P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x224++0x3 line.long 0x0 "SEMAG4PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG4PL,Semaphore Gate4 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG4P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x22C++0x3 line.long 0x0 "SEMAG5PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG5PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG5P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x234++0x3 line.long 0x0 "SEMAG6PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG6PL,Semaphore Gate6 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG6P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x23C++0x3 line.long 0x0 "SEMAG7PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG7PL,Semaphore Gate7 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG7P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x244++0x3 line.long 0x0 "SEMAG8PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG8PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG8P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x24C++0x3 line.long 0x0 "SEMAG9PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG9PL,Semaphore Gate9 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG9P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x254++0x3 line.long 0x0 "SEMAG10PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG10PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG10P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x25C++0x3 line.long 0x0 "SEMAG11PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG11PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG11P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x264++0x3 line.long 0x0 "SEMAG12PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG12PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG12P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x26C++0x3 line.long 0x0 "SEMAG13PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG13PL,Semaphore Gate13 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG13P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x274++0x3 line.long 0x0 "SEMAG14PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG14PL,Semaphore Gate14 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG14P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x27C++0x3 line.long 0x0 "SEMAG15PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG15PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG15P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x284++0x3 line.long 0x0 "SEMAG16PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG16PL,Semaphore Gate16 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG16P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x28C++0x3 line.long 0x0 "SEMAG17PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG17PL,Semaphore Gate17 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG17P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x294++0x3 line.long 0x0 "SEMAG18PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG18PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG18P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x29C++0x3 line.long 0x0 "SEMAG19PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG19PL,Semaphore Gate19 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG19P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2A4++0x3 line.long 0x0 "SEMAG20PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG20PL,Semaphore Gate 20 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG20P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2AC++0x3 line.long 0x0 "SEMAG21PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG21PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG21P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2B4++0x3 line.long 0x0 "SEMAG22PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG22PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG22P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2BC++0x3 line.long 0x0 "SEMAG23PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG23PL,Semaphore Gate23 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG23P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2C4++0x3 line.long 0x0 "SEMAG24PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG24PL,Semaphore Gate24 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG24P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2CC++0x3 line.long 0x0 "SEMAG25PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG25PL,Semaphore Gate25 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG25P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2D4++0x3 line.long 0x0 "SEMAG26PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG26PL,Semaphore Gate26 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG26P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2DC++0x3 line.long 0x0 "SEMAG27PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG27PL,Semaphore Gate27 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG27P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2E4++0x3 line.long 0x0 "SEMAG28PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG28PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG28P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2EC++0x3 line.long 0x0 "SEMAG29PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG29PL,Semaphore Gate29 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG29P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2F4++0x3 line.long 0x0 "SEMAG30PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG30PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG30P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2FC++0x3 line.long 0x0 "SEMAG31PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG31PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG31P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x304++0x3 line.long 0x0 "SEMAG32PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG32PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG32P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x30C++0x3 line.long 0x0 "SEMAG33PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG33PL,Semaphore Gate33 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG33P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x314++0x3 line.long 0x0 "SEMAG34PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG34PL,Semaphore Gate34 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG34P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x31C++0x3 line.long 0x0 "SEMAG35PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG35PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG35P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x324++0x3 line.long 0x0 "SEMAG36PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG36PL,Semaphore Gate36 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG36P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x32C++0x3 line.long 0x0 "SEMAG37PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG37PL,Semaphore Gate37 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG37P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x334++0x3 line.long 0x0 "SEMAG38PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG38PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG38P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x33C++0x3 line.long 0x0 "SEMAG39PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG39PL,Semaphore Gate39 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG39P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x344++0x3 line.long 0x0 "SEMAG40PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG40PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG40P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x34C++0x3 line.long 0x0 "SEMAG41PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG41PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG41P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x354++0x3 line.long 0x0 "SEMAG42PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG42PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG42P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x35C++0x3 line.long 0x0 "SEMAG43PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG43PL,Semaphore Gate43 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG43P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x364++0x3 line.long 0x0 "SEMAG44PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG44PL,Semaphore Gate44 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG44P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x36C++0x3 line.long 0x0 "SEMAG45PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG45PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG45P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x374++0x3 line.long 0x0 "SEMAG46PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG46PL,Semaphore Gate46 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG46P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x37C++0x3 line.long 0x0 "SEMAG47PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG47PL,Semaphore Gate47 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG47P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x384++0x3 line.long 0x0 "SEMAG48PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG48PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG48P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x38C++0x3 line.long 0x0 "SEMAG49PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG49PL,Semaphore Gate49 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG49P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x394++0x3 line.long 0x0 "SEMAG50PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG50PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG50P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x39C++0x3 line.long 0x0 "SEMAG51PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG51PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG51P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3A4++0x3 line.long 0x0 "SEMAG52PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG52PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG52P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3AC++0x3 line.long 0x0 "SEMAG53PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG53PL,Semaphore Gate53 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG53P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3B4++0x3 line.long 0x0 "SEMAG54PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG54PL,Semaphore Gate54 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG54P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3BC++0x3 line.long 0x0 "SEMAG55PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG55PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG55P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3C4++0x3 line.long 0x0 "SEMAG56PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG56PL,Semaphore Gate56 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG56P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3CC++0x3 line.long 0x0 "SEMAG57PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG57PL,Semaphore Gate57 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG57P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3D4++0x3 line.long 0x0 "SEMAG58PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG58PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG58P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3DC++0x3 line.long 0x0 "SEMAG59PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG59PL,Semaphore Gate59 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG59P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3E4++0x3 line.long 0x0 "SEMAG60PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG60PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG60P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3EC++0x3 line.long 0x0 "SEMAG61PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG61PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG61P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3F4++0x3 line.long 0x0 "SEMAG62PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG62PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG62P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3FC++0xF line.long 0x0 "SEMAG63PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG63PL,Semaphore Gate63 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG63P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." line.long 0x4 "SGR,semaphone gate reset" bitfld.long 0x4 25. "GSGREL,Global Semaphore Gate Reset Enable Lock (GSGREL) sticky bit which locks" "0,1" bitfld.long 0x4 24. "GSGRE,Global Semaphore Gate Reset Enable (GSGRE) when 1 GSGR is enabled when 0 GSGT is disabled" "0,1" hexmask.long.byte 0x4 16.--23. 1. "RESERVED,Reserved bit" newline hexmask.long.byte 0x4 8.--15. 1. "GSGR,When writes to 1 semaphore gates which locked by corresponding processor are released." bitfld.long 0x4 0. "SGR,Semaphore Gate Reset. When writes to 1 all semaphore gates which locked by current processor are released each processor has a SGR" "0,1" line.long 0x8 "SGI0,Semaphore gates status change interrupt status-0" hexmask.long 0x8 0.--31. 1. "SGI0,interrupt status of Semaphore gate[31:0].Hardware set when registered interrupt notification of related semaphore gate is released. Software can clear this interrupt by writes 1 to related bit. Be noted that if SGI0=32hFFFF_FFFF writing.." line.long 0xC "SGI1,semaphore gate status change interrupt status-1" hexmask.long 0xC 0.--31. 1. "SGI1,interrupt status of Semaphore gate[63:32].Hardware set when registered interrupt notification of related semaphore gate is released. Software can clear this interrupt by writes 1 to related bit. Be noted that if SGI0=32hFFFF_FFFF writing.." group.long 0x500++0x1F line.long 0x0 "CPU0_MASTERID,CPU0_MASTERID" bitfld.long 0x0 31. "CPU0_MASTER_ID3_LOCK,CPU0_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x0 24.--30. 1. "CPU0_MASTER_ID3,CPU0_MASTER_ID3" bitfld.long 0x0 23. "CPU0_MASTER_ID2_LOCK,CPU0_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x0 16.--22. 1. "CPU0_MASTER_ID2,CPU0_MASTER_ID2" newline bitfld.long 0x0 15. "CPU0_MASTER_ID1_LOCK,CPU0_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x0 8.--14. 1. "CPU0_MASTER_ID1,CPU0_MASTER_ID1" bitfld.long 0x0 7. "CPU0_MASTER_ID0_LOCK,CPU0_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x0 0.--6. 1. "CPU0_MASTER_ID0,CPU0_MASTER_ID0" line.long 0x4 "CPU1_MASTERID,CPU1_MASTERID" bitfld.long 0x4 31. "CPU1_MASTER_ID3_LOCK,CPU1_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x4 24.--30. 1. "CPU1_MASTER_ID3,CPU1_MASTER_ID3" bitfld.long 0x4 23. "CPU1_MASTER_ID2_LOCK,CPU1_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x4 16.--22. 1. "CPU1_MASTER_ID2,CPU1_MASTER_ID2" newline bitfld.long 0x4 15. "CPU1_MASTER_ID1_LOCK,CPU1_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x4 8.--14. 1. "CPU1_MASTER_ID1,CPU1_MASTER_ID1" bitfld.long 0x4 7. "CPU1_MASTER_ID0_LOCK,CPU1_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x4 0.--6. 1. "CPU1_MASTER_ID0,CPU1_MASTER_ID0" line.long 0x8 "CPU2_MASTERID,CPU2_MASTERID" bitfld.long 0x8 31. "CPU2_MASTER_ID3_LOCK,CPU2_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x8 24.--30. 1. "CPU2_MASTER_ID3,CPU2_MASTER_ID3" bitfld.long 0x8 23. "CPU2_MASTER_ID2_LOCK,CPU2_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x8 16.--22. 1. "CPU2_MASTER_ID2,CPU2_MASTER_ID2" newline bitfld.long 0x8 15. "CPU2_MASTER_ID1_LOCK,CPU2_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x8 8.--14. 1. "CPU2_MASTER_ID1,CPU2_MASTER_ID1" bitfld.long 0x8 7. "CPU2_MASTER_ID0_LOCK,CPU2_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x8 0.--6. 1. "CPU2_MASTER_ID0,CPU2_MASTER_ID0" line.long 0xC "CPU3_MASTERID,CPU3_MASTERID" bitfld.long 0xC 31. "CPU3_MASTER_ID3_LOCK,CPU3_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0xC 24.--30. 1. "CPU3_MASTER_ID3,CPU3_MASTER_ID3" bitfld.long 0xC 23. "CPU3_MASTER_ID2_LOCK,CPU3_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0xC 16.--22. 1. "CPU3_MASTER_ID2,CPU3_MASTER_ID2" newline bitfld.long 0xC 15. "CPU3_MASTER_ID1_LOCK,CPU3_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0xC 8.--14. 1. "CPU3_MASTER_ID1,CPU3_MASTER_ID1" bitfld.long 0xC 7. "CPU3_MASTER_ID0_LOCK,CPU3_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0xC 0.--6. 1. "CPU3_MASTER_ID0,CPU3_MASTER_ID0" line.long 0x10 "CPU4_MASTERID,CPU4_MASTERID" bitfld.long 0x10 31. "CPU4_MASTER_ID3_LOCK,CPU4_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x10 24.--30. 1. "CPU4_MASTER_ID3,CPU4_MASTER_ID3" bitfld.long 0x10 23. "CPU4_MASTER_ID2_LOCK,CPU4_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x10 16.--22. 1. "CPU4_MASTER_ID2,CPU4_MASTER_ID2" newline bitfld.long 0x10 15. "CPU4_MASTER_ID1_LOCK,CPU4_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x10 8.--14. 1. "CPU4_MASTER_ID1,CPU4_MASTER_ID1" bitfld.long 0x10 7. "CPU4_MASTER_ID0_LOCK,CPU4_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x10 0.--6. 1. "CPU4_MASTER_ID0,CPU4_MASTER_ID0" line.long 0x14 "CPU5_MASTERID,CPU5_MASTERID" bitfld.long 0x14 31. "CPU5_MASTER_ID3_LOCK,CPU5_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x14 24.--30. 1. "CPU5_MASTER_ID3,CPU5_MASTER_ID3" bitfld.long 0x14 23. "CPU5_MASTER_ID2_LOCK,CPU5_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x14 16.--22. 1. "CPU5_MASTER_ID2,CPU5_MASTER_ID2" newline bitfld.long 0x14 15. "CPU5_MASTER_ID1_LOCK,CPU5_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x14 8.--14. 1. "CPU5_MASTER_ID1,CPU5_MASTER_ID1" bitfld.long 0x14 7. "CPU5_MASTER_ID0_LOCK,CPU5_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x14 0.--6. 1. "CPU5_MASTER_ID0,CPU5_MASTER_ID0" line.long 0x18 "CPU6_MASTERID,CPU6_MASTERID" bitfld.long 0x18 31. "CPU6_MASTER_ID3_LOCK,CPU6_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x18 24.--30. 1. "CPU6_MASTER_ID3,CPU6_MASTER_ID3" bitfld.long 0x18 23. "CPU6_MASTER_ID2_LOCK,CPU6_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x18 16.--22. 1. "CPU6_MASTER_ID2,CPU6_MASTER_ID2" newline bitfld.long 0x18 15. "CPU6_MASTER_ID1_LOCK,CPU6_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x18 8.--14. 1. "CPU6_MASTER_ID1,CPU6_MASTER_ID1" bitfld.long 0x18 7. "CPU6_MASTER_ID0_LOCK,CPU6_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x18 0.--6. 1. "CPU6_MASTER_ID0,CPU6_MASTER_ID0" line.long 0x1C "CPU7_MASTERID,CPU7_MASTERID" bitfld.long 0x1C 31. "CPU7_MASTER_ID3_LOCK,CPU7_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x1C 24.--30. 1. "CPU7_MASTER_ID3,CPU7_MASTER_ID3" bitfld.long 0x1C 23. "CPU7_MASTER_ID2_LOCK,CPU7_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x1C 16.--22. 1. "CPU7_MASTER_ID2,CPU7_MASTER_ID2" newline bitfld.long 0x1C 15. "CPU7_MASTER_ID1_LOCK,CPU7_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x1C 8.--14. 1. "CPU7_MASTER_ID1,CPU7_MASTER_ID1" bitfld.long 0x1C 7. "CPU7_MASTER_ID0_LOCK,CPU7_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x1C 0.--6. 1. "CPU7_MASTER_ID0,CPU7_MASTER_ID0" rgroup.long 0x1000++0x3 line.long 0x0 "TX_BUFFER,the TX BUFFER . same for all CPUs" rgroup.long 0x2000++0x3 line.long 0x0 "RX_CPU0_BUFFER,the RX CPU0 buffer address" rgroup.long 0x3000++0x3 line.long 0x0 "RX_CPU1_BUFFER,the RX CPU1 buffer address" rgroup.long 0x4000++0x3 line.long 0x0 "RX_CPU2_BUFFER,the RX CPU2 buffer address" rgroup.long 0x5000++0x3 line.long 0x0 "RX_CPU3_BUFFER,the RX CPU3 buffer address" rgroup.long 0x6000++0x3 line.long 0x0 "RX_CPU4_BUFFER,the RX CPU4 buffer address" rgroup.long 0x7000++0x3 line.long 0x0 "RX_CPU5_BUFFER,the RX CPU5 buffer address" rgroup.long 0x8000++0x3 line.long 0x0 "RX_CPU6_BUFFER,the RX CPU6 buffer address" rgroup.long 0x9000++0x3 line.long 0x0 "RX_CPU7_BUFFER,the RX CPU7 buffer address" elif (CORENAME()=="CORTEXA55") base ad:0x34040000 group.long 0x0++0xB line.long 0x0 "TMH0,Transmitter Message Header(TMH0). 32 bit register. each processor has a TMH0" hexmask.long.byte 0x0 24.--31. 1. "MID,Message Index (MID[7:0]) as MU only support 4 outstanding messages to each one of those other processers only MID[1:0] is used for physical message index decoding" hexmask.long.byte 0x0 16.--23. 1. "MDP,Message Destination Processer (MDP) of this message. E.g. when MDP[7:0] = 10000001 this message is meant to be received by processer-0 and processer-7. To unify programming model processer which initiates this message is also encoded in MAB[7:0].." hexmask.long.byte 0x0 12.--15. 1. "MBM,message buffer mask(MBM) each bit of MBM represents the MB which are used for transferring this message. e.g. MBM[3:0] = b0101 means that the message is stored in MB0 and MB2" bitfld.long 0x0 11. "TXUSE_MB,whether this messge buffer(MB) are used in this message" "0,1" newline hexmask.long.word 0x0 0.--10. 1. "TXMES_LEN,Message length(ML) 1x2 to 2048 x 2 Byte" line.long 0x4 "TMH1,Transmitter Message Header(TMH1). 32 bit register. each processor has a TMH1" hexmask.long 0x4 0.--31. 1. "TMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x8 "TMH2,Transmitter Message Header(TMH2). 32 bit register. each processor has a TMH2" hexmask.long 0x8 0.--31. 1. "TMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" repeat 4. (list 0x0 0x1 0x2 0x3 )(list 0x0 0x4 0x8 0xC ) group.long ($2+0xC)++0x3 line.long 0x0 "TMC$1,Transmitter Message Control(TMC): 32bit register each processor has 4 TMC" hexmask.long.byte 0x0 16.--23. 1. "TMC0_WAK_REQ,self-cleared. When set to 1 wakeup interrupt to corresponding processer is initiated" bitfld.long 0x0 8. "TMC0_MSG_CANCEL,Self cleared controls message interrupt to other processer. E.g. when set to 1 message interrupt to processer as described in MDP and MID are cleared. In the meantime MB allocated for this message is free" "0,1" newline bitfld.long 0x0 0. "TMC0_MSG_SEND,When Write 1 the messaging interrupts to other processers are asserted e.g. the message are sent. when read the status will be cleared when message are received by all destination processors." "0,1" repeat.end rgroup.long 0x1C++0x3 line.long 0x0 "TMS,32-bit register each processor has a TMS" hexmask.long 0x0 0.--31. 1. "TMS,TMS[31:0] Message In Transfer status MIT[M][N] where M <8 N<4.Each bit represents message-N which transfer from processer-M is sent out.This field is set by message initiator.Clear by message receiver by writing 1 to transfer acknowledge (TACK).." group.long 0x20++0x3 line.long 0x0 "TPWES,Transmitter Proccessor wakeup event status(TPWES). 32 bit register each processor has a TPWES" hexmask.long.byte 0x0 0.--7. 1. "TPWES,wakeup status. TPWES[M] = 1 means that related processer-M Will be cleared by destination processer reset even or writing 1 to WACK register." wgroup.long 0x24++0x3 line.long 0x0 "RMC,32-bit register. each processor has a RMC" hexmask.long 0x0 0.--31. 1. "RMC,Message acknowledgement TACK[M][N] where M<8 N<4. Self-cleared when sets 1to TACK[M][N] message-N interrupts from processer-M are cleared." group.long 0x28++0x7 line.long 0x0 "RWC,32bit register.each processor has a RWC" hexmask.long.byte 0x0 8.--15. 1. "WEM,processer Wakeup Event Mask (WEM). When set wakeup event from corresponding processer is masked" bitfld.long 0x0 0. "WACK,RWC processer Wakeup Acknowledgement(WACK). Self-cleared when set all interrupt from other processers are cleared" "0,1" line.long 0x4 "CPU0_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x4 24.--31. 1. "CPU0_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x4 16. "CPU0_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x4 12.--15. 1. "CPU0_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x4 11. "CPU0_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x4 0.--10. 1. "CPU0_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x30++0x7 line.long 0x0 "CPU0_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x38++0x3 line.long 0x0 "CPU0_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU0_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU0_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU0_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU0_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU0_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x3C++0x7 line.long 0x0 "CPU0_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x44++0x3 line.long 0x0 "CPU0_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU0_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU0_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU0_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU0_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU0_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x48++0x7 line.long 0x0 "CPU0_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x50++0x3 line.long 0x0 "CPU0_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU0_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU0_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU0_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU0_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU0_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x54++0x7 line.long 0x0 "CPU0_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU0_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU0_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU0_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x5C++0x3 line.long 0x0 "CPU1_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x60++0x7 line.long 0x0 "CPU1_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x68++0x3 line.long 0x0 "CPU1_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x6C++0x7 line.long 0x0 "CPU1_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x74++0x3 line.long 0x0 "CPU1_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x78++0x7 line.long 0x0 "CPU1_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x80++0x3 line.long 0x0 "CPU1_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU1_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU1_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU1_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU1_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU1_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x84++0x7 line.long 0x0 "CPU1_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU1_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU1_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU1_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x8C++0x3 line.long 0x0 "CPU2_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x90++0x7 line.long 0x0 "CPU2_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x98++0x3 line.long 0x0 "CPU2_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x9C++0x7 line.long 0x0 "CPU2_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xA4++0x3 line.long 0x0 "CPU2_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xA8++0x7 line.long 0x0 "CPU2_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xB0++0x3 line.long 0x0 "CPU2_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU2_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU2_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU2_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU2_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU2_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xB4++0x7 line.long 0x0 "CPU2_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU2_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU2_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU2_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xBC++0x3 line.long 0x0 "CPU3_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xC0++0x7 line.long 0x0 "CPU3_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xC8++0x3 line.long 0x0 "CPU3_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xCC++0x7 line.long 0x0 "CPU3_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xD4++0x3 line.long 0x0 "CPU3_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xD8++0x7 line.long 0x0 "CPU3_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xE0++0x3 line.long 0x0 "CPU3_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU3_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU3_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU3_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU3_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU3_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xE4++0x7 line.long 0x0 "CPU3_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU3_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU3_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU3_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xEC++0x3 line.long 0x0 "CPU4_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xF0++0x7 line.long 0x0 "CPU4_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0xF8++0x3 line.long 0x0 "CPU4_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0xFC++0x7 line.long 0x0 "CPU4_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x104++0x3 line.long 0x0 "CPU4_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x108++0x7 line.long 0x0 "CPU4_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x110++0x3 line.long 0x0 "CPU4_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU4_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU4_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU4_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU4_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU4_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x114++0x7 line.long 0x0 "CPU4_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU4_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU4_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU4_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x11C++0x3 line.long 0x0 "CPU5_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x120++0x7 line.long 0x0 "CPU5_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x128++0x3 line.long 0x0 "CPU5_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x12C++0x7 line.long 0x0 "CPU5_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x134++0x3 line.long 0x0 "CPU5_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x138++0x7 line.long 0x0 "CPU5_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x140++0x3 line.long 0x0 "CPU5_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU5_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU5_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU5_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU5_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU5_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x144++0x7 line.long 0x0 "CPU5_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU5_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU5_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU5_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x14C++0x3 line.long 0x0 "CPU6_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x150++0x7 line.long 0x0 "CPU6_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x158++0x3 line.long 0x0 "CPU6_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x15C++0x7 line.long 0x0 "CPU6_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x164++0x3 line.long 0x0 "CPU6_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x168++0x7 line.long 0x0 "CPU6_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x170++0x3 line.long 0x0 "CPU6_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU6_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU6_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU6_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU6_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU6_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x174++0x7 line.long 0x0 "CPU6_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU6_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU6_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU6_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x17C++0x3 line.long 0x0 "CPU7_MSG0_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG0_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG0_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG0_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG0_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG0_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x180++0x7 line.long 0x0 "CPU7_MSG0_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG0_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG0_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG0_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x188++0x3 line.long 0x0 "CPU7_MSG1_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG1_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG1_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG1_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG1_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG1_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x18C++0x7 line.long 0x0 "CPU7_MSG1_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG1_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG1_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG1_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x194++0x3 line.long 0x0 "CPU7_MSG2_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG2_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG2_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG2_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG2_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG2_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x198++0x7 line.long 0x0 "CPU7_MSG2_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG2_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG2_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG2_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" group.long 0x1A0++0x3 line.long 0x0 "CPU7_MSG3_RMH0,receiver message header0(RMH0) . each processor has (NumberOfProcessor)x4 RMH0" hexmask.long.byte 0x0 24.--31. 1. "CPU7_MSG3_RMH0,RMH[31:26] could be used to transfer the message RMH[25:24] is actually the message index" rbitfld.long 0x0 16. "CPU7_MSG3_VLD,message valid(MV) of this message e.g. when MV = 1 this message is meant to be received by the processor" "0,1" hexmask.long.byte 0x0 12.--15. 1. "CPU7_MSG3_MBM,Message Buffer Mask(MBM) each bit of MBM[3:0] represents the MB which are used for transferring this message. e.g. MBM[3:0] = 4b0101 means that the message is stored in MB0 and MB2" newline rbitfld.long 0x0 11. "CPU7_MSG3_USE_MB,whether this Message Buffer (MB) are used in this message" "0,1" hexmask.long.word 0x0 0.--10. 1. "CPU7_MSG3_LEN,Message Length (ML) 1x2 to 2048x2Byte" rgroup.long 0x1A4++0x7 line.long 0x0 "CPU7_MSG3_RMH1,Receiver Message header1(RMH1): 32 bit register each processor has (NumberOfProcessor)x4 RMH1" hexmask.long 0x0 0.--31. 1. "CPU7_MSG3_RMH1,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" line.long 0x4 "CPU7_MSG3_RMH2,Receiver Message header2(RMH2): 32 bit register each processor has (NumberOfProcessor)x4 RMH2" hexmask.long 0x4 0.--31. 1. "CPU7_MSG3_RMH2,side-band message information which is user defined.Useful in short messaging which doesnot need large message buffer" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x8 0x10 0x18 0x20 0x28 0x30 0x38 0x40 0x48 0x50 0x58 0x60 0x68 0x70 0x78 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x80 0x88 0x90 0x98 0xA0 0xA8 0xB0 0xB8 0xC0 0xC8 0xD0 0xD8 0xE0 0xE8 0xF0 0xF8 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x100 0x108 0x110 0x118 0x120 0x128 0x130 0x138 0x140 0x148 0x150 0x158 0x160 0x168 0x170 0x178 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x180 0x188 0x190 0x198 0x1A0 0x1A8 0x1B0 0x1B8 0x1C0 0x1C8 0x1D0 0x1D8 0x1E0 0x1E8 0x1F0 0x1F8 ) group.long ($2+0x200)++0x3 line.long 0x0 "SEMAG$1,SEMAphore gate. 32 bit register. update to 64Gate based on parameter configuration" bitfld.long 0x0 24. "SG0LIC,Semaphore Gate 0 Lock interrupt clear (SGLIC[7:0]) write 1 to this register will clear the lock fail interrrupt to the processor" "0,1" hexmask.long.byte 0x0 16.--23. 1. "SG0LS,Semaphore Gate 0 Lock Status (SGLS[7:0]) SG0LS=8b00001000 means the gate is locked by Processor" newline bitfld.long 0x0 8. "IESG0LF,Interrupt Enable on Semaphore Gate Lock Failure (IESGLF) When 1 MU will send interrupt to the Processer which failed to lock the semaphore gate on semaphore gate release" "0,1" bitfld.long 0x0 0. "SG0C,Can be accessed by all processors. One Processor can write 1 to SGC to request lock of this gate. Processer can read this bit to check if semaphore is locked or not (when 0 locked when 1 locked).The lock can be removed by write 0 to this field by.." "0,1" repeat.end group.long 0x204++0x3 line.long 0x0 "SEMAG0PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG0PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG0P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x20C++0x3 line.long 0x0 "SEMAG1PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG1PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG1P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x214++0x3 line.long 0x0 "SEMAG2PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG2PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG2P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x21C++0x3 line.long 0x0 "SEMAG3PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG3PL,Semaphore Gate3 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG3P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x224++0x3 line.long 0x0 "SEMAG4PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG4PL,Semaphore Gate4 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG4P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x22C++0x3 line.long 0x0 "SEMAG5PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG5PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG5P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x234++0x3 line.long 0x0 "SEMAG6PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG6PL,Semaphore Gate6 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG6P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x23C++0x3 line.long 0x0 "SEMAG7PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG7PL,Semaphore Gate7 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG7P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x244++0x3 line.long 0x0 "SEMAG8PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG8PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG8P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x24C++0x3 line.long 0x0 "SEMAG9PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG9PL,Semaphore Gate9 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG9P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x254++0x3 line.long 0x0 "SEMAG10PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG10PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG10P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x25C++0x3 line.long 0x0 "SEMAG11PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG11PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG11P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x264++0x3 line.long 0x0 "SEMAG12PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG12PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG12P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x26C++0x3 line.long 0x0 "SEMAG13PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG13PL,Semaphore Gate13 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG13P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x274++0x3 line.long 0x0 "SEMAG14PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG14PL,Semaphore Gate14 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG14P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x27C++0x3 line.long 0x0 "SEMAG15PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG15PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG15P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x284++0x3 line.long 0x0 "SEMAG16PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG16PL,Semaphore Gate16 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG16P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x28C++0x3 line.long 0x0 "SEMAG17PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG17PL,Semaphore Gate17 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG17P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x294++0x3 line.long 0x0 "SEMAG18PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG18PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG18P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x29C++0x3 line.long 0x0 "SEMAG19PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG19PL,Semaphore Gate19 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG19P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2A4++0x3 line.long 0x0 "SEMAG20PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG20PL,Semaphore Gate 20 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG20P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2AC++0x3 line.long 0x0 "SEMAG21PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG21PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG21P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2B4++0x3 line.long 0x0 "SEMAG22PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG22PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG22P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2BC++0x3 line.long 0x0 "SEMAG23PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG23PL,Semaphore Gate23 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG23P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2C4++0x3 line.long 0x0 "SEMAG24PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG24PL,Semaphore Gate24 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG24P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2CC++0x3 line.long 0x0 "SEMAG25PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG25PL,Semaphore Gate25 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG25P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2D4++0x3 line.long 0x0 "SEMAG26PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG26PL,Semaphore Gate26 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG26P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2DC++0x3 line.long 0x0 "SEMAG27PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG27PL,Semaphore Gate27 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG27P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2E4++0x3 line.long 0x0 "SEMAG28PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG28PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG28P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2EC++0x3 line.long 0x0 "SEMAG29PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG29PL,Semaphore Gate29 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG29P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2F4++0x3 line.long 0x0 "SEMAG30PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG30PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG30P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x2FC++0x3 line.long 0x0 "SEMAG31PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG31PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG31P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x304++0x3 line.long 0x0 "SEMAG32PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG32PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG32P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x30C++0x3 line.long 0x0 "SEMAG33PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG33PL,Semaphore Gate33 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG33P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x314++0x3 line.long 0x0 "SEMAG34PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG34PL,Semaphore Gate34 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG34P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x31C++0x3 line.long 0x0 "SEMAG35PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG35PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG35P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x324++0x3 line.long 0x0 "SEMAG36PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG36PL,Semaphore Gate36 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG36P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x32C++0x3 line.long 0x0 "SEMAG37PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG37PL,Semaphore Gate37 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG37P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x334++0x3 line.long 0x0 "SEMAG38PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG38PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG38P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x33C++0x3 line.long 0x0 "SEMAG39PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG39PL,Semaphore Gate39 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG39P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x344++0x3 line.long 0x0 "SEMAG40PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG40PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG40P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x34C++0x3 line.long 0x0 "SEMAG41PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG41PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG41P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x354++0x3 line.long 0x0 "SEMAG42PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG42PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG42P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x35C++0x3 line.long 0x0 "SEMAG43PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG43PL,Semaphore Gate43 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG43P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x364++0x3 line.long 0x0 "SEMAG44PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG44PL,Semaphore Gate44 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG44P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x36C++0x3 line.long 0x0 "SEMAG45PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG45PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG45P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x374++0x3 line.long 0x0 "SEMAG46PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG46PL,Semaphore Gate46 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG46P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x37C++0x3 line.long 0x0 "SEMAG47PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG47PL,Semaphore Gate47 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG47P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x384++0x3 line.long 0x0 "SEMAG48PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG48PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG48P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x38C++0x3 line.long 0x0 "SEMAG49PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG49PL,Semaphore Gate49 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG49P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x394++0x3 line.long 0x0 "SEMAG50PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG50PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG50P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x39C++0x3 line.long 0x0 "SEMAG51PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG51PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG51P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3A4++0x3 line.long 0x0 "SEMAG52PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG52PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG52P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3AC++0x3 line.long 0x0 "SEMAG53PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG53PL,Semaphore Gate53 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG53P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3B4++0x3 line.long 0x0 "SEMAG54PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG54PL,Semaphore Gate54 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG54P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3BC++0x3 line.long 0x0 "SEMAG55PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG55PL,Semaphore Gate 5 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG55P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3C4++0x3 line.long 0x0 "SEMAG56PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG56PL,Semaphore Gate56 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG56P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3CC++0x3 line.long 0x0 "SEMAG57PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG57PL,Semaphore Gate57 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG57P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3D4++0x3 line.long 0x0 "SEMAG58PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG58PL,Semaphore Gate 8 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG58P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3DC++0x3 line.long 0x0 "SEMAG59PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG59PL,Semaphore Gate59 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG59P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3E4++0x3 line.long 0x0 "SEMAG60PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG60PL,Semaphore Gate 0 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG60P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3EC++0x3 line.long 0x0 "SEMAG61PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG61PL,Semaphore Gate 1 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG61P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3F4++0x3 line.long 0x0 "SEMAG62PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG62PL,Semaphore Gate 2 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG62P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." group.long 0x3FC++0xF line.long 0x0 "SEMAG63PC,32 bit register up to 64 gates based on parameter configuration" bitfld.long 0x0 8. "SG63PL,Semaphore Gate63 Permission Lock (SGPL)" "0,1" hexmask.long.byte 0x0 0.--7. 1. "SG63P,Semaphore access permission enable bit for those 8 Processers. When SGP[M]=1 access permission of Processer-M to this gate is enabled." line.long 0x4 "SGR,semaphone gate reset" bitfld.long 0x4 25. "GSGREL,Global Semaphore Gate Reset Enable Lock (GSGREL) sticky bit which locks" "0,1" bitfld.long 0x4 24. "GSGRE,Global Semaphore Gate Reset Enable (GSGRE) when 1 GSGR is enabled when 0 GSGT is disabled" "0,1" hexmask.long.byte 0x4 16.--23. 1. "RESERVED,Reserved bit" newline hexmask.long.byte 0x4 8.--15. 1. "GSGR,When writes to 1 semaphore gates which locked by corresponding processor are released." bitfld.long 0x4 0. "SGR,Semaphore Gate Reset. When writes to 1 all semaphore gates which locked by current processor are released each processor has a SGR" "0,1" line.long 0x8 "SGI0,Semaphore gates status change interrupt status-0" hexmask.long 0x8 0.--31. 1. "SGI0,interrupt status of Semaphore gate[31:0].Hardware set when registered interrupt notification of related semaphore gate is released. Software can clear this interrupt by writes 1 to related bit. Be noted that if SGI0=32hFFFF_FFFF writing.." line.long 0xC "SGI1,semaphore gate status change interrupt status-1" hexmask.long 0xC 0.--31. 1. "SGI1,interrupt status of Semaphore gate[63:32].Hardware set when registered interrupt notification of related semaphore gate is released. Software can clear this interrupt by writes 1 to related bit. Be noted that if SGI0=32hFFFF_FFFF writing.." group.long 0x500++0x1F line.long 0x0 "CPU0_MASTERID,CPU0_MASTERID" bitfld.long 0x0 31. "CPU0_MASTER_ID3_LOCK,CPU0_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x0 24.--30. 1. "CPU0_MASTER_ID3,CPU0_MASTER_ID3" bitfld.long 0x0 23. "CPU0_MASTER_ID2_LOCK,CPU0_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x0 16.--22. 1. "CPU0_MASTER_ID2,CPU0_MASTER_ID2" newline bitfld.long 0x0 15. "CPU0_MASTER_ID1_LOCK,CPU0_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x0 8.--14. 1. "CPU0_MASTER_ID1,CPU0_MASTER_ID1" bitfld.long 0x0 7. "CPU0_MASTER_ID0_LOCK,CPU0_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x0 0.--6. 1. "CPU0_MASTER_ID0,CPU0_MASTER_ID0" line.long 0x4 "CPU1_MASTERID,CPU1_MASTERID" bitfld.long 0x4 31. "CPU1_MASTER_ID3_LOCK,CPU1_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x4 24.--30. 1. "CPU1_MASTER_ID3,CPU1_MASTER_ID3" bitfld.long 0x4 23. "CPU1_MASTER_ID2_LOCK,CPU1_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x4 16.--22. 1. "CPU1_MASTER_ID2,CPU1_MASTER_ID2" newline bitfld.long 0x4 15. "CPU1_MASTER_ID1_LOCK,CPU1_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x4 8.--14. 1. "CPU1_MASTER_ID1,CPU1_MASTER_ID1" bitfld.long 0x4 7. "CPU1_MASTER_ID0_LOCK,CPU1_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x4 0.--6. 1. "CPU1_MASTER_ID0,CPU1_MASTER_ID0" line.long 0x8 "CPU2_MASTERID,CPU2_MASTERID" bitfld.long 0x8 31. "CPU2_MASTER_ID3_LOCK,CPU2_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x8 24.--30. 1. "CPU2_MASTER_ID3,CPU2_MASTER_ID3" bitfld.long 0x8 23. "CPU2_MASTER_ID2_LOCK,CPU2_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x8 16.--22. 1. "CPU2_MASTER_ID2,CPU2_MASTER_ID2" newline bitfld.long 0x8 15. "CPU2_MASTER_ID1_LOCK,CPU2_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x8 8.--14. 1. "CPU2_MASTER_ID1,CPU2_MASTER_ID1" bitfld.long 0x8 7. "CPU2_MASTER_ID0_LOCK,CPU2_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x8 0.--6. 1. "CPU2_MASTER_ID0,CPU2_MASTER_ID0" line.long 0xC "CPU3_MASTERID,CPU3_MASTERID" bitfld.long 0xC 31. "CPU3_MASTER_ID3_LOCK,CPU3_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0xC 24.--30. 1. "CPU3_MASTER_ID3,CPU3_MASTER_ID3" bitfld.long 0xC 23. "CPU3_MASTER_ID2_LOCK,CPU3_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0xC 16.--22. 1. "CPU3_MASTER_ID2,CPU3_MASTER_ID2" newline bitfld.long 0xC 15. "CPU3_MASTER_ID1_LOCK,CPU3_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0xC 8.--14. 1. "CPU3_MASTER_ID1,CPU3_MASTER_ID1" bitfld.long 0xC 7. "CPU3_MASTER_ID0_LOCK,CPU3_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0xC 0.--6. 1. "CPU3_MASTER_ID0,CPU3_MASTER_ID0" line.long 0x10 "CPU4_MASTERID,CPU4_MASTERID" bitfld.long 0x10 31. "CPU4_MASTER_ID3_LOCK,CPU4_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x10 24.--30. 1. "CPU4_MASTER_ID3,CPU4_MASTER_ID3" bitfld.long 0x10 23. "CPU4_MASTER_ID2_LOCK,CPU4_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x10 16.--22. 1. "CPU4_MASTER_ID2,CPU4_MASTER_ID2" newline bitfld.long 0x10 15. "CPU4_MASTER_ID1_LOCK,CPU4_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x10 8.--14. 1. "CPU4_MASTER_ID1,CPU4_MASTER_ID1" bitfld.long 0x10 7. "CPU4_MASTER_ID0_LOCK,CPU4_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x10 0.--6. 1. "CPU4_MASTER_ID0,CPU4_MASTER_ID0" line.long 0x14 "CPU5_MASTERID,CPU5_MASTERID" bitfld.long 0x14 31. "CPU5_MASTER_ID3_LOCK,CPU5_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x14 24.--30. 1. "CPU5_MASTER_ID3,CPU5_MASTER_ID3" bitfld.long 0x14 23. "CPU5_MASTER_ID2_LOCK,CPU5_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x14 16.--22. 1. "CPU5_MASTER_ID2,CPU5_MASTER_ID2" newline bitfld.long 0x14 15. "CPU5_MASTER_ID1_LOCK,CPU5_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x14 8.--14. 1. "CPU5_MASTER_ID1,CPU5_MASTER_ID1" bitfld.long 0x14 7. "CPU5_MASTER_ID0_LOCK,CPU5_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x14 0.--6. 1. "CPU5_MASTER_ID0,CPU5_MASTER_ID0" line.long 0x18 "CPU6_MASTERID,CPU6_MASTERID" bitfld.long 0x18 31. "CPU6_MASTER_ID3_LOCK,CPU6_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x18 24.--30. 1. "CPU6_MASTER_ID3,CPU6_MASTER_ID3" bitfld.long 0x18 23. "CPU6_MASTER_ID2_LOCK,CPU6_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x18 16.--22. 1. "CPU6_MASTER_ID2,CPU6_MASTER_ID2" newline bitfld.long 0x18 15. "CPU6_MASTER_ID1_LOCK,CPU6_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x18 8.--14. 1. "CPU6_MASTER_ID1,CPU6_MASTER_ID1" bitfld.long 0x18 7. "CPU6_MASTER_ID0_LOCK,CPU6_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x18 0.--6. 1. "CPU6_MASTER_ID0,CPU6_MASTER_ID0" line.long 0x1C "CPU7_MASTERID,CPU7_MASTERID" bitfld.long 0x1C 31. "CPU7_MASTER_ID3_LOCK,CPU7_MASTER_ID3_LOCK" "0,1" hexmask.long.byte 0x1C 24.--30. 1. "CPU7_MASTER_ID3,CPU7_MASTER_ID3" bitfld.long 0x1C 23. "CPU7_MASTER_ID2_LOCK,CPU7_MASTER_ID2_LOCK" "0,1" hexmask.long.byte 0x1C 16.--22. 1. "CPU7_MASTER_ID2,CPU7_MASTER_ID2" newline bitfld.long 0x1C 15. "CPU7_MASTER_ID1_LOCK,CPU7_MASTER_ID1_LOCK" "0,1" hexmask.long.byte 0x1C 8.--14. 1. "CPU7_MASTER_ID1,CPU7_MASTER_ID1" bitfld.long 0x1C 7. "CPU7_MASTER_ID0_LOCK,CPU7_MASTER_ID0_LOCK" "0,1" hexmask.long.byte 0x1C 0.--6. 1. "CPU7_MASTER_ID0,CPU7_MASTER_ID0" rgroup.long 0x1000++0x3 line.long 0x0 "TX_BUFFER,the TX BUFFER . same for all CPUs" rgroup.long 0x2000++0x3 line.long 0x0 "RX_CPU0_BUFFER,the RX CPU0 buffer address" rgroup.long 0x3000++0x3 line.long 0x0 "RX_CPU1_BUFFER,the RX CPU1 buffer address" rgroup.long 0x4000++0x3 line.long 0x0 "RX_CPU2_BUFFER,the RX CPU2 buffer address" rgroup.long 0x5000++0x3 line.long 0x0 "RX_CPU3_BUFFER,the RX CPU3 buffer address" rgroup.long 0x6000++0x3 line.long 0x0 "RX_CPU4_BUFFER,the RX CPU4 buffer address" rgroup.long 0x7000++0x3 line.long 0x0 "RX_CPU5_BUFFER,the RX CPU5 buffer address" rgroup.long 0x8000++0x3 line.long 0x0 "RX_CPU6_BUFFER,the RX CPU6 buffer address" rgroup.long 0x9000++0x3 line.long 0x0 "RX_CPU7_BUFFER,the RX CPU7 buffer address" endif tree.end tree "MSHC (SD/eMMC)" sif (CORENAME()=="CORTEXR5F") repeat 4. (increment 1. 1.) (list ad:0xF4180000 ad:0xF4190000 ad:0xF41A0000 ad:0xF41B0000) tree "MSHC$1" base $2 tree "DWC_mshc_block (This register block defines the standard SD Host Controller register set)" group.long 0x0++0x3 line.long 0x0 "SDMASA_R,This register is used to configure a 32-bit Block Count or an SDMA System Address based on the Host Version 4 Enable bit in the Host Control 2 register. This register is applicable for both SD and eMMC modes." hexmask.long 0x0 0.--31. 1. "BLOCKCNT_SDMASA,32-bit Block Count (SDMA System Address) - SDMA System Address (Host Version 4 Enable = 0): This register contains the system memory address for an SDMA transfer in the 32-bit addressing mode. When the Host Controller stops an SDMA.." group.word 0x4++0x3 line.word 0x0 "BLOCKSIZE_R,This register is used to configure an SDMA buffer boundary and the number of bytes in a data block. This register is applicable for both SD and eMMC modes." newline rbitfld.word 0x0 15. "RSVD_BLOCKSIZE15,This bit of the BLOCKSIZE_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 12.--14. "SDMA_BUF_BDARY,SDMA Buffer Boundary These bits specify the size of contiguous buffer in system memory. The SDMA transfer waits at every boundary specified by these fields and the Host Controller generates the DMA interrupt to request the Host Driver to.." "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--11. 1. "XFER_BLOCK_SIZE,Transfer Block Size These bits specify the block size of data transfers. In case of memory it is set to 512 bytes. It can be accessed only if no transaction is executing. Read operations during transfers may return an invalid value and.." line.word 0x2 "BLOCKCOUNT_R,This register is used to configure the number of data blocks. This register is applicable for both SD and eMMC modes." newline hexmask.word 0x2 0.--15. 1. "BLOCK_CNT,16-bit Block Count - If the Host Version 4 Enable bit is set 0 or the 16-bit Block Count register is set to non-zero the 16-bit Block Count register is selected. - If the Host Version 4 Enable bit is set 1 and the 16-bit Block Count register.." group.long 0x8++0x3 line.long 0x0 "ARGUMENT_R,This register is used to configure the SD/eMMC command argument." hexmask.long 0x0 0.--31. 1. "ARGUMENT,Command Argument These bits specify the SD/eMMC command argument that is specified in bits 39-8 of the Command format." group.word 0xC++0x3 line.word 0x0 "XFER_MODE_R,This register is used to control the operation of data transfers for an SD/eMMC mode. The Host driver sets this register before issuing a command that transfers data." newline hexmask.word.byte 0x0 9.--15. 1. "RSVD,These bits of the XFER_MODE_R register are reserved. They always return 0." newline bitfld.word 0x0 8. "RESP_INT_DISABLE,Response Interrupt Disable The Host Controller supports response check function to avoid overhead of response error check by the Host driver. Response types of only R1 and R5 can be checked by the Controller. If Host Driver checks the.." "Response Interrupt is enabled,Response Interrupt is disabled" newline bitfld.word 0x0 7. "RESP_ERR_CHK_ENABLE,Response Error Check Enable The Host Controller supports response check function to avoid overhead of response error check by Host driver. Response types of only R1 and R5 can be checked by the Controller. If the Host Controller.." "Response Error Check is disabled,Response Error Check is enabled" newline bitfld.word 0x0 6. "RESP_TYPE,Response Type R1/R5 This bit selects either R1 or R5 as a response type when the Response Error Check is selected. Error statuses checked in R1: - OUT_OF_RANGE - ADDRESS_ERROR - BLOCK_LEN_ERROR - WP_VIOLATION - CARD_IS_LOCKED - COM_CRC_ERROR -.." "R1,R5" newline bitfld.word 0x0 5. "MULTI_BLK_SEL,Multi/Single Block Select This bit is set when issuing multiple-block transfer commands using the DAT line. If this bit is set to 0 it is not necessary to set the Block Count register. 0x1: Multiple Block 0x0: Single Block" "Single Block,Multiple Block" newline bitfld.word 0x0 4. "DATA_XFER_DIR,Data Transfer Direction Select This bit defines the direction of DAT line data transfers. This bit is set to 1 by the Host Driver to transfer data from the SD/eMMC card to the Host Controller and it is set to 0 for all other commands. 0x1:.." "Write,Read" newline bitfld.word 0x0 2.--3. "AUTO_CMD_ENABLE,Auto Command Enable This field determines use of Auto Command functions. Note: In SDIO this field must be set as 00b (Auto Command Disabled). 0x1: Auto CMD12 Enable 0x2: Auto CMD23 Enable 0x3: Auto CMD Auto Select 0x0: Auto Command.." "Auto Command Disabled,Auto CMD12 Enable,Auto CMD23 Enable,Auto CMD Auto Select" newline bitfld.word 0x0 1. "BLOCK_COUNT_ENABLE,Block Count Enable This bit is used to enable the Block Count register which is relevant for multiple block transfers. If this bit is set to 0 the Block Count register is disabled which is useful in executing an infinite transfer." "Disable,Enable" newline bitfld.word 0x0 0. "DMA_ENABLE,DMA Enable This bit enables the DMA functionality. If this bit is set to 1 a DMA operation begins when the Host Driver writes to the Command register. You can select one of the DMA modes by using DMA Select in the Host Control 1 register." "No data transfer or Non-DMA data transfer,DMA Data transfer" line.word 0x2 "CMD_R,This register is used to provide the information related to a command and a response packet. This register is applicable for an SD/eMMC mode." newline rbitfld.word 0x2 14.--15. "RSVD,These bits of the CMD_R register are reserved. They always return 0." "0,1,2,3" newline hexmask.word.byte 0x2 8.--13. 1. "CMD_INDEX,Command Index These bits are set to the command number that is specified in bits 45-40 of the Command Format." newline bitfld.word 0x2 6.--7. "CMD_TYPE,Command Type These bits indicate the command type. Note: While issuing Abort CMD using CMD12/CMD52 or reset CMD using CMD0/CMD52 CMD_TYPE field shall be set to 0x3. 0x3: Abort 0x0: Normal 0x2: Resume 0x1: Suspend" "Normal,Suspend,Resume,Abort" newline bitfld.word 0x2 5. "DATA_PRESENT_SEL,Data Present Select This bit is set to 1 to indicate that data is present and that the data is transferred using the DAT line. This bit is set to 0 in the following instances: - Command using the CMD line - Command with no data transfer.." "No Data Present,Data Present" newline bitfld.word 0x2 4. "CMD_IDX_CHK_ENABLE,Command Index Check Enable This bit enables the Host Controller to check the index field in the response to verify if it has the same value as the command index. If the value is not the same it is reported as a Command Index error." "Disable,Enable" newline bitfld.word 0x2 3. "CMD_CRC_CHK_ENABLE,Command CRC Check Enable This bit enables the Host Controller to check the CRC field in the response. If an error is detected it is reported as a Command CRC error. Note: - CRC Check enable must be set to 0 for the command with no.." "Disable,Enable" newline bitfld.word 0x2 2. "SUB_CMD_FLAG,Sub Command Flag This bit distinguishes between a main command and a sub command. 0x0: Main Command 0x1: Sub Command" "Main Command,Sub Command" newline bitfld.word 0x2 0.--1. "RESP_TYPE_SELECT,Response Type Select This bit indicates the type of response expected from the card. 0x0: No Response 0x1: Response Length 136 0x2: Response Length 48 0x3: Response Length 48; Check Busy after response" "No Response,Response Length 136,Response Length 48,Response Length 48; Check Busy after response" rgroup.long 0x10++0xF line.long 0x0 "RESP01_R,This register stores 39-08 bits of the Response Field for an SD/eMMC mode. The response for an SD/eMMC command can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R. RESP23_R. RESP45_R and RESP67_R." hexmask.long 0x0 0.--31. 1. "RESP01,Command Response These bits reflect 39-8 bits of SD/eMMC Response Field. Note: For Auto CMD the 32-bit response (bits 39-8 of the Response Field) is updated in the RESP67_R register." line.long 0x4 "RESP23_R,This register stores 71-40 bits of the Response Field for an SD/eMMC mode. This register is used to store the response from the cards. The response can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R." hexmask.long 0x4 0.--31. 1. "RESP23,Command Response These bits reflect 71-40 bits of the SD/eMMC Response Field." line.long 0x8 "RESP45_R,This register stores 103-72 bits of the Response Field for an SD/eMMC mode. The response for SD/eMMC command can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R. RESP23_R. RESP45_R and RESP67_R." hexmask.long 0x8 0.--31. 1. "RESP45,Command Response These bits reflect 103-72 bits of the Response Field." line.long 0xC "RESP67_R,This register stores 135-104 bits of the Response Field for an SD/eMMC mode. The SD/eMMC response can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R. RESP23_R. RESP45_R and RESP67_R." hexmask.long 0xC 0.--31. 1. "RESP67,Command Response These bits reflect bits 135-104 of SD/EMMC Response Field. Note: For Auto CMD this register also reflects the 32-bit response (bits 39-8 of the Response Field)." group.long 0x20++0x3 line.long 0x0 "BUF_DATA_R,This register is used to access the packet buffer. This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x0 0.--31. 1. "BUF_DATA,Buffer Data These bits enable access to the Host Controller packet buffer." rgroup.long 0x24++0x3 line.long 0x0 "PSTATE_REG,This register indicates the present status of the Host Controller. This register is applicable for an SD/eMMC/UHS-II mode." bitfld.long 0x0 31. "UHS2_IF_DETECT,UHS-II Interface Detection For SD/eMMC mode this bit always returns 0. 0x0: UHS-II interface is not detected 0x1: UHS-II interface is detected" "UHS-II interface is not detected,UHS-II interface is detected" newline bitfld.long 0x0 30. "LANE_SYNC,Lane Synchronization For SD/eMMC mode this bit always returns 0. 0x0: UHS-II PHY is not initialized 0x1: UHS-II PHY is initialized" "UHS-II PHY is not initialized,UHS-II PHY is initialized" newline bitfld.long 0x0 29. "IN_DORMANT_ST,In Dormant Status For SD/eMMC mode this bit always returns 0. 0x0: Not in DORMANT state 0x1: In DORMANT state" "Not in DORMANT state,In DORMANT state" newline bitfld.long 0x0 28. "SUB_CMD_STAT,Sub Command Status This bit is used to distinguish between a main command and a sub command status. 0x0: Main Command Status 0x1: Sub Command Status" "Main Command Status,Sub Command Status" newline bitfld.long 0x0 27. "CMD_ISSUE_ERR,Command Not Issued by Error This bit is set if a command cannot be issued after setting the command register due to an error except the Auto CMD12 error. 0x0: No error for issuing a command 0x1: Command cannot be issued" "No error for issuing a command,Command cannot be issued" newline bitfld.long 0x0 26. "RSVD_26,This bit of the PRESENT_ST_R register is reserved bits. It always returns 0." "0,1" newline bitfld.long 0x0 25. "HOST_REG_VOL,Host Regulator Voltage Stable This bit is used to check whether the host regulator voltage is stable for switching the voltage of UHS-I mode. This bit reflects the synchronized value of the host_reg_vol_stable signal. 0x0: Host Regulator.." "Host Regulator Voltage is not stable,Host Regulator Voltage is stable" newline bitfld.long 0x0 24. "CMD_LINE_LVL,Command-Line Signal Level This bit is used to check the CMD line level to recover from errors and for debugging. These bits reflect the value of the sd_cmd_in signal." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "DAT_3_0,DAT[3:0] Line Signal Level This bit is used to check the DAT line level to recover from errors and for debugging. These bits reflect the value of the sd_dat_in (lower nibble) signal." newline bitfld.long 0x0 19. "WR_PROTECT_SW_LVL,Write Protect Switch Pin Level This bit is supported only for memory and combo cards. This bit reflects the synchronized value of the card_write_prot signal. 0x0: Write protected 0x1: Write enabled" "Write protected,Write enabled" newline bitfld.long 0x0 18. "CARD_DETECT_PIN_LEVEL,Card Detect Pin Level This bit reflects the inverse synchronized value of the card_detect_n signal. 0x0: No card present 0x1: Card Present" "No card present,Card Present" newline bitfld.long 0x0 17. "CARD_STABLE,Card Stable This bit indicates the stability of the Card Detect Pin Level. A card is not detected if this bit is set to 1 and the value of the CARD_INSERTED bit is 0. 0x0: Reset or Debouncing 0x1: No Card or Inserted" "Reset or Debouncing,No Card or Inserted" newline bitfld.long 0x0 16. "CARD_INSERTED,Card Inserted This bit indicates whether a card has been inserted. The Host Controller debounces this signal so that Host Driver need not wait for it to stabilize. 0x0: Reset Debouncing or No card 0x1: Card Inserted" "Reset,Card Inserted" newline hexmask.long.byte 0x0 12.--15. 1. "RSVD_15_12,These bits of the PRESENT_STAT_R register are reserved. They always return 0." newline bitfld.long 0x0 11. "BUF_RD_ENABLE,Buffer Read Enable This bit is used for non-DMA transfers. This bit is set if valid data exists in the Host buffer. 0x0: Read disable 0x1: Read enable" "Read disable,Read enable" newline bitfld.long 0x0 10. "BUF_WR_ENABLE,Buffer Write Enable This bit is used for non-DMA transfers. This bit is set if space is available for writing data. 0x0: Write disable 0x1: Write enable" "Write disable,Write enable" newline bitfld.long 0x0 9. "RD_XFER_ACTIVE,Read Transfer Active This bit indicates whether a read transfer is active for SD/eMMC mode. 0x1: Transferring data 0x0: No valid data" "No valid data,Transferring data" newline bitfld.long 0x0 8. "WR_XFER_ACTIVE,Write Transfer Active This status indicates whether a write transfer is active for SD/eMMC mode. 0x1: Transferring data 0x0: No valid data" "No valid data,Transferring data" newline hexmask.long.byte 0x0 4.--7. 1. "DAT_7_4,DAT[7:4] Line Signal Level This bit is used to check the DAT line level to recover from errors and for debugging. These bits reflect the value of the sd_dat_in (upper nibble) signal." newline bitfld.long 0x0 3. "RE_TUNE_REQ,Re-Tuning Request DWC_mshc does not generate retuning request. The software must maintain the Retuning timer." "0,1" newline bitfld.long 0x0 2. "DAT_LINE_ACTIVE,DAT Line Active (SD/eMMC Mode only) This bit indicates whether one of the DAT lines on the SD/eMMC bus is in use. In the case of read transactions this bit indicates whether a read transfer is executing on the SD/eMMC bus. In the case of.." "DAT Line Inactive,DAT Line Active" newline bitfld.long 0x0 1. "CMD_INHIBIT_DAT,Command Inhibit (DAT) This bit is applicable for SD/eMMC mode and is generated if either DAT line active or Read transfer active is set to 1. If this bit is set to 0 it indicates that the Host Controller can issue subsequent SD/eMMC.." "Can issue command which used DAT line,Cannot issue command which used DAT line" newline bitfld.long 0x0 0. "CMD_INHIBIT,Command Inhibit (CMD) This bit indicates the following : - SD/eMMC mode: If this bit is set to 0 it indicates that the CMD line is not in use and the Host controller can issue an SD/eMMC command using the CMD line. This bit is set when the.." "Host Controller is ready to issue a command,Host Controller is not ready to issue a command" group.byte 0x28++0x3 line.byte 0x0 "HOST_CTRL1_R,This register is used to control the operation of the Host Controller. This register is applicable for an SD/eMMC/UHS-II mode." newline bitfld.byte 0x0 7. "CARD_DETECT_SIG_SEL,Card Detect Signal Selection This bit selects a source for card detection. When the source for the card detection is switched the interrupt must be disabled during the switching period. 0x1: Card Detect Test Level is selected (for.." "SDCD#,Card Detect Test Level is selected" newline bitfld.byte 0x0 6. "CARD_DETECT_TEST_LVL,Card Detect Test Level This bit is enabled while the Card Detect Signal Selection is set to 1 and it indicates whether a card inserted or not. 0x1: Card Inserted 0x0: No Card" "No Card,Card Inserted" newline bitfld.byte 0x0 5. "EXT_DAT_XFER,Extended Data Transfer Width This bit controls 8-bit bus width mode of embedded device. 0x0: Bus Width is selected by the Data Transfer Width 0x1: 8-bit Bus Width" "Bus Width is selected by the Data Transfer Width,?" newline bitfld.byte 0x0 3.--4. "DMA_SEL,DMA Select This field is used to select the DMA type. When Host Version 4 Enable is 1 in Host Control 2 register: - 0x0 - SDMA is selected - 0x1 - Reserved - 0x2 - ADMA2 is selected - 0x3 - ADMA2 or ADMA3 is selected When Host Version 4 Enable is.." "SDMA is selected,Reserved,ADMA2 is selected,ADMA2 or ADMA3 is selected" newline bitfld.byte 0x0 2. "HIGH_SPEED_EN,High Speed Enable (SD/eMMC Mode only) In SD/eMMC mode this bit is used to determine the selection of preset value for High Speed mode. Before setting this bit the Host Driver checks the High Speed Support in the Capabilities register." "Normal Speed mode,High Speed mode" newline bitfld.byte 0x0 1. "DAT_XFER_WIDTH,Data Transfer Width For SD/eMMC mode this bit selects the data transfer width of the Host Controller. The Host Driver sets it to match the data width of the SD/eMMC card. 0x1: 4-bit mode 0x0: 1-bit mode" "?,bit mode" newline bitfld.byte 0x0 0. "LED_CTRL,LED Control This bit is used to caution the user not to remove the card while the SD card is being accessed. The value is reflected on the led_control signal. 0x0: LED off 0x1: LED on" "LED off,LED on" line.byte 0x1 "PWR_CTRL_R,This register is used to control the bus power for the Card. This register is applicable for an SD. eMMC. and UHS-II modes." newline bitfld.byte 0x1 5.--7. "SD_BUS_VOL_VDD2,SD Bus Voltage Select for VDD2. This is irrelevant for SD/eMMC card. 0x6: Not used 0x7: Not used 0x0: VDD2 Not Supported 0x1: Reserved 0x2: Reserved 0x3: Reserved 0x4: Reserved for 1.2V 0x5: 1.8V" "VDD2 Not Supported,Reserved,Reserved,Reserved,Reserved for 1,?,Not used,Not used" newline bitfld.byte 0x1 4. "SD_BUS_PWR_VDD2,SD Bus Power for VDD2. This is irrelevant for SD/eMMC card. 0x0: Power off 0x1: Power on" "Power off,Power on" newline bitfld.byte 0x1 1.--3. "SD_BUS_VOL_VDD1,SD Bus Voltage Select for VDD1/eMMC Bus Voltage Select for VDD These bits enable the Host Driver to select the voltage level for an SD/eMMC card. Before setting this register the Host Driver checks the Voltage Support bits in the.." "Reserved,Reserved,Reserved,Reserved,Reserved,?,?,?" newline bitfld.byte 0x1 0. "SD_BUS_PWR_VDD1,SD Bus Power for VDD1 This bit enables VDD1 power of the card. This setting is available on the sd_vdd1_on output of DWC_mshc so that it can be used to control the VDD1 power supply of the card. Before setting this bit the SD Host Driver.." "Power off,Power on" line.byte 0x2 "BGAP_CTRL_R,This register is used by the host driver to control any operation related to Block Gap. This register is applicable for an SD/eMMC/UHS-II mode." newline hexmask.byte 0x2 4.--7. 1. "RSVD_7_4,These bits of the Block Gap Control register are reserved. They always return 0." newline bitfld.byte 0x2 3. "INT_AT_BGAP,Interrupt At Block Gap This bit is valid only in the 4-bit mode of an SDIO card and is used to select a sample point in the interrupt cycle. Setting to 1 enables interrupt detection at the block gap for a multiple block transfer. 0x0:.." "Disabled,Enabled" newline bitfld.byte 0x2 2. "RD_WAIT_CTRL,Read Wait Control This bit is used to enable the read wait protocol to stop read data using DAT[2] line if the card supports read wait. Otherwise the Host Controller has to stop the card clock to hold the read data. 0x0: Disable Read Wait.." "Disable Read Wait Control,Enable Read Wait Control" newline bitfld.byte 0x2 1. "CONTINUE_REQ,Continue Request This bit is used to restart the transaction which was stopped using the Stop At Block Gap Request. The Host Controller automatically clears this bit when the transaction restarts. If stop at block gap request is set to 1.." "No Affect,Restart" newline bitfld.byte 0x2 0. "STOP_BG_REQ,Stop At Block Gap Request This bit is used to stop executing read and write transactions at the next block gap for non-DMA SDMA and ADMA transfers. 0x1: Stop 0x0: Transfer" "Transfer,Stop" line.byte 0x3 "WUP_CTRL_R,This register is mandatory for the Host Controller. but the wakeup functionality depends on the Host Controller system hardware and software. The Host Driver maintains voltage on the SD Bus by setting the SD Bus Power to 1 in the Power Control.." newline hexmask.byte 0x3 3.--7. 1. "RSVD_7_3,These bits of Wakeup Control register are reserved. They always return 0." newline bitfld.byte 0x3 2. "CARD_REMOVAL,Wakeup Event Enable on SD Card Removal This bit enables wakeup event through Card Removal assertion in the Normal Interrupt Status register. For the SDIO card Wake Up Support (FN_WUS) in the Card Information Structure (CIS) register does.." "Disable,Enable" newline bitfld.byte 0x3 1. "CARD_INSERT,Wakeup Event Enable on SD Card Insertion This bit enables wakeup event through Card Insertion assertion in the Normal Interrupt Status register. FN_WUS (Wake Up Support) in CIS does not affect this bit. 0x0: Disable 0x1: Enable" "Disable,Enable" newline bitfld.byte 0x3 0. "CARD_INT,Wakeup Event Enable on Card Interrupt This bit enables wakeup event through a Card Interrupt assertion in the Normal Interrupt Status register. This bit can be set to 1 if FN_WUS (Wake Up Support) in CIS is set to 1. 0x0: Disable 0x1: Enable" "Disable,Enable" group.word 0x2C++0x1 line.word 0x0 "CLK_CTRL_R,This register controls SDCLK (card clock) in an SD/eMMC mode and RCLK in the UHS-II mode. This register is applicable for an SD/eMMC/UHS-II mode." newline hexmask.word.byte 0x0 8.--15. 1. "FREQ_SEL,SDCLK/RCLK Frequency Select These bits are used to select the frequency of the SDCLK signal. These bits depend on setting of Preset Value Enable in the Host Control 2 register. If Preset Value Enable = 0 these bits are set by the Host Driver." newline bitfld.word 0x0 6.--7. "UPPER_FREQ_SEL,These bits specify the upper 2 bits of 10-bit SDCLK/RCLK Frequency Select control. The value is reflected on the upper 2 bits of the card_clk_freq_sel signal." "0,1,2,3" newline bitfld.word 0x0 5. "CLK_GEN_SELECT,Clock Generator Select This bit is used to select the clock generator mode in SDCLK/RCLK Frequency Select. If Preset Value Enable = 0 this bit is set by the Host Driver. If Preset Value Enable = 1 this bit is automatically set to a value.." "Divided Clock Mode,Programmable Clock Mode" newline rbitfld.word 0x0 4. "RSVD_4,This bit of the CLK_CTRL_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 3. "PLL_ENABLE,PLL Enable This bit is used to activate the PLL (applicable when Host Version 4 Enable = 1). When Host Version 4 Enable = 0 INTERNAL_CLK_EN bit may be used to activate PLL. The value is reflected on the card_clk_en signal. Note: If this bit.." "PLL is in low power mode,PLL is enabled" newline bitfld.word 0x0 2. "SD_CLK_EN,SD/eMMC Clock Enable This bit stops the SDCLK or RCLK when set to 0. The SDCLK/RCLK Frequency Select bit can be changed when this bit is set to 0. The value is reflected on the clk2card_on pin. 0x0: Disable providing SDCLK/RCLK 0x1: Enable.." "Disable providing SDCLK/RCLK,Enable providing SDCLK/RCLK" newline rbitfld.word 0x0 1. "INTERNAL_CLK_STABLE,Internal Clock Stable This bit enables the Host Driver to check the clock stability twice after the Internal Clock Enable bit is set and after the PLL Enable bit is set. This bit reflects the synchronized value of the intclk_stable.." "Not Ready,Ready" newline bitfld.word 0x0 0. "INTERNAL_CLK_EN,Internal Clock Enable This bit is set to 0 when the Host Driver is not using the Host Controller or the Host Controller awaits a wakeup interrupt. The Host Controller must stop its internal clock to enter a very low power state. However.." "Stop,Oscillate" group.byte 0x2E++0x1 line.byte 0x0 "TOUT_CTRL_R,This register is used to set the Data Timeout Counter value for an SD/eMMC mode according to the timer clock defined by the Capabilities register. while initializig the Host Controller." newline hexmask.byte 0x0 4.--7. 1. "RSVD_7_4,These bits of the Timeout Control register are reserved. They always return 0." newline hexmask.byte 0x0 0.--3. 1. "TOUT_CNT,Data Timeout Counter Value. This value determines the interval by which DAT line timeouts are detected. The Timeout clock frequency is generated by dividing the base clock TMCLK value by this value. When setting this register prevent.." line.byte 0x1 "SW_RST_R,This register is used to generate a reset pulse by writing 1 to each bit of this register. After completing the reset. the Host Controller clears each bit. As it takes some time to complete a software reset. the Host Driver confirms that these.." newline hexmask.byte 0x1 3.--7. 1. "RSVD_7_3,These bits of the SW_RST_R register are reserved. They always return 0." newline bitfld.byte 0x1 2. "SW_RST_DAT,Software Reset For DAT line This bit is used in SD/eMMC mode and it resets only a part of the data circuit and the DMA circuit is also reset. The following registers and bits are cleared by this bit: - Buffer Data Port register -- Buffer is.." "Work,Reset" newline bitfld.byte 0x1 1. "SW_RST_CMD,Software Reset For CMD line This bit resets only a part of the command circuit to be able to issue a command. This reset is effective only for a command issuing circuit (including response error statuses related to Command Inhibit (CMD).." "Work,Reset" newline bitfld.byte 0x1 0. "SW_RST_ALL,Software Reset For All This reset affects the entire Host Controller except for the card detection circuit. During its initialization the Host Driver sets this bit to 1 to reset the Host Controller. All registers are reset except the.." "Work,Reset" group.word 0x30++0xB line.word 0x0 "NORMAL_INT_STAT_R,This register reflects the status of the Normal Interrupt. This register is applicable for an SD/eMMC/UHS-II mode." newline rbitfld.word 0x0 15. "ERR_INTERRUPT,Error Interrupt If any of the bits in the Error Interrupt Status register are set then this bit is set. 0x0: No Error 0x1: Error" "No Error,Error" newline bitfld.word 0x0 14. "CQE_EVENT,Command Queuing Event This status is set if Command Queuing/Crypto related event has occurred in eMMC/SD mode. Read CQHCI's CQIS/CRNQIS register for more details. 0x0: No Event 0x1: Command Queuing Event is detected" "No Event,Command Queuing Event is detected" newline rbitfld.word 0x0 13. "FX_EVENT,FX Event This status is set when R[14] of response register is set to 1 and Response Type R1/R5 is set to 0 in Transfer Mode register. This interrupt is used with response check function. 0x0: No Event 0x1: FX Event is detected" "No Event,FX Event is detected" newline rbitfld.word 0x0 12. "RE_TUNE_EVENT,Re-tuning Event This bit is set if the Re-Tuning Request changes from 0 to 1. Re-Tuning request is not supported." "0,1" newline rbitfld.word 0x0 11. "INT_C,INT_C (Embedded) This bit is set if INT_C is enabled and if INT_C# pin is in low level. The INT_C# pin is not supported." "0,1" newline rbitfld.word 0x0 10. "INT_B,INT_B (Embedded) This bit is set if INT_B is enabled and if INT_B# pin is in low level. The INT_B# pin is not supported." "0,1" newline rbitfld.word 0x0 9. "INT_A,INT_A (Embedded) This bit is set if INT_A is enabled and if INT_A# pin is in low level. The INT_A# pin is not supported." "0,1" newline rbitfld.word 0x0 8. "CARD_INTERRUPT,Card Interrupt This bit reflects the synchronized value of: - DAT[1] Interrupt Input for SD Mode - DAT[2] Interrupt Input for UHS-II Mode 0x0: No Card Interrupt 0x1: Generate Card Interrupt" "No Card Interrupt,Generate Card Interrupt" newline bitfld.word 0x0 7. "CARD_REMOVAL,Card Removal This bit is set if the Card Inserted in the Present State register changes from 1 to 0. 0x0: Card state stable or Debouncing 0x1: Card Removed" "Card state stable or Debouncing,Card Removed" newline bitfld.word 0x0 6. "CARD_INSERTION,Card Insertion This bit is set if the Card Inserted in the Present State register changes from 0 to 1. 0x0: Card state stable or Debouncing 0x1: Card Inserted" "Card state stable or Debouncing,Card Inserted" newline bitfld.word 0x0 5. "BUF_RD_READY,Buffer Read Ready This bit is set if the Buffer Read Enable changes from 0 to 1. 0x0: Not ready to read buffer 0x1: Ready to read buffer" "Not ready to read buffer,Ready to read buffer" newline bitfld.word 0x0 4. "BUF_WR_READY,Buffer Write Ready This bit is set if the Buffer Write Enable changes from 0 to 1. 0x0: Not ready to write buffer 0x1: Ready to write buffer" "Not ready to write buffer,Ready to write buffer" newline bitfld.word 0x0 3. "DMA_INTERRUPT,DMA Interrupt This bit is set if the Host Controller detects the SDMA Buffer Boundary during transfer. In case of ADMA by setting the Int field in the descriptor table the Host controller generates this interrupt. This interrupt is not.." "No DMA Interrupt,DMA Interrupt is generated" newline bitfld.word 0x0 2. "BGAP_EVENT,Block Gap Event This bit is set when both read/write transaction is stopped at block gap due to a Stop at Block Gap Request. 0x0: No Block Gap Event 0x1: Transaction stopped at block gap" "No Block Gap Event,Transaction stopped at block gap" newline bitfld.word 0x0 1. "XFER_COMPLETE,Transfer Complete This bit is set when a read/write transfer and a command with status busy is completed. 0x0: Not complete 0x1: Command execution is completed" "Not complete,Command execution is completed" newline bitfld.word 0x0 0. "CMD_COMPLETE,Command Complete In an SD/eMMC Mode this bit is set when the end bit of a response except for Auto CMD12 and Auto CMD23. This interrupt is not generated when the Response Interrupt Disable in Transfer Mode Register is set to 1. 0x0: No.." "No command complete,Command Complete" line.word 0x2 "ERROR_INT_STAT_R,This register enables an interrupt when the Error Interrupt Status Enable is enabled and at least one of the statuses is set to 1. Writing to 1 clears the bit and writing to 0 retains the bit unchanged. Signals defined in this register.." newline bitfld.word 0x2 15. "VENDOR_ERR3,This bit (VENDOR_ERR3) of the ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 14. "VENDOR_ERR2,This bit (VENDOR_ERR2) of the ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 13. "VENDOR_ERR1,This bit (VENDOR_ERR1) of the ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 12. "BOOT_ACK_ERR,Boot Acknowledgement Error This bit is set when there is a timeout for boot acknowledgement or when detecting boot ack status having a value other than 010. This is applicable only when boot acknowledgement is expected in eMMC mode. 0x0: No.." "No error,Error" newline bitfld.word 0x2 11. "RESP_ERR,Response Error Host Controller Version 4.00 supports response error check function to avoid overhead of response error check by Host Driver during DMA execution. If Response Error Check Enable is set to 1 in the Transfer Mode register Host.." "No error,Error" newline bitfld.word 0x2 10. "TUNING_ERR,Tuning Error This bit is set when an unrecoverable error is detected in a tuning circuit except during the tuning procedure (occurrence of an error during tuning procedure is indicated by Sampling Clock Select in the Host Control 2 register)." "No error,Error" newline bitfld.word 0x2 9. "ADMA_ERR,ADMA Error This bit is set when the Host Controller detects error during ADMA-based data transfer. The error could be due to following reasons: - Error response received from System bus (Master I/F) - ADMA3 ADMA2 Descriptors invalid - CQE Task.." "No error,Error" newline bitfld.word 0x2 8. "AUTO_CMD_ERR,Auto CMD Error This error status is used by Auto CMD12 and Auto CMD23 in SD/eMMC mode. This bit is set when detecting that any of the bits D00 to D05 in Auto CMD Error Status register has changed from 0 to 1. D07 is effective in case of Auto.." "No error,Error" newline bitfld.word 0x2 7. "CUR_LMT_ERR,Current Limit Error By setting the SD Bus Power bit in the Power Control register the Host Controller is requested to supply power for the SD Bus. If the Host Controller supports the Current Limit function it can be protected from an.." "No error,Power Fail" newline bitfld.word 0x2 6. "DATA_END_BIT_ERR,Data End Bit Error This error occurs in SD/eMMC mode either when detecting 0 at the end bit position of read data that uses the DAT line or at the end bit position of the CRC status. 0x0: No error 0x1: Error" "No error,Error" newline bitfld.word 0x2 5. "DATA_CRC_ERR,Data CRC Error This error occurs in SD/eMMC mode when detecting CRC error when transferring read data which uses the DAT line when detecting the Write CRC status having a value of other than 010 or when write CRC status timeout. 0x0: No.." "No error,Error" newline bitfld.word 0x2 4. "DATA_TOUT_ERR,Data Timeout Error This bit is set in SD/eMMC mode when detecting one of the following timeout conditions: - Busy timeout for R1b R5b type - Busy timeout after Write CRC status - Write CRC Status timeout - Read Data timeout 0x0: No error.." "No error,Time out" newline bitfld.word 0x2 3. "CMD_IDX_ERR,Command Index Error This bit is set if a Command Index error occurs in the command respons in SD/eMMC mode. 0x0: No error 0x1: Error" "No error,Error" newline bitfld.word 0x2 2. "CMD_END_BIT_ERR,Command End Bit Error This bit is set when detecting that the end bit of a command response is 0 in SD/eMMC mode. 0x0: No error 0x1: End Bit error generated" "No error,End Bit error generated" newline bitfld.word 0x2 1. "CMD_CRC_ERR,Command CRC Error Command CRC Error is generated in SD/eMMC mode for following two cases. - If a response is returned and the Command Timeout Error is set to 0 (indicating no timeout) this bit is set to 1 when detecting a CRC error in the.." "No error,CRC error generated" newline bitfld.word 0x2 0. "CMD_TOUT_ERR,Command Timeout Error In SD/eMMC Mode this bit is set only if no response is returned within 64 SD clock cycles from the end bit of the command. If the Host Controller detects a CMD line conflict along with Command CRC Error bit this bit.." "No error,Time out" line.word 0x4 "NORMAL_INT_STAT_EN_R,This register enables the Interrupt Status for Normal Interrupt Status register (NORMAL_INT_STAT_R) when NORMAL_INT_STAT_R is set to 1. This register is applicable for an SD/eMMC/UHS-II mode." newline rbitfld.word 0x4 15. "RSVD_15,This bit of the NORMAL_INT_STAT_EN_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x4 14. "CQE_EVENT_STAT_EN,CQE Event Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 13. "FX_EVENT_STAT_EN,FX Event Status Enable This bit is added from Version 4.10. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 12. "RE_TUNE_EVENT_STAT_EN,Re-Tuning Event (UHS-I only) Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 11. "INT_C_STAT_EN,INT_C (Embedded) Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Host Driver may clear this bit before servicing the INT_C and may set this bit again after all interrupt requests to.." "Masked,Enabled" newline bitfld.word 0x4 10. "INT_B_STAT_EN,INT_B (Embedded) Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Host Driver may clear this bit before servicing the INT_B and may set this bit again after all interrupt requests to.." "Masked,Enabled" newline bitfld.word 0x4 9. "INT_A_STAT_EN,INT_A (Embedded) Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Host Driver may clear this bit before servicing the INT_A and may set this bit again after all interrupt requests to.." "Masked,Enabled" newline bitfld.word 0x4 8. "CARD_INTERRUPT_STAT_EN,Card Interrupt Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Card Interrupt detection is stopped when this bit is cleared and restarted when this bit is set to 1. The.." "Masked,Enabled" newline bitfld.word 0x4 7. "CARD_REMOVAL_STAT_EN,Card Removal Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 6. "CARD_INSERTION_STAT_EN,Card Insertion Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 5. "BUF_RD_READY_STAT_EN,Buffer Read Ready Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 4. "BUF_WR_READY_STAT_EN,Buffer Write Ready Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 3. "DMA_INTERRUPT_STAT_EN,DMA Interrupt Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 2. "BGAP_EVENT_STAT_EN,Block Gap Event Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 1. "XFER_COMPLETE_STAT_EN,Transfer Complete Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 0. "CMD_COMPLETE_STAT_EN,Command Complete Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" line.word 0x6 "ERROR_INT_STAT_EN_R,This register sets the Interrupt Status for Error Interrupt Status register (ERROR_INT_STAT_R). when ERROR_INT_STAT_EN_R is set to 1. This register is applicable for an SD/eMMC/UHS-II mode." newline bitfld.word 0x6 15. "VENDOR_ERR_STAT_EN3,The 15th bit of Error Interrupt Status Enable register is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 14. "VENDOR_ERR_STAT_EN2,The 14th bit of Error Interrupt Status Enable register is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 13. "VENDOR_ERR_STAT_EN1,The 13th bit of Error Interrupt Status Enable register is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 12. "BOOT_ACK_ERR_STAT_EN,Boot Acknowledgment Error (eMMC Mode only) Setting this bit to 1 enables setting of Boot Acknowledgment Error in Error Interrupt Status register (ERROR_INT_STAT_R). 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 11. "RESP_ERR_STAT_EN,Response Error Status Enable (SD Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 10. "TUNING_ERR_STAT_EN,Tuning Error Status Enable (UHS-I Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 9. "ADMA_ERR_STAT_EN,ADMA Error Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 8. "AUTO_CMD_ERR_STAT_EN,Auto CMD Error Status Enable (SD/eMMC Mode only). 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 7. "CUR_LMT_ERR_STAT_EN,Current Limit Error Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 6. "DATA_END_BIT_ERR_STAT_EN,Data End Bit Error Status Enable (SD/eMMC Mode only). 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 5. "DATA_CRC_ERR_STAT_EN,Data CRC Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 4. "DATA_TOUT_ERR_STAT_EN,Data Timeout Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 3. "CMD_IDX_ERR_STAT_EN,Command Index Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 2. "CMD_END_BIT_ERR_STAT_EN,Command End Bit Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 1. "CMD_CRC_ERR_STAT_EN,Command CRC Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 0. "CMD_TOUT_ERR_STAT_EN,Command Timeout Error Status Enable (SD/eMMC Mode only). 0x0: Masked 0x1: Enabled" "Masked,Enabled" line.word 0x8 "NORMAL_INT_SIGNAL_EN_R,This register is used to select the interrupt status that is indicated to the Host System as the interrupt. All these status bits share the same 1-bit interrupt line. Setting any of these bits to 1. enables interrupt generation." newline rbitfld.word 0x8 15. "RSVD_15,This bit of the NORMAL_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x8 14. "CQE_EVENT_SIGNAL_EN,Command Queuing Engine Event Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 13. "FX_EVENT_SIGNAL_EN,FX Event Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 12. "RE_TUNE_EVENT_SIGNAL_EN,Re-Tuning Event (UHS-I only) Signal Enable. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 11. "INT_C_SIGNAL_EN,INT_C (Embedded) Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 10. "INT_B_SIGNAL_EN,INT_B (Embedded) Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 9. "INT_A_SIGNAL_EN,INT_A (Embedded) Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 8. "CARD_INTERRUPT_SIGNAL_EN,Card Interrupt Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 7. "CARD_REMOVAL_SIGNAL_EN,Card Removal Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 6. "CARD_INSERTION_SIGNAL_EN,Card Insertion Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 5. "BUF_RD_READY_SIGNAL_EN,Buffer Read Ready Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 4. "BUF_WR_READY_SIGNAL_EN,Buffer Write Ready Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 3. "DMA_INTERRUPT_SIGNAL_EN,DMA Interrupt Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 2. "BGAP_EVENT_SIGNAL_EN,Block Gap Event Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 1. "XFER_COMPLETE_SIGNAL_EN,Transfer Complete Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 0. "CMD_COMPLETE_SIGNAL_EN,Command Complete Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" line.word 0xA "ERROR_INT_SIGNAL_EN_R,This register is used to select the interrupt status that is notified to the Host System as an interrupt. All these status bits share the same 1-bit interrupt line. Setting any of these bits to 1 enables interrupt generation. This.." newline bitfld.word 0xA 15. "VENDOR_ERR_SIGNAL_EN3,The 16th bit of Error Interrupt Signal Enable is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 14. "VENDOR_ERR_SIGNAL_EN2,The 15th bit of Error Interrupt Signal Enable is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 13. "VENDOR_ERR_SIGNAL_EN1,The 14th bit of Error Interrupt Signal Enable is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 12. "BOOT_ACK_ERR_SIGNAL_EN,Boot Acknowledgment Error (eMMC Mode only). Setting this bit to 1 enables generating interrupt signal when Boot Acknowledgement Error in Error Interrupt Status register is set. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 11. "RESP_ERR_SIGNAL_EN,Response Error Signal Enable (SD Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 10. "TUNING_ERR_SIGNAL_EN,Tuning Error Signal Enable (UHS-I Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 9. "ADMA_ERR_SIGNAL_EN,ADMA Error Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 8. "AUTO_CMD_ERR_SIGNAL_EN,Auto CMD Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 7. "CUR_LMT_ERR_SIGNAL_EN,Current Limit Error Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 6. "DATA_END_BIT_ERR_SIGNAL_EN,Data End Bit Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 5. "DATA_CRC_ERR_SIGNAL_EN,Data CRC Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 4. "DATA_TOUT_ERR_SIGNAL_EN,Data Timeout Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 3. "CMD_IDX_ERR_SIGNAL_EN,Command Index Error Signal Enable (SD/eMMC Mode only) 0x0: No error 0x1: Error" "No error,Error" newline bitfld.word 0xA 2. "CMD_END_BIT_ERR_SIGNAL_EN,Command End Bit Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 1. "CMD_CRC_ERR_SIGNAL_EN,Command CRC Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 0. "CMD_TOUT_ERR_SIGNAL_EN,Command Timeout Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" rgroup.word 0x3C++0x1 line.word 0x0 "AUTO_CMD_STAT_R,This register is used to indicate the CMD12 response error of Auto CMD12. and the CMD23 response error of Auto CMD23. The Host driver can determine the kind of Auto CMD12/CMD23 errors that can occur in this register. Auto CMD23 errors are.." newline hexmask.word.byte 0x0 8.--15. 1. "RSVD_15_8,These bits of the AUTO_CMD_STAT_R register are reserved bits. They always return 0." newline bitfld.word 0x0 7. "CMD_NOT_ISSUED_AUTO_CMD12,Command Not Issued By Auto CMD12 Error If this bit is set to 1 CMD_wo_DAT is not executed due to an Auto CMD12 Error (D04-D01) in this register. This bit is set to 0 when Auto CMD Error is generated by Auto CMD23. 0x0: No Error.." "No Error,Not Issued" newline bitfld.word 0x0 6. "RSVD_6,This bit of the AUTO_CMD_STAR_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 5. "AUTO_CMD_RESP_ERR,Auto CMD Response Error This bit is set when Response Error Check Enable in the Transfer Mode register is set to 1 and an error is detected in R1 response of either Auto CMD12 or CMD13. This status is ignored if any bit between D00 to.." "No Error,Error" newline bitfld.word 0x0 4. "AUTO_CMD_IDX_ERR,Auto CMD Index Error This bit is set if the command index error occurs in response to a command. 0x0: No Error 0x1: Error" "No Error,Error" newline bitfld.word 0x0 3. "AUTO_CMD_EBIT_ERR,Auto CMD End Bit Error This bit is set when detecting that the end bit of command response is 0. 0x0: No Error 0x1: End Bit Error Generated" "No Error,End Bit Error Generated" newline bitfld.word 0x0 2. "AUTO_CMD_CRC_ERR,Auto CMD CRC Error This bit is set when detecting a CRC error in the command response. 0x0: No Error 0x1: CRC Error Generated" "No Error,CRC Error Generated" newline bitfld.word 0x0 1. "AUTO_CMD_TOUT_ERR,Auto CMD Timeout Error This bit is set if no response is returned with 64 SDCLK cycles from the end bit of the command. If this bit is set to 1 error status bits (D04-D01) are meaningless. 0x0: No Error 0x1: Time out" "No Error,Time out" newline bitfld.word 0x0 0. "AUTO_CMD12_NOT_EXEC,Auto CMD12 Not Executed If multiple memory block data transfer is not started due to a command error this bit is not set because it is not necessary to issue an Auto CMD12. Setting this bit to 1 means that the Host Controller cannot.." "Executed,Not Executed" group.word 0x3E++0x1 line.word 0x0 "HOST_CTRL2_R,This register is used to control how the Host Controller operates. This register is applicable for an SD/eMMC/UHS-II mode." newline bitfld.word 0x0 15. "PRESET_VAL_ENABLE,Preset Value Enable This bit enables automatic selection of SDCLK frequency and Driver strength Preset Value registers. When Preset Value Enable is set SDCLK frequency generation (Frequency Select and Clock Generator Select) and the.." "SDCLK and Driver Strength are controlled by Host..,Automatic Selection by Preset Value are Enabled" newline bitfld.word 0x0 14. "ASYNC_INT_ENABLE,Asynchronous Interrupt Enable This bit can be set if a card supports asynchronous interrupts and Asynchronous Interrupt Support is set to 1 in the Capabilities register. 0x0: Disabled 0x1: Enabled" "Disabled,Enabled" newline bitfld.word 0x0 13. "ADDRESSING,64-bit Addressing This bit is effective when Host Version 4 Enable is set to 1. 0x0: 32 bits addressing 0x1: 64 bits addressing" "0,1" newline bitfld.word 0x0 12. "HOST_VER4_ENABLE,Host Version 4 Enable This bit selects either Version 3.00 compatible mode or Version 4 mode. Functions of following fields are modified for Host Version 4 mode: - SDMA Address: SDMA uses ADMA System Address (05Fh-058h) instead of SDMA.." "Version 3,Version 4 mode" newline bitfld.word 0x0 11. "CMD23_ENABLE,CMD23 Enable If the card supports CMD23 this bit is set to 1. This bit is used to select Auto CMD23 or Auto CMD12 for ADMA3 data transfer. 0x0: Auto CMD23 is disabled 0x1: Auto CMD23 is enabled" "Auto CMD23 is disabled,Auto CMD23 is enabled" newline bitfld.word 0x0 10. "ADMA2_LEN_MODE,ADMA2 Length Mode This bit selects ADMA2 Length mode to be either 16-bit or 26-bit. 0x0: 16-bit Data Length Mode 0x1: 26-bit Data Length Mode" "0,1" newline rbitfld.word 0x0 9. "RSVD_9,This bit of the HOST_CTRL2_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 8. "UHS2_IF_ENABLE,UHS-II Interface Enable This bit is used to enable the UHS-II Interface. The value is reflected on the uhs2_if_en pin. 0x0: SD/eMMC Interface Enabled 0x1: UHS-II Interface Enabled" "SD/eMMC Interface Enabled,UHS-II Interface Enabled" newline bitfld.word 0x0 7. "SAMPLE_CLK_SEL,Sampling Clock Select This bit is used by the Host Controller to select the sampling clock in SD/eMMC mode to receive CMD and DAT. This bit is set by the tuning procedure and is valid after the completion of tuning (when Execute Tuning is.." "Fixed clock is used to sample data,Tuned clock is used to sample data" newline bitfld.word 0x0 6. "EXEC_TUNING,Execute Tuning This bit is set to 1 to start the tuning procedure in UHS-I/eMMC speed modes and this bit is automatically cleared when tuning procedure is completed. 0x0: Not Tuned or Tuning completed 0x1: Execute Tuning" "Not Tuned or Tuning completed,Execute Tuning" newline bitfld.word 0x0 4.--5. "DRV_STRENGTH_SEL,Driver Strength Select This bit is used to select the Host Controller output driver in 1.8V signaling UHS-I/eMMC speed modes. The bit depends on setting of Preset Value Enable. The value is reflected on the uhs1_drv_sth pin. 0x1: Driver.." "Driver TYPEB is selected,Driver TYPEA is selected,Driver TYPEC is selected,Driver TYPED is selected" newline bitfld.word 0x0 3. "SIGNALING_EN,1.8V Signaling Enable This bit controls voltage regulator for I/O cell in UHS-I/eMMC speed modes. Setting this bit from 0 to 1 starts changing the signal voltage from 3.3V to 1.8V. Host Controller clears this bit if switching to 1.8.." "0,1" newline bitfld.word 0x0 0.--2. "UHS_MODE_SEL,UHS Mode/eMMC Speed Mode Select These bits are used to select UHS mode in the SD mode of operation. In eMMC mode these bits are used to select eMMC Speed mode. UHS Mode (SD/UHS-II mode only): - 0x0: SDR12 - 0x1: SDR25 - 0x2: SDR50 - 0x3:.." "SDR12/Legacy,SDR25/High Speed SDR,SDR50,SDR104/HS200,DDR50/High Speed DDR,Reserved,Reserved,UHS-II/HS400" rgroup.long 0x40++0xF line.long 0x0 "CAPABILITIES1_R,This register provides the Host Driver with information specific to the Host Controller implementation. The host controller may implement these values as fixed or loaded from the flash memory during power on initialization. Capabilities.." bitfld.long 0x0 30.--31. "SLOT_TYPE_R,Slot Type These bits indicate usage of a slot by a specific Host System. 0x1: Embedded Slot for one Device 0x0: Removable Card Slot 0x2: Shared Bus Slot (SD mode) 0x3: UHS-II Multiple Embedded Devices" "Removable Card Slot,Embedded Slot for one Device,Shared Bus Slot,UHS-II Multiple Embedded Devices" newline bitfld.long 0x0 29. "ASYNC_INT_SUPPORT,Asynchronous Interrupt Support (SD Mode only) 0x0: Asynchronous Interrupt Not Supported 0x1: Asynchronous Interrupt Supported" "Asynchronous Interrupt Not Supported,Asynchronous Interrupt Supported" newline bitfld.long 0x0 28. "SYS_ADDR_64_V3,64-bit System Address Support for V3 This bit sets the Host controller to support 64-bit System Addressing of V3 mode. SDMA cannot be used in 64-bit Addressing in Version 3 Mode. If this bit is set to 1 64-bit ADMA2 with using 96-bit.." "0,1" newline bitfld.long 0x0 27. "SYS_ADDR_64_V4,64-bit System Address Support for V4 This bit sets the Host Controller to support 64-bit System Addressing of V4 mode. When this bit is set to 1 full or part of 64-bit address must be used to decode the Host Controller Registers so that.." "0,1" newline bitfld.long 0x0 26. "VOLT_18,Voltage Support for 1.8V 0x0: 1.8V Not Supported 0x1: 1.8V Supported" "0,1" newline bitfld.long 0x0 25. "VOLT_30,Voltage Support for SD 3.0V or Embedded 1.2V 0x0: SD 3.0V or Embedded 1.2V Not Supported 0x1: SD 3.0V or Embedded Supported" "SD 3,SD 3" newline bitfld.long 0x0 24. "VOLT_33,Voltage Support for 3.3V 0x0: 3.3V Not Supported 0x1: 3.3V Supported" "0,1" newline bitfld.long 0x0 23. "SUS_RES_SUPPORT,Suspense/Resume Support This bit indicates whether the Host Controller supports Suspend/Resume functionality. If this bit is 0 the Host Driver does not issue either Suspend or Resume commands because the Suspend and Resume mechanism is.." "Not Supported,Supported" newline bitfld.long 0x0 22. "SDMA_SUPPORT,SDMA Support This bit indicates whether the Host Controller is capable of using SDMA to transfer data between the system memory and the Host Controller directly. 0x0: SDMA not Supported 0x1: SDMA Supported" "SDMA not Supported,SDMA Supported" newline bitfld.long 0x0 21. "HIGH_SPEED_SUPPORT,High Speed Support This bit indicates whether the Host Controller and the Host System supports High Speed mode and they can supply the SD Clock frequency from 25 MHz to 50 MHz. 0x0: High Speed not Supported 0x1: High Speed Supported" "High Speed not Supported,High Speed Supported" newline bitfld.long 0x0 20. "RSVD_20,This bit of the CAPABILITIES1_R is a reserved. It always returns 0." "0,1" newline bitfld.long 0x0 19. "ADMA2_SUPPORT,ADMA2 Support This bit indicates whether the Host Controller is capable of using ADMA2. 0x0: ADMA2 not Supported 0x1: ADMA2 Supported" "ADMA2 not Supported,ADMA2 Supported" newline bitfld.long 0x0 18. "EMBEDDED_8_BIT,8-bit Support for Embedded Device This bit indicates whether the Host Controller is capable of using an 8-bit bus width mode. This bit is not effective when the Slot Type is set to 10b. 0x0: 8-bit Bus Width not Supported 0x1: 8-bit Bus.." "0,1" newline bitfld.long 0x0 16.--17. "MAX_BLK_LEN,Maximum Block Length This bit indicates the maximum block size that the Host driver can read and write to the buffer in the Host Controller. The buffer transfers this block size without wait cycles. The transfer block length is always 512.." "?,?,?,Reserved" newline hexmask.long.byte 0x0 8.--15. 1. "BASE_CLK_FREQ,Base Clock Frequency for SD clock These bits indicate the base (maximum) clock frequency for the SD Clock. The definition of these bits depend on the Host Controller Version. - 6-Bit Base Clock Frequency: This mode is supported by the Host.." newline bitfld.long 0x0 7. "TOUT_CLK_UNIT,Timeout Clock Unit This bit shows the unit of base clock frequency used to detect Data TImeout Error. 0x0: KHz 0x1: MHz" "KHz,MHz" newline bitfld.long 0x0 6. "RSVD_6,This bit of the CAPABILITIES1_R register is reserved. It always returns 0." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TOUT_CLK_FREQ,Timeout Clock Frequency This bit shows the base clock frequency used to detect Data Timeout Error. The Timeout Clock unit defines the unit of timeout clock frequency. It can be KHz or MHz. - 0x00 - Get information through another method -.." line.long 0x4 "CAPABILITIES2_R,This register provides the Host Driver with information specific to the Host Controller implementation. The host controller may implement these values as fixed or as loaded from flash memory during power on initialization. Capabilities.." bitfld.long 0x4 30.--31. "RSVD_62_63,These bits (RSVD_62_63) of the CAPABILITIES2_R register are reserved bits. They always return 0." "0,1,2,3" newline bitfld.long 0x4 29. "RSVD_61,This bit (RSVD_61) of the CAPABILITIES2_R register is reserved. It always returns 0." "0,1" newline bitfld.long 0x4 28. "VDD2_18V_SUPPORT,1.8V VDD2 Support This bit indicates support of VDD2 for the Host System. 0x0: 1.8V VDD2 is not Supported 0x1: 1.8V VDD2 is Supported" "0,1" newline bitfld.long 0x4 27. "ADMA3_SUPPORT,ADMA3 Support This bit indicates whether the Host Controller is capable of using ADMA3. 0x0: ADMA3 not Supported 0x1: ADMA3 Supported" "ADMA3 not Supported,ADMA3 Supported" newline bitfld.long 0x4 24.--26. "RSVD_56_58,These bits (RSVD_56_58) of the CAPABILITIES2_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 16.--23. 1. "CLK_MUL,Clock Multiplier These bits indicate the clock multiplier of the programmable clock generator. Setting these bits to 0 means that the Host Controller does not support a programmable clock generator. - 0x0: Clock Multiplier is not Supported - 0x1:.." newline bitfld.long 0x4 14.--15. "RE_TUNING_MODES,Re-Tuning Modes (UHS-I only) These bits select the re-tuning method and limit the maximum data length. 0x0: Timer 0x1: Timer and Re-Tuning Request (Not supported) 0x2: Auto Re-Tuning (for transfer) 0x3: Reserved" "Timer,Timer and Re-Tuning Request,Auto Re-Tuning,Reserved" newline bitfld.long 0x4 13. "USE_TUNING_SDR50,Use Tuning for SDR50 (UHS-I only) 0x1: SDR50 requires tuning 0x0: SDR50 does not require tuning" "SDR50 does not require tuning,SDR50 requires tuning" newline bitfld.long 0x4 12. "RSVD_44,This bit (RSVD_44) of the CAPABILITIES2_R register is reserved. It always returns 0." "0,1" newline hexmask.long.byte 0x4 8.--11. 1. "RETUNE_CNT,Timer Count for Re-Tuning (UHS-I only) - 0x0: Re-Tuning Timer disabled - 0x1: 1 seconds - 0x2: 2 seconds - 0x3: 4 seconds - ........ - 0xB: 1024 seconds - 0xC: Reserved - 0xD: Reserved - 0xE: Reserved - 0xF: Get information from other source" newline bitfld.long 0x4 7. "RSVD_39,This bit (RSVD_39) of the CAPABILITIES2_R register is reserved. It always returns 0." "0,1" newline bitfld.long 0x4 6. "DRV_TYPED,Driver Type D Support (UHS-I only) This bit indicates support of Driver Type D for 1.8 Signaling. 0x0: Driver Type D is not supported 0x1: Driver Type D is supported" "Driver Type D is not supported,Driver Type D is supported" newline bitfld.long 0x4 5. "DRV_TYPEC,Driver Type C Support (UHS-I only) This bit indicates support of Driver Type C for 1.8 Signaling. 0x0: Driver Type C is not supported 0x1: Driver Type C is supported" "Driver Type C is not supported,Driver Type C is supported" newline bitfld.long 0x4 4. "DRV_TYPEA,Driver Type A Support (UHS-I only) This bit indicates support of Driver Type A for 1.8 Signaling. 0x0: Driver Type A is not supported 0x1: Driver Type A is supported" "Driver Type A is not supported,Driver Type A is supported" newline bitfld.long 0x4 3. "UHS2_SUPPORT,UHS-II Support (UHS-II only) This bit indicates whether Host Controller supports UHS-II. 0x0: UHS-II is not supported 0x1: UHS-II is supported" "UHS-II is not supported,UHS-II is supported" newline bitfld.long 0x4 2. "DDR50_SUPPORT,DDR50 Support (UHS-I only) 0x0: DDR50 is not supported 0x1: DDR50 is supported" "DDR50 is not supported,DDR50 is supported" newline bitfld.long 0x4 1. "SDR104_SUPPORT,SDR104 Support (UHS-I only) This bit mentions that SDR104 requires tuning. 0x0: SDR104 is not supported 0x1: SDR104 is supported" "SDR104 is not supported,SDR104 is supported" newline bitfld.long 0x4 0. "SDR50_SUPPORT,SDR50 Support (UHS-I only) Thsi bit indicates that SDR50 is supported. The bit 13 (USE_TUNING_SDR50) indicates whether SDR50 requires tuning or not. 0x0: SDR50 is not supported 0x1: SDR50 is supported" "SDR50 is not supported,SDR50 is supported" line.long 0x8 "CURR_CAPABILITIES1_R,This register indicate the maximum current capability for each voltage. for VDD1. The value is meaningful if the Voltage Support is set in the Capabilities register. If this information is supplied by the Host System through another.." hexmask.long.byte 0x8 24.--31. 1. "RSVD_31_24,These bits of the CURR_CAPABILITIES1_R register are reserved. They always return 0." newline hexmask.long.byte 0x8 16.--23. 1. "MAX_CUR_18V,Maximum Current for 1.8V This bit specifies the Maximum Current for 1.8V VDD1 power supply for the card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" newline hexmask.long.byte 0x8 8.--15. 1. "MAX_CUR_30V,Maximum Current for 3.0V This bit specifies the Maximum Current for 3.0V VDD1 power supply for the card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" newline hexmask.long.byte 0x8 0.--7. 1. "MAX_CUR_33V,Maximum Current for 3.3V This bit specifies the Maximum Current for 3.3V VDD1 power supply for the card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" line.long 0xC "CURR_CAPABILITIES2_R,This register indicates the maximum current capability for each voltage (for VDD2). The value is meaningful if Voltage Support is set in the Capabilities register. If this information is supplied by the Host System through another.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVD_63_40,These bits of the CURR_CAPABILITIES2_R register are reserved. They always return 0." newline hexmask.long.byte 0xC 0.--7. 1. "MAX_CUR_VDD2_18V,Maximum Current for 1.8V VDD2 This bit specifies the Maximum Current for 1.8V VDD2 power supply for the UHS-II card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" group.word 0x50++0x3 line.word 0x0 "FORCE_AUTO_CMD_STAT_R,The register is not a physically implemented but is an address at which the Auto CMD Error Status register can be written.This register is applicable for an SD/eMMC mode. - 1 : Sets each bit of the Auto CMD Error Status register - 0.." newline hexmask.word.byte 0x0 8.--15. 1. "RSVD_15_8,These bits of the FORCE_AUTO_CMD_STAT_R register are reserved. They always return 0." newline bitfld.word 0x0 7. "FORCE_CMD_NOT_ISSUED_AUTO_CMD12,Force Event for Command Not Issued By Auto CMD12 Error 0x0: Not Affected 0x1: Command Not Issued By Auto CMD12 Error Status is set" "Not Affected,Command Not Issued By Auto CMD12 Error Status is.." newline rbitfld.word 0x0 6. "RSVD_6,This bit of the FORCE_AUTO_CMD_STAT_R register are reserved. They always return 0." "0,1" newline bitfld.word 0x0 5. "FORCE_AUTO_CMD_RESP_ERR,Force Event for Auto CMD Response Error 0x0: Not Affected 0x1: Auto CMD Response Error Status is set" "Not Affected,Auto CMD Response Error Status is set" newline bitfld.word 0x0 4. "FORCE_AUTO_CMD_IDX_ERR,Force Event for Auto CMD Index Error 0x0: Not Affected 0x1: Auto CMD Index Error Status is set" "Not Affected,Auto CMD Index Error Status is set" newline bitfld.word 0x0 3. "FORCE_AUTO_CMD_EBIT_ERR,Force Event for Auto CMD End Bit Error 0x0: Not Affected 0x1: Auto CMD End Bit Error Status is set" "Not Affected,Auto CMD End Bit Error Status is set" newline bitfld.word 0x0 2. "FORCE_AUTO_CMD_CRC_ERR,Force Event for Auto CMD CRC Error 0x0: Not Affected 0x1: Auto CMD CRC Error Status is set" "Not Affected,Auto CMD CRC Error Status is set" newline bitfld.word 0x0 1. "FORCE_AUTO_CMD_TOUT_ERR,Force Event for Auto CMD Timeout Error 0x0: Not Affected 0x1: Auto CMD Timeout Error Status is set" "Not Affected,Auto CMD Timeout Error Status is set" newline bitfld.word 0x0 0. "FORCE_AUTO_CMD12_NOT_EXEC,Force Event for Auto CMD12 Not Executed 0x0: Not Affected 0x1: Auto CMD12 Not Executed Status is set" "Not Affected,Auto CMD12 Not Executed Status is set" line.word 0x2 "FORCE_ERROR_INT_STAT_R,This register is not physically implemented but is an address at which the Error Interrupt Status register can be written. The effect of a write to this address is reflected in the Error Interrupt Status register if the.." newline bitfld.word 0x2 15. "FORCE_VENDOR_ERR3,This bit (FORCE_VENDOR_ERR3) of the FORCE_ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 14. "FORCE_VENDOR_ERR2,This bit (FORCE_VENDOR_ERR2) of the FORCE_ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 13. "FORCE_VENDOR_ERR1,This bit (FORCE_VENDOR_ERR1) of the FORCE_ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 12. "FORCE_BOOT_ACK_ERR,Force Event for Boot Ack error 0x0: Not Affected 0x1: Boot ack Error Status is set" "Not Affected,Boot ack Error Status is set" newline bitfld.word 0x2 11. "FORCE_RESP_ERR,Force Event for Response Error (SD Mode only) 0x0: Not Affected 0x1: Response Error Status is set" "Not Affected,Response Error Status is set" newline bitfld.word 0x2 10. "FORCE_TUNING_ERR,Force Event for Tuning Error (UHS-I Mode only) 0x0: Not Affected 0x1: Tuning Error Status is set" "Not Affected,Tuning Error Status is set" newline bitfld.word 0x2 9. "FORCE_ADMA_ERR,Force Event for ADMA Error 0x0: Not Affected 0x1: ADMA Error Status is set" "Not Affected,ADMA Error Status is set" newline bitfld.word 0x2 8. "FORCE_AUTO_CMD_ERR,Force Event for Auto CMD Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Auto CMD Error Status is set" "Not Affected,Auto CMD Error Status is set" newline bitfld.word 0x2 7. "FORCE_CUR_LMT_ERR,Force Event for Current Limit Error 0x0: Not Affected 0x1: Current Limit Error Status is set" "Not Affected,Current Limit Error Status is set" newline bitfld.word 0x2 6. "FORCE_DATA_END_BIT_ERR,Force Event for Data End Bit Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Data End Bit Error Status is set" "Not Affected,Data End Bit Error Status is set" newline bitfld.word 0x2 5. "FORCE_DATA_CRC_ERR,Force Event for Data CRC Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Data CRC Error Status is set" "Not Affected,Data CRC Error Status is set" newline bitfld.word 0x2 4. "FORCE_DATA_TOUT_ERR,Force Event for Data Timeout Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Data Timeout Error Status is set" "Not Affected,Data Timeout Error Status is set" newline bitfld.word 0x2 3. "FORCE_CMD_IDX_ERR,Force Event for Command Index Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command Index Error Status is set" "Not Affected,Command Index Error Status is set" newline bitfld.word 0x2 2. "FORCE_CMD_END_BIT_ERR,Force Event for Command End Bit Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command End Bit Error Status is set" "Not Affected,Command End Bit Error Status is set" newline bitfld.word 0x2 1. "FORCE_CMD_CRC_ERR,Force Event for Command CRC Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command CRC Error Status is set" "Not Affected,Command CRC Error Status is set" newline bitfld.word 0x2 0. "FORCE_CMD_TOUT_ERR,Force Event for Command Timeout Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command Timeout Error Status is set" "Not Affected,Command Timeout Error Status is set" rgroup.byte 0x54++0x0 line.byte 0x0 "ADMA_ERR_STAT_R,This register stores the ADMA state during an ADMA error. This register is applicable for an SD/eMMC/UHS-II mode." newline hexmask.byte 0x0 3.--7. 1. "RSVD_7_3,These bits of the ADMA_ERR_STAT_R register are reserved. They always return 0." newline bitfld.byte 0x0 2. "ADMA_LEN_ERR,ADMA Length Mismatch Error States This error occurs in the following instances: - While the Block Count Enable is being set the total data length specified by the Descriptor table is different from that specified by the Block Count and.." "No Error,Error" newline bitfld.byte 0x0 0.--1. "ADMA_ERR_STATES,ADMA Error States These bits indicate the state of ADMA when an error occurs during ADMA data transfer. 0x1: Fetch Descriptor - SYS_ADR register points to the error descriptor 0x0: Stop DMA - SYS_ADR register points to a location next to.." "Stop DMA,Fetch Descriptor,Never set this state,Transfer Data" group.long 0x58++0x7 line.long 0x0 "ADMA_SA_LOW_R,This register holds the lower 32-bit system address for DMA transfer. This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x0 0.--31. 1. "ADMA_SA_LOW,ADMA System Address These bits indicate the lower 32 bits of the ADMA system address. - SDMA: If Host Version 4 Enable is set to 1 this register stores the system address of the data location - ADMA2: This register stores the byte address of.." line.long 0x4 "ADMA_SA_HIGH_R,This register holds the upper 32-bit system address for the DMA transfer. This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x4 0.--31. 1. "ADMA_SA_HIGH,ADMA System Address These bits indicate the higher 32-bit of the ADMA system address." rgroup.word 0x60++0xF line.word 0x0 "PRESET_INIT_R,This register defines Preset Value for Initialization in SD/eMMC mode." newline bitfld.word 0x0 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate that the Driver strength is supported by 1.8V signaling bus speed modes. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x0 11.--13. "RSVD_13_11,These bits of the PRESET_INIT_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when the Host Controller supports a programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x0 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x2 "PRESET_DS_R,This register defines Preset Value for Default Speed mode in SD mode." newline bitfld.word 0x2 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported by 1.8V signaling bus speed modes. This field is meaningless for the Default speed mode as it uses 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x2 11.--13. "RSVD_13_11,These bits of the PRESET_DS_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x2 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x2 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x4 "PRESET_HS_R,This register defines Preset Value for High Speed mode in SD mode." newline bitfld.word 0x4 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported by 1.8V signaling bus speed modes. This field is meaningless for High speed mode as it uses 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x4 11.--13. "RSVD_13_11,These bits of the PRESET_HS_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x4 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x4 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x6 "PRESET_SDR12_R,This register defines Preset Value for SDR12 and Legacy speed mode in SD and eMMC mode respectively." newline bitfld.word 0x6 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported for the SDR12 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x6 11.--13. "RSVD_13_11,These bits of the PRESET_SDR12_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x6 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x6 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x8 "PRESET_SDR25_R,This register defines Preset Value for SDR25 and High Speed SDR speed mode in SD and eMMC mode respectively." newline bitfld.word 0x8 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported for the SDR25 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x8 11.--13. "RSVD_13_11,These bits of the PRESET_SDR25_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x8 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x8 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0xA "PRESET_SDR50_R,This register defines Preset Value for SDR50 speed mode in SD mode." newline bitfld.word 0xA 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate Driver strength value supported for SDR50 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C is.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0xA 11.--13. "RSVD_13_11,These bits of the PRESET_SDR50_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0xA 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0xA 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0xC "PRESET_SDR104_R,This register defines Preset Value for SDR104 and HS200 speed modes in the SD and eMMC modes. respectively." newline bitfld.word 0xC 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate Driver strength value supported for SDR104 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C is.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0xC 11.--13. "RSVD_13_11,These bits of the PRESET_SDR104_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0xC 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0xC 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value These bits specify a 10-bit preset value that must be set in the SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0xE "PRESET_DDR50_R,This register defines the Preset Value for DDR50 and High Speed DDR speed modes in the SD and eMMC modes. respectively." newline bitfld.word 0xE 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate Driver strength value supported for DDR50 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C is.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0xE 11.--13. "RSVD_13_11,These bits of the PRESET_DDR50_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0xE 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0xE 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value These bits specify a 10-bit preset value that must be set in the SDCLK/RCLK Frequency Select field of the Clock Control register as described by a Host System." rgroup.word 0x74++0x1 line.word 0x0 "PRESET_UHS2_R,This register is used to hold the preset value for UHS-II and HS400 speed modes in the SD and eMMC modes. respectively." newline bitfld.word 0x0 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported by 1.8V signaling bus speed modes in the SD mode. This field is meaningless for UHS-II mode. In eMMC mode these bits can be used for selecting the Drive.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x0 11.--13. "RSVD_13_11,These bits of UHS-II Preset register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when the Host Controller supports a programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x0 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value These bits specify the 10-bit preset value that must be set in the SDCLK/RCLK Frequency Select field of the Clock Control register as described by a Host System." group.long 0x78++0x7 line.long 0x0 "ADMA_ID_LOW_R,This register holds the lower 32-bit Integrated Descriptor address.This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x0 0.--31. 1. "ADMA_ID_LOW,ADMA Integrated Descriptor Address These bits indicate the lower 32-bit of the ADMA Integrated Descriptor address. The start address of Integrated Descriptor is set to these register bits. The ADMA3 fetches one Descriptor Address and.." line.long 0x4 "ADMA_ID_HIGH_R,This register holds the upper 32-bit Integrated Descriptor address.This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x4 0.--31. 1. "ADMA_ID_HIGH,ADMA Integrated Descriptor Address These bits indicate the higher 32 bit of the ADMA Integrated Descriptor address." rgroup.word 0xE6++0x5 line.word 0x0 "P_EMBEDDED_CNTRL,This register points to the location of UHS-II embedded control registers." newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,These bits of the P_EMBEDDED_CNTRL register are reserved. They always return 0." newline hexmask.word 0x0 0.--11. 1. "REG_OFFSET_ADDR,Offset Address of Embedded Control register." line.word 0x2 "P_VENDOR_SPECIFIC_AREA,This register used as a pointer for the Vendor Specific Area 1." newline hexmask.word.byte 0x2 12.--15. 1. "RESERVED_15_12,These bits of the P_VENDOR_SPECIFIC_AREA register are reserved. They always return 0." newline hexmask.word 0x2 0.--11. 1. "REG_OFFSET_ADDR,Base offset Address for Vendor-Specific registers." line.word 0x4 "P_VENDOR2_SPECIFIC_AREA,This register is used as a pointer for the Vendor Specific Area 2." newline hexmask.word 0x4 0.--15. 1. "REG_OFFSET_ADDR,Base offset Address for Command Queuing registers." rgroup.word 0xFC++0x3 line.word 0x0 "SLOT_INTR_STATUS_R,This register indicates the Interrupt status of each slot." newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,These bits of the SLOT_INTR_STATUS_R register are reserved. They always return 0." newline hexmask.word.byte 0x0 0.--7. 1. "INTR_SLOT,Interrupt signal for each Slot These status bits indicate the logical OR of Interrupt signal and Wakeup signal for each slot. A maximum of 8 slots can be defined. If one interrupt signal is associated with multiple slots the Host Driver can.." line.word 0x2 "HOST_CNTRL_VERS_R,This register is used to indicate the Host Controller Version number." newline hexmask.word.byte 0x2 8.--15. 1. "VENDOR_VERSION_NUM,Vendor Version Number This field is reserved for the vendor version number. Host Driver must not use this status." newline hexmask.word.byte 0x2 0.--7. 1. "SPEC_VERSION_NUM,Specification Version Number These bits indicate the Host controller specification version. The upper and lower 4-bits indicate the version. Values 0x06-0xFF are reserved. 0x0: SD Host Controller Specification Version 1.00 0x1: SD Host.." tree.end tree "DWC_mshc_embedded_control_block (This register block defines embedded control registers)" base ad:0x3948 group.long 0x0++0x3 line.long 0x0 "EMBEDDED_CTRL_R,This register controls the embedded device. When the Host Controller is connected to a removable device. this register is not used." rbitfld.long 0x0 31. "RSVD_31,This bit (RSVD_31) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" hexmask.long.byte 0x0 24.--30. 1. "BACK_END_PWR_CTRL,Back-End Power Control (SD Mode) Each bit of this field controls back-end power supply for an embedded device. - 0 - Back-End Power is off - 1 - Back-End Power is supplied D24 - Back-End Power for Device 1 D25 - Back-End Power for.." rbitfld.long 0x0 23. "RSVD_23,This bit (RSVD_23) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" newline bitfld.long 0x0 20.--22. "INT_PIN_SEL,Interrupt Pin Select These bits enable the interrupt pin inputs. - 000 - Interrupts (INT_A INT_B INT_C) are disabled - xx1 - INT_A is enabled - x1x - INT_B is enabled - 1xx - INT_C is enabled" "Interrupts,INT_A is enabled,?,?,?,?,?,?" rbitfld.long 0x0 19. "RSVD_19,This bit (RSVD_19) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" bitfld.long 0x0 16.--18. "CLK_PIN_SEL,Clock Pin Select (SD Mode) This bit is selected by one of clock pin outputs. - 0x0 - Clock pins are disabled - 0x1 - CLK[1] is selected - 0x2 - CLK[2] is selected - . . - . . - . . - 0x7 - CLK[7] is selected" "Clock pins are disabled,CLK[1] is selected,CLK[2] is selected,?,?,?,?,CLK[7] is selected" newline rbitfld.long 0x0 15. "RSVD_15,This bit (RSVD_15) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" hexmask.long.byte 0x0 8.--14. 1. "BUS_WIDTH_PRESET,Bus Width Preset (SD Mode) Each bit of this field specifies the bus width for each embedded device. The shared bus supports mixing of 4-bit and 8-bit bus width devices. - D08 - Bus Width Preset for Device 1 - D09 - Bus Width Preset for.." rbitfld.long 0x0 6.--7. "RSVD_7_6,These bits (RSVD_7_6) of the EMBEDDED_CTRL_R register are reserved. They always return 0." "0,1,2,3" newline rbitfld.long 0x0 4.--5. "NUM_INT_PIN,Number of Interrupt Input Pins This field indicates support of interrupt input pins for an embedded system." "0,1,2,3" rbitfld.long 0x0 3. "RSVD_3,This bit (RSVD_3) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" rbitfld.long 0x0 0.--2. "NUM_CLK_PIN,Number of Clock Pins (SD Mode) This field indicates support of clock pins to select one of devices for shared bus system. Up to 7 clock pins can be supported. - 0x0 - Shared bus is not supported - 0x1 - 1 SDCLK is supported - 0x2 - 2 SDCLK is.." "Shared bus is not supported,?,?,?,?,?,?,?" tree.end tree "DWC_mshc_vendor1_block (This register block defines Vendor-1 related registers)" base ad:0x1280 rgroup.long 0x0++0x7 line.long 0x0 "MSHC_VER_ID_R,This register reflects the current release number of DWC_mshc/DWC_mshc_lite." hexmask.long 0x0 0.--31. 1. "MSHC_VER_ID,Current release number This field indicates the Synopsys DesignWare Cores DWC_mshc/DWC_mshc_lite current release number that is read by an application. For example release number '1.70a' is represented in ASCII as 0x313730. Lower 8 bits read.." line.long 0x4 "MSHC_VER_TYPE_R,This register reflects the current release type of DWC_mshc/DWC_mshc_lite." hexmask.long 0x4 0.--31. 1. "MSHC_VER_TYPE,Current release type This field indicates the Synopsys DesignWare Cores DWC_mshc/DWC_mshc_lite current release type that is read by an application. For example release type is 'ga' is represented in ASCII as 0x6761. Lower 16 bits read from.." group.byte 0x8++0x0 line.byte 0x0 "MSHC_CTRL_R,This register is used to control the operation of MSHC Host Controller." bitfld.byte 0x0 4. "SW_CG_DIS,Internal clock gating disable control This bit must be used to disable IP's internal clock gating when required. when disabled clocks are not gated. Clocks to the core (except hclk) must be stopped when programming this bit. 0x1: Internal clock.." "Internal clock gates are active and clock gating..,Internal clock gating is disabled" newline rbitfld.byte 0x0 1.--3. "RSVD1,These bits (RSVD1) of the MSHC_CTRL_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.byte 0x0 0. "CMD_CONFLICT_CHECK,Command conflict check This bit enables command conflict check. Note: DWC_mshc controller monitors the CMD line whenever a command is issued and checks whether the value driven on sd_cmd_out matches the value on sd_cmd_in at next.." "Disable command conflict check,Check for command conflict after 1 card clock.." group.byte 0x10++0x0 line.byte 0x0 "MBIU_CTRL_R,This register is used to select the valid burst types that the AHB Master bus interface can generate. When more than one bit is set the master selects the burst it prefers among those that are enabled in this register." hexmask.byte 0x0 4.--7. 1. "RSVD,Reserved field" newline bitfld.byte 0x0 3. "BURST_INCR16_EN,INCR16 Burst Controls generation of INCR16 transfers on Master interface. 0x0: AHB INCR16 burst type is not generated on Master I/F 0x1: AHB INCR16 burst type can be generated on Master I/F" "AHB INCR16 burst type is not generated on Master..,AHB INCR16 burst type can be generated on Master.." newline bitfld.byte 0x0 2. "BURST_INCR8_EN,INCR8 Burst Controls generation of INCR8 transfers on Master interface. 0x0: AHB INCR8 burst type is not generated on Master I/F 0x1: AHB INCR8 burst type can be generated on Master I/F" "AHB INCR8 burst type is not generated on Master..,AHB INCR8 burst type can be generated on Master.." newline bitfld.byte 0x0 1. "BURST_INCR4_EN,INCR4 Burst Controls generation of INCR4 transfers on Master interface. 0x0: AHB INCR4 burst type is not generated on Master I/F 0x1: AHB INCR4 burst type can be generated on Master I/F" "AHB INCR4 burst type is not generated on Master..,AHB INCR4 burst type can be generated on Master.." newline bitfld.byte 0x0 0. "UNDEFL_INCR_EN,Undefined INCR Burst Controls generation of undefined length INCR transfer on Master interface. 0x0: Undefined INCR type burst is the least preferred burst on AHB Master I/F 0x1: Undefined INCR type burst is the most preferred burst on AHB.." "Undefined INCR type burst is the least preferred..,Undefined INCR type burst is the most preferred.." group.word 0x2C++0x3 line.word 0x0 "EMMC_CTRL_R,This register is used to control the eMMC operation." hexmask.word.byte 0x0 11.--15. 1. "RSVD,These bits (RSVD) of the EMMC_CTRL_R register are reserved. They always return 0." newline bitfld.word 0x0 10. "CQE_PREFETCH_DISABLE,Enable or Disable CQE's PREFETCH feature This field allows Software to disable CQE's data prefetch feature when set to 1. 0x1: Prefetch for WRITE and Pipeline for READ are disabled 0x0: CQE can Prefetch data for sucessive WRITE.." "CQE can Prefetch data for sucessive WRITE..,Prefetch for WRITE and Pipeline for READ are.." newline bitfld.word 0x0 9. "CQE_ALGO_SEL,Scheduler algorithm selected for execution This bit selects the Algorithm used for selecting one of the many ready tasks for execution. 0x1: First come First serve in the order of DBR rings 0x0: Priority based reordering with FCFS to.." "Priority based reordering with FCFS to resolve..,First come First serve" newline bitfld.word 0x0 8. "ENH_STROBE_ENABLE,Enhanced Strobe Enable This bit instructs DWC_mshc to sample the CMD line using data strobe for HS400 mode. 0x1: CMD line is sampled using data strobe for HS400 mode 0x0: CMD line is sampled using cclk_rx for HS400 mode" "CMD line is sampled using cclk_rx for HS400 mode,CMD line is sampled using data strobe for HS400.." newline bitfld.word 0x0 3. "EMMC_RST_N_OE,Output Enable control for EMMC Device Reset signal PAD control. This field drived sd_rst_n_oe output of DWC_mshc 0x0: sd_rst_n_oe is 0 0x1: sd_rst_n_oe is 1" "sd_rst_n_oe is 0,sd_rst_n_oe is 1" newline bitfld.word 0x0 2. "EMMC_RST_N,EMMC Device Reset signal control. This register field controls the sd_rst_n output of DWC_mshc 0x0: Reset to eMMC device asserted (active low) 0x1: Reset to eMMC device is deasserted" "Reset to eMMC device asserted,Reset to eMMC device is deasserted" newline bitfld.word 0x0 1. "DISABLE_DATA_CRC_CHK,Disable Data CRC Check This bit controls masking of CRC16 error for Card Write in eMMC mode. This is useful in bus testing (CMD19) for an eMMC device. In bus testing an eMMC card does not send CRC status for a block which may.." "DATA CRC check is enabled,DATA CRC check is disabled" newline bitfld.word 0x0 0. "CARD_IS_EMMC,eMMC Card present This bit indicates the type of card connected. An application program this bit based on the card connected to MSHC. 0x1: Card connected to MSHC is an eMMC card 0x0: Card connected to MSHC is a non-eMMC card" "Card connected to MSHC is a non-eMMC card,Card connected to MSHC is an eMMC card" line.word 0x2 "BOOT_CTRL_R,This register is used to control the eMMC Boot operation." hexmask.word.byte 0x2 12.--15. 1. "BOOT_TOUT_CNT,Boot Ack Timeout Counter Value. This value determines the interval by which boot ack timeout (50 ms) is detected when boot ack is expected during boot operation. - 0xF - Reserved - 0xE - TMCLK x 2^27 - .. - ............ - 0x1 - TMCLK x 2^14.." newline rbitfld.word 0x2 9.--11. "RSVD_11_9,These bits (RSVD_11_9) of the BOOT_CTRL_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x2 8. "BOOT_ACK_ENABLE,Boot Acknowledge Enable When this bit set DWC_mshc checks for boot acknowledge start pattern of 0-1-0 during boot operation. This bit is applicable for both mandatory and alternate boot mode. 0x0: Boot Ack disable 0x1: Boot Ack enable" "Boot Ack disable,Boot Ack enable" newline bitfld.word 0x2 7. "VALIDATE_BOOT,Validate Mandatory Boot Enable bit This bit is used to validate the MAN_BOOT_EN bit. 0x0: Ignore Mandatory boot Enable bit 0x1: Validate Mandatory boot enable bit" "Ignore Mandatory boot Enable bit,Validate Mandatory boot enable bit" newline hexmask.word.byte 0x2 1.--6. 1. "RSVD_6_1,These bits (RSVD _6_1) of the BOOT_CTRL_R register are reserved. They always return 0." newline bitfld.word 0x2 0. "MAN_BOOT_EN,Mandatory Boot Enable This bit is used to initiate the mandatory boot operation. The application sets this bit along with VALIDATE_BOOT bit. Writing 0 is ignored. The DWC_mshc clears this bit after the boot transfer is completed or.." "Mandatory boot disable,Mandatory boot enable" group.long 0x40++0x7 line.long 0x0 "AT_CTRL_R,This register controls some aspects of tuning and auto-tuning features. Do not program this register when HOST_CTRL2_R.SAMPLE_CLK_SEL is '1'" hexmask.long.byte 0x0 24.--30. 1. "SWIN_TH_VAL,Sampling window threshold value setting The maximum value that can be set here depends on the length of delayline used for tuning. A delayLine with 128 taps can use values from 0x0 to 0x7F. This field is valid only when SWIN_TH_EN is '1'." newline bitfld.long 0x0 19.--20. "POST_CHANGE_DLY,Time taken for phase switching and stable clock output. Specifies the maximum time (in terms of cclk cycles) that the delay line can take to switch its output phase after a change in tuning_cclk_sel or autotuning_cclk_sel. 0x0: Less than.." "Less than 1-cycle latency,Less than 2-cycle latency,Less than 3-cycle latency,Less than 4-cycle latency" newline bitfld.long 0x0 17.--18. "PRE_CHANGE_DLY,Maximum Latency specification between cclk_tx and cclk_rx. 0x0: Less than 1-cycle latency 0x1: Less than 2-cycle latency 0x2: Less than 3-cycle latency 0x3: Less than 4-cycle latency" "Less than 1-cycle latency,Less than 2-cycle latency,Less than 3-cycle latency,Less than 4-cycle latency" newline bitfld.long 0x0 16. "TUNE_CLK_STOP_EN,Clock stopping control for Tuning and auto-tuning circuit. When enabled clock gate control output of DWC_mshc (clk2card_on) is pulled low before changing phase select codes on tuning_cclk_sel and autotuning_cclk_sel. This effectively.." "Clocks not stopped,Clocks stopped during phase code change" newline hexmask.long.byte 0x0 12.--15. 1. "RSDV3,These bits (RSVD3) of the AT_CTRL_R register are reserved. They always return 0." newline hexmask.long.byte 0x0 8.--11. 1. "WIN_EDGE_SEL,This field sets the phase for Left and Right edges for drift monitoring. [Left edge offset + Right edge offset] must not be less than total taps of delayLine. - 0x0: User selection disabled. Tuning calculated edges are used. - 0x1: Right.." newline rbitfld.long 0x0 5.--7. "RSDV2,These bits (RSVD2) of the AT_CTRL_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 4. "SW_TUNE_EN,This fields enables software-managed tuning flow. 0x0: Software-managed tuning disabled. 0x1: Software-managed tuning enabled. AT_STAT_R.CENTER_PH_CODE Field is now writable." "Software-managed tuning disabled,Software-managed tuning enabled" newline bitfld.long 0x0 3. "RPT_TUNE_ERR,Framing errors are not generated when executing tuning. This debug bit allows users to report these errors. 0x1: Debug mode for reporting framing errors 0x0: Default mode where as per SD-HCI no errors are reported." "Default mode where as per SD-HCI no errors are..,Debug mode for reporting framing errors" newline bitfld.long 0x0 2. "SWIN_TH_EN,Sampling window Threshold enable Selects the tuning mode Field should be programmed only when SAMPLE_CLK_SEL is '0' 0x0: Tuning engine sweeps all taps and settles at the largest window 0x1: Tuning engine selects the first complete sampling.." "Tuning engine sweeps all taps and settles at the..,Tuning engine selects the first complete.." newline bitfld.long 0x0 1. "CI_SEL,Selects the interval when the corrected center phase select code can be driven on tuning_cclk_sel output. 0x0: Driven in block gap interval 0x1: Driven at the end of the transfer" "Driven in block gap interval,Driven at the end of the transfer" newline bitfld.long 0x0 0. "AT_EN,Setting this bit enables Auto tuning engine. This bit is enabled by default when core is configured with mode3 retuning support. Clear this bit to 0 when core is configured to have Mode3 re-tuning but SW wishes to disable mode3 re-tuning. This.." "AutoTuning is disabled,AutoTuning is enabled" line.long 0x4 "AT_STAT_R,Register to read the Center. Left and Right codes used by tuning and auto-tuning engines. Center code field is also used for software managed tuning." hexmask.long.byte 0x4 24.--31. 1. "RSDV1,These bits of the AT_STAT_R register are reserved. They always return 0." newline hexmask.long.byte 0x4 16.--23. 1. "L_EDGE_PH_CODE,Left Edge Phase code. Reading this field returns the phase code value used by Auto-tuning engine to sample data on Left edge of sampling window." newline hexmask.long.byte 0x4 8.--15. 1. "R_EDGE_PH_CODE,Right Edge Phase code. Reading this field returns the phase code value used by Auto-tuning engine to sample data on Right edge of sampling window." newline hexmask.long.byte 0x4 0.--7. 1. "CENTER_PH_CODE,Centered Phase code. Reading this field returns the current value on tuning_cclk_sel output. Setting AT_CTRL_R.SW_TUNE_EN enables software to write to this field and its contents are reflected on tuning_cclk_sel." tree.end tree "DWC_mshc_vendor2_block (This register block defines Vendor-2 related registers)" base ad:0x384 rgroup.long 0x0++0x7 line.long 0x0 "CQVER,This register provides information about the version of the eMMC Command Queueing standard. which is implemented by the CQE in BCD format." hexmask.long.tbyte 0x0 12.--31. 1. "EMMMC_VER_RSVD,These bits of the CQVER register are reserved. They always return 0." hexmask.long.byte 0x0 8.--11. 1. "EMMC_VER_MAJOR,This bit indicates the eMMC major version (1st digit left of decimal point) in BCD format." newline hexmask.long.byte 0x0 4.--7. 1. "EMMC_VER_MINOR,This bit indicates the eMMC minor version (1st digit right of decimal point) in BCD format." hexmask.long.byte 0x0 0.--3. 1. "EMMC_VER_SUFFIX,This bit indicates the eMMC version suffix (2nd digit right of decimal point) in BCD format." line.long 0x4 "CQCAP,This register indicates the capabilities of the command queuing engine." bitfld.long 0x4 29.--31. "CQCCAP_RSVD3,These bits [31:29] of the CQCAP register are reserved. They always return 0." "0,1,2,3,4,5,6,7" bitfld.long 0x4 28. "CRYPTO_SUPPORT,Crypto Support This bit indicates whether the Host Controller supports cryptographic operations. 0x0: Crypto not Supported 0x1: Crypto Supported" "Crypto not Supported,Crypto Supported" newline hexmask.long.word 0x4 16.--27. 1. "CQCCAP_RSVD2,These bits [27:16] of the CQCAP register are reserved. They always return 0." hexmask.long.byte 0x4 12.--15. 1. "ITCFMUL,Internal Timer Clock Frequency Multiplier (ITCFMUL) This field indicates the frequency of the clock used for interrupt coalescing timer and for determining the SQS polling period. See ITCFVAL definition for details. Values 0x5 to 0xF are.." newline bitfld.long 0x4 10.--11. "CQCCAP_RSVD1,These bits of the CQCAP register are reserved. They always return 0." "0,1,2,3" hexmask.long.word 0x4 0.--9. 1. "ITCFVAL,Internal Timer Clock Frequency Value (ITCFVAL) This field scales the frequency of the timer clock provided by ITCFMUL. The Final clock frequency of actual timer clock is calculated as ITCFVAL* ITCFMUL." group.long 0x8++0x27 line.long 0x0 "CQCFG,This register controls CQE behavior affecting the general operation of command queuing engine." hexmask.long.tbyte 0x0 13.--31. 1. "CQCCFG_RSVD3,These bits (CQCCFG_RSVD3) of the CQCFG register are reserved. They always return 0." bitfld.long 0x0 12. "DCMD_EN,This bit indicates to the hardware whether the Task Descriptor in slot #31 of the TDL is a data transfer descriptor or a direct-command descriptor. CQE uses this bit when a task is issued in slot #31 to determine how to decode the Task.." "Task descriptor in slot #31 is a data Transfer..,Task descriptor in slot #31 is a DCMD Task.." newline rbitfld.long 0x0 9.--11. "CQCCFG_RSVD2,These bits (CQCCFG_RSVD2) of the CQCFG register are reserved. They always return 0." "0,1,2,3,4,5,6,7" bitfld.long 0x0 8. "TASK_DESC_SIZE,Bit Value Description This bit indicates the size of task descriptor used in host memory. This bit can only be configured when Command Queuing Enable bit is 0 (command queuing is disabled). 0x1: Task descriptor size is 128 bits 0x0: Task.." "Task descriptor size is 64 bits,Task descriptor size is 128 bits" newline hexmask.long.byte 0x0 2.--7. 1. "CQCCFG_RSVD1,These bits (CQCCFG_RSVD1) of the CQCFG register are reserved. They always return 0." bitfld.long 0x0 0. "CQ_EN,Enable command queuing engine (CQE). When CQE is disable the software controls the eMMC bus using the registers between the addresses 0x000 to 0x1FF. Before the software writes to this bit the software verifies that the eMMC host controller is in.." "Disable command queuing,Enable command queuing" line.long 0x4 "CQCTL,This register controls CQE behavior affecting the general operation of command queuing module or simultaneous operation of multiple tasks." hexmask.long.tbyte 0x4 9.--31. 1. "CQCTL_RSVD2,These bits (CQCTL_RSVD2) of the CQCTL register are reserved. They always return 0." bitfld.long 0x4 8. "CLR_ALL_TASKS,Clear all tasks This bit can only be written when the controller is halted. This bit does not clear tasks in the device. The software has to use the CMDQ_TASK_MGMT command to clear device's queue. 0x1: Clears all the tasks in the controller.." "Programming 0 has no effect,Clears all the tasks in the controller" newline hexmask.long.byte 0x4 1.--7. 1. "CQCTL_RSVD1,These bits (CQCTL_RSVD1) of the CQCTL register are reserved. They always return 0." bitfld.long 0x4 0. "HALT,Halt request and resume 0x1: Software writes 1 to this bit when it wants to acquire software control over the eMMC bus and to disable CQE from issuing command on the bus. For example issuing a Discard Task command (CMDQ_TASK_MGMT). When the.." "Software writes 0 to this bit to exit from the..,Software writes 1 to this bit when it wants to.." line.long 0x8 "CQIS,This register indicates pending interrupts that require service. Each bit in this register is asserted in response to a specific event. only if the respective bit is set in the CQISE register." hexmask.long 0x8 6.--31. 1. "CQIS_RSVD1,These bits of the CQIS register are reserved. They always return 0." bitfld.long 0x8 3. "TCL,Task cleared interrupt This status bit is asserted (if CQISE.TCL_STE=1) when a task clear operation is completed by CQE. The completed task clear operation is either an individual task clear (by writing CQTCLR) or clearing of all tasks (by writing.." "TCL Interrupt is not set,TCL Interrupt is set" newline bitfld.long 0x8 2. "RED,Response error detected interrupt This status bit is asserted (if CQISE.RED_STE=1) when a response is received with an error bit set in the device status field. Configure the CQRMEM register to identify device status bit fields that may trigger an.." "RED Interrupt is not set,RED Interrupt is set" bitfld.long 0x8 1. "TCC,Task complete interrupt This status bit is asserted (if CQISE.TCC_STE=1) when at least one of the following conditions are met: - A task is completed and the INT bit is set in its Task Descriptor - Interrupt caused by Interrupt Coalescing logic due.." "TCC Interrupt is not set,TCC Interrupt is set" newline bitfld.long 0x8 0. "HAC,Halt complete interrupt This status bit is asserted (only if CQISE.HAC_STE=1) when halt bit in the CQCTL register transitions from 0 to 1 indicating that the host controller has completed its current ongoing task and has entered halt state. A value.." "HAC Interrupt is not set,HAC Interrupt is set" line.long 0xC "CQISE,This register enables and disables the reporting of the corresponding interrupt to host software in the CQIS register. When a bit is set (1) and the corresponding interrupt condition is active. then the bit in CQIS is asserted. Interrupt sources.." hexmask.long 0xC 6.--31. 1. "CQISTE_RSVD1,These bits of the CQISE register are reserved. They always return 0." bitfld.long 0xC 3. "TCL_STE,Task cleared interrupt status enable 0x0: CQIS.TCL is disabled 0x1: CQIS.TCL is set when its interrupt condition is active" "CQIS,CQIS" newline bitfld.long 0xC 2. "RED_STE,Response error detected interrupt status enable 0x0: CQIS.RED is disabled 0x1: CQIS.RED is set when its interrupt condition is active" "CQIS,CQIS" bitfld.long 0xC 1. "TCC_STE,Task complete interrupt status enable 0x0: CQIS.TCC is disabled 0x1: CQIS.TCC is set when its interrupt condition is active" "CQIS,CQIS" newline bitfld.long 0xC 0. "HAC_STE,Halt complete interrupt status enable 0x0: CQIS.HAC is disabled 0x1: CQIS.HAC is set when its interrupt condition is active" "CQIS,CQIS" line.long 0x10 "CQISGE,This register enables and disables the generation of interrupts to host software. When a bit is set and the corresponding bit in CQIS is set. then an interrupt is generated. Interrupt sources that are disabled are still indicated in the CQIS.." hexmask.long 0x10 6.--31. 1. "CQISGE_RSVD1,These bits of the CQISGE register are reserved. They always return 0." bitfld.long 0x10 3. "TCL_SGE,Task cleared interrupt signal enable 0x0: CQIS.TCL interrupt signal generation is disabled 0x1: CQIS.TCL interrupt signal generation is active" "CQIS,CQIS" newline bitfld.long 0x10 2. "RED_SGE,Response error detected interrupt signal enable 0x0: CQIS.RED interrupt signal generation is disabled 0x1: CQIS.RED interrupt signal generation is active" "CQIS,CQIS" bitfld.long 0x10 1. "TCC_SGE,Task complete interrupt signal enable 0x0: CQIS.TCC interrupt signal generation is disabled 0x1: CQIS.TCC interrupt signal generation is active" "CQIS,CQIS" newline bitfld.long 0x10 0. "HAC_SGE,Halt complete interrupt signal enable 0x0: CQIS.HAC interrupt signal generation is disabled 0x1: CQIS.HAC interrupt signal generation is active" "CQIS,CQIS" line.long 0x14 "CQIC,This register controls and configures interrupt coalescing feature." bitfld.long 0x14 31. "INTC_EN,Interrupt Coalescing Enable Bit 0x0: Interrupt coalescing mechanism is disabled (Default). 0x1: Interrupt coalescing mechanism is active. Interrupts are counted and timed and coalesced interrupts are generated" "Interrupt coalescing mechanism is disabled,Interrupt coalescing mechanism is active" hexmask.long.word 0x14 21.--30. 1. "CQIC_RSVD3,These bits (CQIC_RSVD3) of the CQIC register are reserved. They always return 0." newline rbitfld.long 0x14 20. "INTC_STAT,Interrupt Coalescing Status Bit This bit indicates to the software whether any tasks (with INT=0) have completed and counted towards interrupt coalescing (that is this is set if and only if INTC counter > 0). 0x1: At least one INT0 task.." "INT0 Task completions have not occurred since..,At least one INT0 task completion has been.." rbitfld.long 0x14 17.--19. "CQIC_RSVD2,These bits (CQIC_RSVD2) of the CQIC register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 16. "INTC_RST,Counter and Timer Reset When host driver writes 1 the interrupt coalescing timer and counter are reset. 0x1: Interrupt coalescing timer and counter are reset 0x0: No Effect" "No Effect,Interrupt coalescing timer and counter are reset" bitfld.long 0x14 15. "INTC_TH_WEN,Interrupt Coalescing Counter Threshold Write Enable When software writes 1 to this bit the value INTC_TH is updated with the contents written on the same cycle. 0x0: Clears INTC_TH_WEN 0x1: Sets INTC_TH_WEN" "Clears INTC_TH_WEN,Sets INTC_TH_WEN" newline rbitfld.long 0x14 13.--14. "CQIC_RSVD1,These bits (CQIC_RSVD1) of the CQIC register are reserved. They always return 0." "0,1,2,3" hexmask.long.byte 0x14 8.--12. 1. "INTC_TH,Interrupt Coalescing Counter Threshold filed Software uses this field to configure the number of task completions (only tasks with INT=0 in the Task Descriptor) which are required in order to generate an interrupt. Counter Operation: As data.." newline bitfld.long 0x14 7. "TOUT_VAL_WEN,When software writes 1 to this bit the value TOUT_VAL is updated with the contents written on the same cycle. 0x0: clears TOUT_VAL_WEN 0x1: Sets TOUT_VAL_WEN" "clears TOUT_VAL_WEN,Sets TOUT_VAL_WEN" hexmask.long.byte 0x14 0.--6. 1. "TOUT_VAL,Interrupt Coalescing Timeout Value Software uses this field to configure the maximum time allowed between the completion of a task on the bus and the generation of an interrupt. Timer Operation: The timer is reset by software during the.." line.long 0x18 "CQTDLBA,This register is used for configuring the lower 32 bits of the byte address of the head of the Task Descriptor List in the host memory." hexmask.long 0x18 0.--31. 1. "TDLBA,This register stores the LSB bits (31:0) of the byte address of the head of the Task Descriptor List in system memory. The size of the task descriptor list is 32 * (Task Descriptor size + Transfer Descriptor size) as configured by the host driver." line.long 0x1C "CQTDLBAU,This register is used for configuring the upper 32 bits of the byte address of the head of the Task Descriptor List in the host memory." hexmask.long 0x1C 0.--31. 1. "TDLBAU,This register stores the MSB bits (63:32) of the byte address of the head of the Task Descriptor List in system memory. The size of the task descriptor list is 32 * (Task Descriptor size + Transfer Descriptor size) as configured by Host driver." line.long 0x20 "CQTDBR,Using this register. software triggers CQE to process a new task." hexmask.long 0x20 0.--31. 1. "DBR,The software configures TDLBA and TDLBAU and enable CQE in CQCFG before using this register. Writing 1 to bit n of this register triggers CQE to start processing the task encoded in slot n of the TDL. Writing 0 by the software does not have any.." line.long 0x24 "CQTCN,This register is used by CQE to notify software about completed tasks." hexmask.long 0x24 0.--31. 1. "TCN,Task Completion Notification Each of the 32 bits are bit mapped to the 32 tasks. - Bit-N(1): Task-N has completed execution (with success or errors) - Bit-N(0): Task-N has not completed could be pending or not submitted. On task completion software.." rgroup.long 0x30++0x7 line.long 0x0 "CQDQS,This register stores the most recent value of the device's queue status." hexmask.long 0x0 0.--31. 1. "DQS,Device Queue Status Each of the 32 bits are bit mapped to the 32 tasks. - Bit-N(1): Device has marked task N as ready for execution - Bit-N(0): Task-N is not ready for execution. This task could be pending in device or not submitted. Host controller.." line.long 0x4 "CQDPT,This register maintains the list of tasks that are queued into device and are awaiting execution completion." hexmask.long 0x4 0.--31. 1. "DPT,Device-Pending Tasks Each of the 32 bits are bit mapped to the 32 tasks. - Bit-N(1): Task-N has been successfully queued into the device and is awaiting execution - Bit-N(0): Task-N is not yet queued. Bit n of this register is set if and only if.." group.long 0x38++0x3 line.long 0x0 "CQTCLR,This register is used for removing an outstanding task in the CQE. The register must be used only when CQE is in Halt state." hexmask.long 0x0 0.--31. 1. "TCLR,Writing 1 to bit n of this register orders CQE to clear a task that the software has previously issued. This bit can only be written when CQE is in Halt state as indicated in CQCFG register Halt bit. When software writes 1 to a bit in this register.." group.long 0x40++0x7 line.long 0x0 "CQSSC1,This register is used for removing an outstanding task in the CQE. The register controls when SEND_QUEUE_STATUS commands are sent." hexmask.long.word 0x0 20.--31. 1. "RSVD_20_31,These bits of the CQSSC1 register are reserved. They always return 0." hexmask.long.byte 0x0 16.--19. 1. "SQSCMD_BLK_CNT,This field indicates when SQS CMD is sent while data transfer is in progress. A value of 'n' indicates that CQE sends status command on the CMD line during the transfer of data block BLOCK_CNT-n on the data lines where BLOCK_CNT is the.." newline hexmask.long.word 0x0 0.--15. 1. "SQSCMD_IDLE_TMR,This field configures the polling period to be used when using periodic SEND_QUEUE_STATUS (CMD13) polling. Periodic polling is used when tasks are pending in the device but no data transfer is in progress. When a SEND_QUEUE_STATUS.." line.long 0x4 "CQSSC2,The register is used for configuring the RCA field in SEND_QUEUE_STATUS command argument." hexmask.long.word 0x4 16.--31. 1. "RSVD_16_31,These bits of the CQSSC2 register are reserved. They always return 0." hexmask.long.word 0x4 0.--15. 1. "SQSCMD_RCA,This field provides CQE with the contents of the 16-bit RCA field in SEND_QUEUE_STATUS (CMD13) command argument. CQE copies this field to bits 31:16 of the argument when transmitting SEND_QUEUE_STATUS (CMD13) command." rgroup.long 0x48++0x3 line.long 0x0 "CQCRDCT,This register stores the response of last executed DCMD." hexmask.long 0x0 0.--31. 1. "DCMD_RESP,This register contains the response of the command generated by the last direct command (DCMD) task that was sent. Contents of this register are valid only after bit 31 of CQTDBR register is cleared by the controller." group.long 0x50++0x3 line.long 0x0 "CQRMEM,This register controls the generation of response error detect (RED) interrupt. Only the bits enabled here can contribute to RED." hexmask.long 0x0 0.--31. 1. "RESP_ERR_MASK,The bits of this field are bit mapped to the device response. This bit is used as an interrupt mask on the device status filed that is received in R1/R1b responses. - 1: When a R1/R1b response is received with a bit i in the device status.." rgroup.long 0x54++0xB line.long 0x0 "CQTERRI,This register is updated by CQE when an error occurs on data or command related to a task activity. When such an error is detected by CQE or indicated by the eMMC controller. CQE stores the following in the CQTERRI register: task IDs and indices.." bitfld.long 0x0 31. "TRANS_ERR_FIELDS_VALID,This bit is updated when an error is detected while a data transfer transaction was in progress. 0x0: Ignore contents of TRANS_ERR_TASKID and TRANS_ERR_CMD_INDX 0x1: data transfer related error detected. Check contents of.." "Ignore contents of TRANS_ERR_TASKID and..,data transfer related error detected" bitfld.long 0x0 29.--30. "RSVD_30_29,These bits (RSVD_30_29) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" newline hexmask.long.byte 0x0 24.--28. 1. "TRANS_ERR_TASKID,This field captures the ID of the task that was executed and whose data transfer has errors." bitfld.long 0x0 22.--23. "RSVD_23_22,These bits (RSVD_23_22) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" newline hexmask.long.byte 0x0 16.--21. 1. "TRANS_ERR_CMD_INDX,This field captures the index of the command that was executed and whose data transfer has errors." bitfld.long 0x0 15. "RESP_ERR_FIELDS_VALID,This bit is updated when an error is detected while a command transaction was in progress. 0x0: Ignore contents of RESP_ERR_TASKID and RESP_ERR_CMD_INDX 0x1: Response-related error is detected. Check contents of RESP_ERR_TASKID and.." "Ignore contents of RESP_ERR_TASKID and..,Response-related error is detected" newline bitfld.long 0x0 13.--14. "RSVD_13_14,These bits (RSVD_13_14) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" hexmask.long.byte 0x0 8.--12. 1. "RESP_ERR_TASKID,This field captures the ID of the task which was executed on the command line when the error occurred." newline bitfld.long 0x0 6.--7. "RSVD_6_7,These bits (RSVD_6_7) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" hexmask.long.byte 0x0 0.--5. 1. "RESP_ERR_CMD_INDX,This field captures the index of the command that was executed on the command line when the error occurred." line.long 0x4 "CQCRI,This register stores the index of the last received command response." hexmask.long 0x4 6.--31. 1. "RSVD_31_6,These bits of the CQCRI register are reserved. They always return 0." hexmask.long.byte 0x4 0.--5. 1. "CMD_RESP_INDX,Last Command Response index This field stores the index of the last received command response. Controller updates the value every time a command response is received." line.long 0x8 "CQCRA,This register stores the argument of the last received command response." hexmask.long 0x8 0.--31. 1. "CMD_RESP_ARG,Last Command Response argument This field stores the argument of the last received command response. Controller updates the value every time a command response is received." tree.end tree "DWC_mshc_phy_block (This register block has PHY related registers)" base ad:0x768 group.long 0x0++0x3 line.long 0x0 "PHY_CNFG,SD/eMMC PHY general configuration register" hexmask.long.byte 0x0 20.--23. 1. "PAD_SN,NMOS TX drive strength control. Common config for all for SD/eMMC Pads." hexmask.long.byte 0x0 16.--19. 1. "PAD_SP,PMOS TX drive strength control. Common config for all for SD/eMMC Pads." newline rbitfld.long 0x0 1. "PHY_PWRGOOD,Phy's Power Good status is captured here. Ensure this is '1' before stating transactions." "0,1" bitfld.long 0x0 0. "PHY_RSTN,Active-Low reset control for PHY write '0' to reset PHY Write '1' to deassert reset." "0,1" group.word 0x4++0xF line.word 0x0 "CMDPAD_CNFG,SD/eMMC PHY's Command/Response PAD settings are controlled here" hexmask.word.byte 0x0 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type CMD Pad's TX" hexmask.word.byte 0x0 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type CMD Pad's TX" newline bitfld.word 0x0 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for CMD PAD 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x0 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY CMD PAD" "0,1,2,3,4,5,6,7" line.word 0x2 "DATPAD_CNFG,SD/eMMC PHY's Data PAD settings are controlled here. common settings for all data pads" hexmask.word.byte 0x2 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type DATA Pad's TX" hexmask.word.byte 0x2 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type DATA Pad's TX" newline bitfld.word 0x2 3.--4. "WEAKPULL_EN,Pull-up/Pull-down enable control for DATA PADs 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x2 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY DATA PADs" "0,1,2,3,4,5,6,7" line.word 0x4 "CLKPAD_CNFG,SD/eMMC PHY's CLK PAD settings are controlled here." hexmask.word.byte 0x4 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type CLK Pad's TX" hexmask.word.byte 0x4 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type CLK Pad's TX" newline bitfld.word 0x4 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for CLK PAD 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x4 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY CLK PAD" "0,1,2,3,4,5,6,7" line.word 0x6 "STBPAD_CNFG,SD/eMMC PHY's Strobe PAD settings are controlled here." hexmask.word.byte 0x6 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type Strobe Pad's TX" hexmask.word.byte 0x6 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type Strobe Pad's TX" newline bitfld.word 0x6 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for STROBE PAD 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x6 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY STROBE PAD" "0,1,2,3,4,5,6,7" line.word 0x8 "RSTNPAD_CNFG,SD/eMMC PHY's RSTN PAD settings are controlled here." hexmask.word.byte 0x8 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type RST_N Pad's TX" hexmask.word.byte 0x8 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type RST_N Pad's TX" newline bitfld.word 0x8 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for RST_N PAD(s) 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x8 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY RST_N PAD(s)" "0,1,2,3,4,5,6,7" line.word 0xA "PADTEST_CNFG,PAD TEST Path and direction control" hexmask.word 0xA 4.--15. 1. "TEST_OE,test interface OE control. Drive's PHY's itest_oe inputs." rbitfld.word 0xA 1.--3. "RSVD_1,RSVD1 field is reserved" "0,1,2,3,4,5,6,7" newline bitfld.word 0xA 0. "TESTMODE_EN,enables test mode interface for all PADS. Functional interface is disabled. 0x0: PAD's functional mode I/F is active 0x1: PAD's test mode interface is active" "PAD's functional mode I/F is active,PAD's test mode interface is active" line.word 0xC "PADTEST_OUT,PAD TEST Path Data out. Drives itest_a input of SD/eMMC PHY" hexmask.word 0xC 0.--11. 1. "TESTDATA_OUT,Data written here is reflected on corresponding itest_a. Indivitual bits are mapped to corresponding itest_a inputs of the PHY" line.word 0xE "PADTEST_IN,PAD TEST Path Data in. reflects value of otest_y output of SD/eMMC PHY" hexmask.word 0xE 0.--11. 1. "TESTDATA_IN,individual bits here capture data avaliable on corresponding otest_y output. should be used for DC test and low freq data patterns." group.word 0x18++0x1 line.word 0x0 "PRBS_CNFG,Register to configure PRBS engine" hexmask.word 0x0 0.--15. 1. "INIT_SEED,Value programmed here is used as SEED for PRBS engine" group.byte 0x1A++0x0 line.byte 0x0 "PHYLPBK_CNFG,Register to setup loopback mode" bitfld.byte 0x0 0. "PHYLPBK_EN,PHY Local loop back mode is enable 0x0: Controller is not in PHY loopback mode 0x1: Controller is now in PHY Loopback mode" "Controller is not in PHY loopback mode,Controller is now in PHY Loopback mode" group.byte 0x1C++0x2 line.byte 0x0 "COMMDL_CNFG,Config register to settings common to all DelayLines used in PHY" bitfld.byte 0x0 1. "DLOUT_EN,When '1' DL outputs can be sampled on PADs. Drives idlout_en for all PADs 0x0: DelayLine outputs on PAD disabled 0x1: DelayLine outputs on PAD enabled" "DelayLine outputs on PAD disabled,DelayLine outputs on PAD enabled" bitfld.byte 0x0 0. "DLSTEP_SEL,DelayLine's per step delay selection Drives PHY's idl_step input" "0,1" line.byte 0x1 "SDCLKDL_CNFG,Settings for SD/eMMC CLK DelayLine." bitfld.byte 0x1 4. "UPDATE_DC,Prepares DealyLine for code update when '1'. Its recommended that this bit is 1 when SDCLKDL_DC is being written. Ensure this is '0' when not updating code. Note: Turn-off card clock using CLK_CTRL_R.SD_CLK_EN before programing this field. 0x1:.." "DelayLine output is enabled,output of DelayLine is DelayLine output active" bitfld.byte 0x1 2.--3. "INPSEL_CNFG,Drives SD/eMMC CLK DelayLine's config input. Value here selects the input source to DelayLine" "0,1,2,3" newline bitfld.byte 0x1 1. "BYPASS_EN,Drives SD/eMMC CLK DelayLine's bypassen input 0x1: DelayLine is bypass mode 0x0: Delay line active mode" "Delay line active mode,DelayLine is bypass mode" bitfld.byte 0x1 0. "EXTDLY_EN,Drives SD/eMMC CLK DelayLine's extdlyen input 0x0: Delay line defaut range setting 0x1: DelayLine works with extended delay range setting" "Delay line defaut range setting,DelayLine works with extended delay range setting" line.byte 0x2 "SDCLKDL_DC,SD/eMMC CLK DelayLine Delay Code value" hexmask.byte 0x2 0.--6. 1. "CCKDL_DC,Drives SD/eMMC CLK DelayLine's Delay Code input. Value here Selects the number of active stages in the card clock delay line. Note: Turn-off card clock using CLK_CTRL_R.SD_CLK_EN before programing this field." group.byte 0x20++0x1 line.byte 0x0 "SMPLDL_CNFG,SD/eMMC cclk_rx DelayLine configuration settings" bitfld.byte 0x0 4. "INPSEL_OVERRIDE,PHY's Sampling delay line config is controlled by controller using sample_cclk_sel this signal overides sample_cclk_sel such that INPSEL_CFG field directly control's PHY's config input. - 0x0 : Controller logic drive Sampling delay line.." "Controller logic drive Sampling delay line config,SMPLDL_CNFG" bitfld.byte 0x0 2.--3. "INPSEL_CNFG,Drives CCLK_RX DelayLine's config input. Value here selects the input source to DelayLine" "0,1,2,3" newline bitfld.byte 0x0 1. "BYPASS_EN,Drives CCLK_RX DelayLine's bypassen input 0x1: DelayLine is bypass mode 0x0: Delay line active mode" "Delay line active mode,DelayLine is bypass mode" bitfld.byte 0x0 0. "EXTDLY_EN,Drives CCLK_RX DelayLine's extdlyen input 0x0: Delay line defaut range setting 0x1: DelayLine works with extended delay range setting" "Delay line defaut range setting,DelayLine works with extended delay range setting" line.byte 0x1 "ATDL_CNFG,SD/eMMC drift_cclk_rx DelayLine configuration settings" bitfld.byte 0x1 2.--3. "INPSEL_CNFG,Drives drift_cclk_rx DelayLine's config input. Value here selects the input source to DelayLine" "0,1,2,3" bitfld.byte 0x1 1. "BYPASS_EN,Drives drift_cclk_rx DelayLine's bypassen input 0x1: DelayLine is bypass mode 0x0: Delay line active mode" "Delay line active mode,DelayLine is bypass mode" newline bitfld.byte 0x1 0. "EXTDLY_EN,Drives drift_cclk_rx DelayLine's extdlyen input 0x0: Delay line defaut range setting 0x1: DelayLine works with extended delay range setting" "Delay line defaut range setting,DelayLine works with extended delay range setting" group.byte 0x24++0x2 line.byte 0x0 "DLL_CTRL,SD/eMMC PHY's DLL Control settings register" hexmask.byte 0x0 3.--7. 1. "RSVD_3_7,These bits of the register are reserved." bitfld.byte 0x0 2. "SLV_SWDC_UPDATE,Corresponding output drives PHY's DLL Slave's dc update input. This is used to turn-off Slave Delay line's output when changing its delay code using DLL_OFFST register 0x1: Update in progress 0x0: Update completed" "Update completed,Update in progress" newline bitfld.byte 0x0 1. "OFFST_EN,Enables offset mode of PHY when DLL is enabled. when DLL is disabled this allows direct control of delay generated by DLL's Slave 0x0: offset value is invalid 0x1: Offset value is valid" "offset value is invalid,Offset value is valid" bitfld.byte 0x0 0. "DLL_EN,Enable's DLL when '1' 0x0: PHY DLL is disabled 0x1: PHY DLL is enabled" "PHY DLL is disabled,PHY DLL is enabled" line.byte 0x1 "DLL_CNFG1,SD/eMMC PHY DLL configuration register 1" bitfld.byte 0x1 4.--5. "SLVDLY,Sets the value of DLL slave's update delay input islv_update_dly" "0,1,2,3" bitfld.byte 0x1 0.--2. "WAITCYCLE,Sets the value of DLL's wait cycle input" "0,1,2,3,4,5,6,7" line.byte 0x2 "DLL_CNFG2,SD/eMMC PHY DLL configuration register 2" hexmask.byte 0x2 0.--6. 1. "JUMPSTEP,Sets the value of DLL's jump step input" group.byte 0x28++0x2 line.byte 0x0 "DLLDL_CNFG,SD/eMMC PHY DLL MST & Slave DL configuration settings" bitfld.byte 0x0 7. "SLV_BYPASS,Bypass enable control for Slave DL" "0,1" bitfld.byte 0x0 5.--6. "SLV_INPSEL,Clock source select for Slave DL" "0,1,2,3" newline bitfld.byte 0x0 4. "SLV_EXTDLYEN,Enable Extended delay mode for Slave" "0,1" bitfld.byte 0x0 3. "MST_BYPASS,Bypass enable control for Master DL" "0,1" newline bitfld.byte 0x0 1.--2. "MST_INPSEL,Clock source select for Master DL" "0,1,2,3" bitfld.byte 0x0 0. "MST_EXTDLYEN,Enable Extended delay mode for master" "0,1" line.byte 0x1 "DLL_OFFST,SD/eMMC PHY DLL Offset value settings" hexmask.byte 0x1 0.--6. 1. "OFFST,Sets the value of DLL's offset input" line.byte 0x2 "DLLMST_TSTDC,SD/eMMC PHY DLL Master testing Delay code register" hexmask.byte 0x2 0.--6. 1. "MSTTST_DC,Sets the value of DLL's Master test code input when DLL is disabled." group.word 0x2C++0x1 line.word 0x0 "DLLLBT_CNFG,SD/eMMC PHY DLL Low Bandwidth Timer configuration register" hexmask.word 0x0 0.--15. 1. "LBT_LOADVAL,Sets the value of DLL's olbt_loadval input. Controls the lbt timer's timeout value at which DLL runs a revalidation cycle." rgroup.byte 0x2E++0x0 line.byte 0x0 "DLL_STATUS,SD/eMMC PHY DLL Status register" bitfld.byte 0x0 1. "ERROR_STS,Captures the value of DLL's lock error status information. Value is valid only when LOCK_STS is set. - IF LOCK_STS =1 and ERR_STS = 0 then DLL is locked and no errors are generated - IF LOCK_STS =1 and ERR_STS = 1 then DLL is locked to default.." "DLL has not locked,DLL is locked and ready" bitfld.byte 0x0 0. "LOCK_STS,Captures the value of DLL's lock status information 0x1: DLL is locked and ready 0x0: DLL has not locked" "DLL has not locked,DLL is locked and ready" rgroup.byte 0x30++0x0 line.byte 0x0 "DLLDBG_MLKDC,SD/eMMC PHY DLL's Master lock code status" hexmask.byte 0x0 0.--6. 1. "MSTLKDC,Captures the value Delay Code to which DLL's Master has locked" rgroup.byte 0x32++0x0 line.byte 0x0 "DLLDBG_SLKDC,SD/eMMC PHY DLL's Slave lock code status" hexmask.byte 0x0 0.--6. 1. "SLVLKDC,Captures the value Delay Code to which DLL's Slave has locked" tree.end tree.end repeat.end elif (CORENAME()=="CORTEXA55") repeat 4. (increment 1. 1.) (list ad:0x34180000 ad:0x34190000 ad:0x341A0000 ad:0x341B0000) tree "MSHC$1" base $2 tree "DWC_mshc_block (This register block defines the standard SD Host Controller register set)" group.long 0x0++0x3 line.long 0x0 "SDMASA_R,This register is used to configure a 32-bit Block Count or an SDMA System Address based on the Host Version 4 Enable bit in the Host Control 2 register. This register is applicable for both SD and eMMC modes." hexmask.long 0x0 0.--31. 1. "BLOCKCNT_SDMASA,32-bit Block Count (SDMA System Address) - SDMA System Address (Host Version 4 Enable = 0): This register contains the system memory address for an SDMA transfer in the 32-bit addressing mode. When the Host Controller stops an SDMA.." group.word 0x4++0x3 line.word 0x0 "BLOCKSIZE_R,This register is used to configure an SDMA buffer boundary and the number of bytes in a data block. This register is applicable for both SD and eMMC modes." newline rbitfld.word 0x0 15. "RSVD_BLOCKSIZE15,This bit of the BLOCKSIZE_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 12.--14. "SDMA_BUF_BDARY,SDMA Buffer Boundary These bits specify the size of contiguous buffer in system memory. The SDMA transfer waits at every boundary specified by these fields and the Host Controller generates the DMA interrupt to request the Host Driver to.." "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--11. 1. "XFER_BLOCK_SIZE,Transfer Block Size These bits specify the block size of data transfers. In case of memory it is set to 512 bytes. It can be accessed only if no transaction is executing. Read operations during transfers may return an invalid value and.." line.word 0x2 "BLOCKCOUNT_R,This register is used to configure the number of data blocks. This register is applicable for both SD and eMMC modes." newline hexmask.word 0x2 0.--15. 1. "BLOCK_CNT,16-bit Block Count - If the Host Version 4 Enable bit is set 0 or the 16-bit Block Count register is set to non-zero the 16-bit Block Count register is selected. - If the Host Version 4 Enable bit is set 1 and the 16-bit Block Count register.." group.long 0x8++0x3 line.long 0x0 "ARGUMENT_R,This register is used to configure the SD/eMMC command argument." hexmask.long 0x0 0.--31. 1. "ARGUMENT,Command Argument These bits specify the SD/eMMC command argument that is specified in bits 39-8 of the Command format." group.word 0xC++0x3 line.word 0x0 "XFER_MODE_R,This register is used to control the operation of data transfers for an SD/eMMC mode. The Host driver sets this register before issuing a command that transfers data." newline hexmask.word.byte 0x0 9.--15. 1. "RSVD,These bits of the XFER_MODE_R register are reserved. They always return 0." newline bitfld.word 0x0 8. "RESP_INT_DISABLE,Response Interrupt Disable The Host Controller supports response check function to avoid overhead of response error check by the Host driver. Response types of only R1 and R5 can be checked by the Controller. If Host Driver checks the.." "Response Interrupt is enabled,Response Interrupt is disabled" newline bitfld.word 0x0 7. "RESP_ERR_CHK_ENABLE,Response Error Check Enable The Host Controller supports response check function to avoid overhead of response error check by Host driver. Response types of only R1 and R5 can be checked by the Controller. If the Host Controller.." "Response Error Check is disabled,Response Error Check is enabled" newline bitfld.word 0x0 6. "RESP_TYPE,Response Type R1/R5 This bit selects either R1 or R5 as a response type when the Response Error Check is selected. Error statuses checked in R1: - OUT_OF_RANGE - ADDRESS_ERROR - BLOCK_LEN_ERROR - WP_VIOLATION - CARD_IS_LOCKED - COM_CRC_ERROR -.." "R1,R5" newline bitfld.word 0x0 5. "MULTI_BLK_SEL,Multi/Single Block Select This bit is set when issuing multiple-block transfer commands using the DAT line. If this bit is set to 0 it is not necessary to set the Block Count register. 0x1: Multiple Block 0x0: Single Block" "Single Block,Multiple Block" newline bitfld.word 0x0 4. "DATA_XFER_DIR,Data Transfer Direction Select This bit defines the direction of DAT line data transfers. This bit is set to 1 by the Host Driver to transfer data from the SD/eMMC card to the Host Controller and it is set to 0 for all other commands. 0x1:.." "Write,Read" newline bitfld.word 0x0 2.--3. "AUTO_CMD_ENABLE,Auto Command Enable This field determines use of Auto Command functions. Note: In SDIO this field must be set as 00b (Auto Command Disabled). 0x1: Auto CMD12 Enable 0x2: Auto CMD23 Enable 0x3: Auto CMD Auto Select 0x0: Auto Command.." "Auto Command Disabled,Auto CMD12 Enable,Auto CMD23 Enable,Auto CMD Auto Select" newline bitfld.word 0x0 1. "BLOCK_COUNT_ENABLE,Block Count Enable This bit is used to enable the Block Count register which is relevant for multiple block transfers. If this bit is set to 0 the Block Count register is disabled which is useful in executing an infinite transfer." "Disable,Enable" newline bitfld.word 0x0 0. "DMA_ENABLE,DMA Enable This bit enables the DMA functionality. If this bit is set to 1 a DMA operation begins when the Host Driver writes to the Command register. You can select one of the DMA modes by using DMA Select in the Host Control 1 register." "No data transfer or Non-DMA data transfer,DMA Data transfer" line.word 0x2 "CMD_R,This register is used to provide the information related to a command and a response packet. This register is applicable for an SD/eMMC mode." newline rbitfld.word 0x2 14.--15. "RSVD,These bits of the CMD_R register are reserved. They always return 0." "0,1,2,3" newline hexmask.word.byte 0x2 8.--13. 1. "CMD_INDEX,Command Index These bits are set to the command number that is specified in bits 45-40 of the Command Format." newline bitfld.word 0x2 6.--7. "CMD_TYPE,Command Type These bits indicate the command type. Note: While issuing Abort CMD using CMD12/CMD52 or reset CMD using CMD0/CMD52 CMD_TYPE field shall be set to 0x3. 0x3: Abort 0x0: Normal 0x2: Resume 0x1: Suspend" "Normal,Suspend,Resume,Abort" newline bitfld.word 0x2 5. "DATA_PRESENT_SEL,Data Present Select This bit is set to 1 to indicate that data is present and that the data is transferred using the DAT line. This bit is set to 0 in the following instances: - Command using the CMD line - Command with no data transfer.." "No Data Present,Data Present" newline bitfld.word 0x2 4. "CMD_IDX_CHK_ENABLE,Command Index Check Enable This bit enables the Host Controller to check the index field in the response to verify if it has the same value as the command index. If the value is not the same it is reported as a Command Index error." "Disable,Enable" newline bitfld.word 0x2 3. "CMD_CRC_CHK_ENABLE,Command CRC Check Enable This bit enables the Host Controller to check the CRC field in the response. If an error is detected it is reported as a Command CRC error. Note: - CRC Check enable must be set to 0 for the command with no.." "Disable,Enable" newline bitfld.word 0x2 2. "SUB_CMD_FLAG,Sub Command Flag This bit distinguishes between a main command and a sub command. 0x0: Main Command 0x1: Sub Command" "Main Command,Sub Command" newline bitfld.word 0x2 0.--1. "RESP_TYPE_SELECT,Response Type Select This bit indicates the type of response expected from the card. 0x0: No Response 0x1: Response Length 136 0x2: Response Length 48 0x3: Response Length 48; Check Busy after response" "No Response,Response Length 136,Response Length 48,Response Length 48; Check Busy after response" rgroup.long 0x10++0xF line.long 0x0 "RESP01_R,This register stores 39-08 bits of the Response Field for an SD/eMMC mode. The response for an SD/eMMC command can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R. RESP23_R. RESP45_R and RESP67_R." hexmask.long 0x0 0.--31. 1. "RESP01,Command Response These bits reflect 39-8 bits of SD/eMMC Response Field. Note: For Auto CMD the 32-bit response (bits 39-8 of the Response Field) is updated in the RESP67_R register." line.long 0x4 "RESP23_R,This register stores 71-40 bits of the Response Field for an SD/eMMC mode. This register is used to store the response from the cards. The response can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R." hexmask.long 0x4 0.--31. 1. "RESP23,Command Response These bits reflect 71-40 bits of the SD/eMMC Response Field." line.long 0x8 "RESP45_R,This register stores 103-72 bits of the Response Field for an SD/eMMC mode. The response for SD/eMMC command can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R. RESP23_R. RESP45_R and RESP67_R." hexmask.long 0x8 0.--31. 1. "RESP45,Command Response These bits reflect 103-72 bits of the Response Field." line.long 0xC "RESP67_R,This register stores 135-104 bits of the Response Field for an SD/eMMC mode. The SD/eMMC response can be a maximum of 128 bits. These 128 bits are segregated into four 32-bit registers: RESP01_R. RESP23_R. RESP45_R and RESP67_R." hexmask.long 0xC 0.--31. 1. "RESP67,Command Response These bits reflect bits 135-104 of SD/EMMC Response Field. Note: For Auto CMD this register also reflects the 32-bit response (bits 39-8 of the Response Field)." group.long 0x20++0x3 line.long 0x0 "BUF_DATA_R,This register is used to access the packet buffer. This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x0 0.--31. 1. "BUF_DATA,Buffer Data These bits enable access to the Host Controller packet buffer." rgroup.long 0x24++0x3 line.long 0x0 "PSTATE_REG,This register indicates the present status of the Host Controller. This register is applicable for an SD/eMMC/UHS-II mode." bitfld.long 0x0 31. "UHS2_IF_DETECT,UHS-II Interface Detection For SD/eMMC mode this bit always returns 0. 0x0: UHS-II interface is not detected 0x1: UHS-II interface is detected" "UHS-II interface is not detected,UHS-II interface is detected" newline bitfld.long 0x0 30. "LANE_SYNC,Lane Synchronization For SD/eMMC mode this bit always returns 0. 0x0: UHS-II PHY is not initialized 0x1: UHS-II PHY is initialized" "UHS-II PHY is not initialized,UHS-II PHY is initialized" newline bitfld.long 0x0 29. "IN_DORMANT_ST,In Dormant Status For SD/eMMC mode this bit always returns 0. 0x0: Not in DORMANT state 0x1: In DORMANT state" "Not in DORMANT state,In DORMANT state" newline bitfld.long 0x0 28. "SUB_CMD_STAT,Sub Command Status This bit is used to distinguish between a main command and a sub command status. 0x0: Main Command Status 0x1: Sub Command Status" "Main Command Status,Sub Command Status" newline bitfld.long 0x0 27. "CMD_ISSUE_ERR,Command Not Issued by Error This bit is set if a command cannot be issued after setting the command register due to an error except the Auto CMD12 error. 0x0: No error for issuing a command 0x1: Command cannot be issued" "No error for issuing a command,Command cannot be issued" newline bitfld.long 0x0 26. "RSVD_26,This bit of the PRESENT_ST_R register is reserved bits. It always returns 0." "0,1" newline bitfld.long 0x0 25. "HOST_REG_VOL,Host Regulator Voltage Stable This bit is used to check whether the host regulator voltage is stable for switching the voltage of UHS-I mode. This bit reflects the synchronized value of the host_reg_vol_stable signal. 0x0: Host Regulator.." "Host Regulator Voltage is not stable,Host Regulator Voltage is stable" newline bitfld.long 0x0 24. "CMD_LINE_LVL,Command-Line Signal Level This bit is used to check the CMD line level to recover from errors and for debugging. These bits reflect the value of the sd_cmd_in signal." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "DAT_3_0,DAT[3:0] Line Signal Level This bit is used to check the DAT line level to recover from errors and for debugging. These bits reflect the value of the sd_dat_in (lower nibble) signal." newline bitfld.long 0x0 19. "WR_PROTECT_SW_LVL,Write Protect Switch Pin Level This bit is supported only for memory and combo cards. This bit reflects the synchronized value of the card_write_prot signal. 0x0: Write protected 0x1: Write enabled" "Write protected,Write enabled" newline bitfld.long 0x0 18. "CARD_DETECT_PIN_LEVEL,Card Detect Pin Level This bit reflects the inverse synchronized value of the card_detect_n signal. 0x0: No card present 0x1: Card Present" "No card present,Card Present" newline bitfld.long 0x0 17. "CARD_STABLE,Card Stable This bit indicates the stability of the Card Detect Pin Level. A card is not detected if this bit is set to 1 and the value of the CARD_INSERTED bit is 0. 0x0: Reset or Debouncing 0x1: No Card or Inserted" "Reset or Debouncing,No Card or Inserted" newline bitfld.long 0x0 16. "CARD_INSERTED,Card Inserted This bit indicates whether a card has been inserted. The Host Controller debounces this signal so that Host Driver need not wait for it to stabilize. 0x0: Reset Debouncing or No card 0x1: Card Inserted" "Reset,Card Inserted" newline hexmask.long.byte 0x0 12.--15. 1. "RSVD_15_12,These bits of the PRESENT_STAT_R register are reserved. They always return 0." newline bitfld.long 0x0 11. "BUF_RD_ENABLE,Buffer Read Enable This bit is used for non-DMA transfers. This bit is set if valid data exists in the Host buffer. 0x0: Read disable 0x1: Read enable" "Read disable,Read enable" newline bitfld.long 0x0 10. "BUF_WR_ENABLE,Buffer Write Enable This bit is used for non-DMA transfers. This bit is set if space is available for writing data. 0x0: Write disable 0x1: Write enable" "Write disable,Write enable" newline bitfld.long 0x0 9. "RD_XFER_ACTIVE,Read Transfer Active This bit indicates whether a read transfer is active for SD/eMMC mode. 0x1: Transferring data 0x0: No valid data" "No valid data,Transferring data" newline bitfld.long 0x0 8. "WR_XFER_ACTIVE,Write Transfer Active This status indicates whether a write transfer is active for SD/eMMC mode. 0x1: Transferring data 0x0: No valid data" "No valid data,Transferring data" newline hexmask.long.byte 0x0 4.--7. 1. "DAT_7_4,DAT[7:4] Line Signal Level This bit is used to check the DAT line level to recover from errors and for debugging. These bits reflect the value of the sd_dat_in (upper nibble) signal." newline bitfld.long 0x0 3. "RE_TUNE_REQ,Re-Tuning Request DWC_mshc does not generate retuning request. The software must maintain the Retuning timer." "0,1" newline bitfld.long 0x0 2. "DAT_LINE_ACTIVE,DAT Line Active (SD/eMMC Mode only) This bit indicates whether one of the DAT lines on the SD/eMMC bus is in use. In the case of read transactions this bit indicates whether a read transfer is executing on the SD/eMMC bus. In the case of.." "DAT Line Inactive,DAT Line Active" newline bitfld.long 0x0 1. "CMD_INHIBIT_DAT,Command Inhibit (DAT) This bit is applicable for SD/eMMC mode and is generated if either DAT line active or Read transfer active is set to 1. If this bit is set to 0 it indicates that the Host Controller can issue subsequent SD/eMMC.." "Can issue command which used DAT line,Cannot issue command which used DAT line" newline bitfld.long 0x0 0. "CMD_INHIBIT,Command Inhibit (CMD) This bit indicates the following : - SD/eMMC mode: If this bit is set to 0 it indicates that the CMD line is not in use and the Host controller can issue an SD/eMMC command using the CMD line. This bit is set when the.." "Host Controller is ready to issue a command,Host Controller is not ready to issue a command" group.byte 0x28++0x3 line.byte 0x0 "HOST_CTRL1_R,This register is used to control the operation of the Host Controller. This register is applicable for an SD/eMMC/UHS-II mode." newline bitfld.byte 0x0 7. "CARD_DETECT_SIG_SEL,Card Detect Signal Selection This bit selects a source for card detection. When the source for the card detection is switched the interrupt must be disabled during the switching period. 0x1: Card Detect Test Level is selected (for.." "SDCD#,Card Detect Test Level is selected" newline bitfld.byte 0x0 6. "CARD_DETECT_TEST_LVL,Card Detect Test Level This bit is enabled while the Card Detect Signal Selection is set to 1 and it indicates whether a card inserted or not. 0x1: Card Inserted 0x0: No Card" "No Card,Card Inserted" newline bitfld.byte 0x0 5. "EXT_DAT_XFER,Extended Data Transfer Width This bit controls 8-bit bus width mode of embedded device. 0x0: Bus Width is selected by the Data Transfer Width 0x1: 8-bit Bus Width" "Bus Width is selected by the Data Transfer Width,?" newline bitfld.byte 0x0 3.--4. "DMA_SEL,DMA Select This field is used to select the DMA type. When Host Version 4 Enable is 1 in Host Control 2 register: - 0x0 - SDMA is selected - 0x1 - Reserved - 0x2 - ADMA2 is selected - 0x3 - ADMA2 or ADMA3 is selected When Host Version 4 Enable is.." "SDMA is selected,Reserved,ADMA2 is selected,ADMA2 or ADMA3 is selected" newline bitfld.byte 0x0 2. "HIGH_SPEED_EN,High Speed Enable (SD/eMMC Mode only) In SD/eMMC mode this bit is used to determine the selection of preset value for High Speed mode. Before setting this bit the Host Driver checks the High Speed Support in the Capabilities register." "Normal Speed mode,High Speed mode" newline bitfld.byte 0x0 1. "DAT_XFER_WIDTH,Data Transfer Width For SD/eMMC mode this bit selects the data transfer width of the Host Controller. The Host Driver sets it to match the data width of the SD/eMMC card. 0x1: 4-bit mode 0x0: 1-bit mode" "?,bit mode" newline bitfld.byte 0x0 0. "LED_CTRL,LED Control This bit is used to caution the user not to remove the card while the SD card is being accessed. The value is reflected on the led_control signal. 0x0: LED off 0x1: LED on" "LED off,LED on" line.byte 0x1 "PWR_CTRL_R,This register is used to control the bus power for the Card. This register is applicable for an SD. eMMC. and UHS-II modes." newline bitfld.byte 0x1 5.--7. "SD_BUS_VOL_VDD2,SD Bus Voltage Select for VDD2. This is irrelevant for SD/eMMC card. 0x6: Not used 0x7: Not used 0x0: VDD2 Not Supported 0x1: Reserved 0x2: Reserved 0x3: Reserved 0x4: Reserved for 1.2V 0x5: 1.8V" "VDD2 Not Supported,Reserved,Reserved,Reserved,Reserved for 1,?,Not used,Not used" newline bitfld.byte 0x1 4. "SD_BUS_PWR_VDD2,SD Bus Power for VDD2. This is irrelevant for SD/eMMC card. 0x0: Power off 0x1: Power on" "Power off,Power on" newline bitfld.byte 0x1 1.--3. "SD_BUS_VOL_VDD1,SD Bus Voltage Select for VDD1/eMMC Bus Voltage Select for VDD These bits enable the Host Driver to select the voltage level for an SD/eMMC card. Before setting this register the Host Driver checks the Voltage Support bits in the.." "Reserved,Reserved,Reserved,Reserved,Reserved,?,?,?" newline bitfld.byte 0x1 0. "SD_BUS_PWR_VDD1,SD Bus Power for VDD1 This bit enables VDD1 power of the card. This setting is available on the sd_vdd1_on output of DWC_mshc so that it can be used to control the VDD1 power supply of the card. Before setting this bit the SD Host Driver.." "Power off,Power on" line.byte 0x2 "BGAP_CTRL_R,This register is used by the host driver to control any operation related to Block Gap. This register is applicable for an SD/eMMC/UHS-II mode." newline hexmask.byte 0x2 4.--7. 1. "RSVD_7_4,These bits of the Block Gap Control register are reserved. They always return 0." newline bitfld.byte 0x2 3. "INT_AT_BGAP,Interrupt At Block Gap This bit is valid only in the 4-bit mode of an SDIO card and is used to select a sample point in the interrupt cycle. Setting to 1 enables interrupt detection at the block gap for a multiple block transfer. 0x0:.." "Disabled,Enabled" newline bitfld.byte 0x2 2. "RD_WAIT_CTRL,Read Wait Control This bit is used to enable the read wait protocol to stop read data using DAT[2] line if the card supports read wait. Otherwise the Host Controller has to stop the card clock to hold the read data. 0x0: Disable Read Wait.." "Disable Read Wait Control,Enable Read Wait Control" newline bitfld.byte 0x2 1. "CONTINUE_REQ,Continue Request This bit is used to restart the transaction which was stopped using the Stop At Block Gap Request. The Host Controller automatically clears this bit when the transaction restarts. If stop at block gap request is set to 1.." "No Affect,Restart" newline bitfld.byte 0x2 0. "STOP_BG_REQ,Stop At Block Gap Request This bit is used to stop executing read and write transactions at the next block gap for non-DMA SDMA and ADMA transfers. 0x1: Stop 0x0: Transfer" "Transfer,Stop" line.byte 0x3 "WUP_CTRL_R,This register is mandatory for the Host Controller. but the wakeup functionality depends on the Host Controller system hardware and software. The Host Driver maintains voltage on the SD Bus by setting the SD Bus Power to 1 in the Power Control.." newline hexmask.byte 0x3 3.--7. 1. "RSVD_7_3,These bits of Wakeup Control register are reserved. They always return 0." newline bitfld.byte 0x3 2. "CARD_REMOVAL,Wakeup Event Enable on SD Card Removal This bit enables wakeup event through Card Removal assertion in the Normal Interrupt Status register. For the SDIO card Wake Up Support (FN_WUS) in the Card Information Structure (CIS) register does.." "Disable,Enable" newline bitfld.byte 0x3 1. "CARD_INSERT,Wakeup Event Enable on SD Card Insertion This bit enables wakeup event through Card Insertion assertion in the Normal Interrupt Status register. FN_WUS (Wake Up Support) in CIS does not affect this bit. 0x0: Disable 0x1: Enable" "Disable,Enable" newline bitfld.byte 0x3 0. "CARD_INT,Wakeup Event Enable on Card Interrupt This bit enables wakeup event through a Card Interrupt assertion in the Normal Interrupt Status register. This bit can be set to 1 if FN_WUS (Wake Up Support) in CIS is set to 1. 0x0: Disable 0x1: Enable" "Disable,Enable" group.word 0x2C++0x1 line.word 0x0 "CLK_CTRL_R,This register controls SDCLK (card clock) in an SD/eMMC mode and RCLK in the UHS-II mode. This register is applicable for an SD/eMMC/UHS-II mode." newline hexmask.word.byte 0x0 8.--15. 1. "FREQ_SEL,SDCLK/RCLK Frequency Select These bits are used to select the frequency of the SDCLK signal. These bits depend on setting of Preset Value Enable in the Host Control 2 register. If Preset Value Enable = 0 these bits are set by the Host Driver." newline bitfld.word 0x0 6.--7. "UPPER_FREQ_SEL,These bits specify the upper 2 bits of 10-bit SDCLK/RCLK Frequency Select control. The value is reflected on the upper 2 bits of the card_clk_freq_sel signal." "0,1,2,3" newline bitfld.word 0x0 5. "CLK_GEN_SELECT,Clock Generator Select This bit is used to select the clock generator mode in SDCLK/RCLK Frequency Select. If Preset Value Enable = 0 this bit is set by the Host Driver. If Preset Value Enable = 1 this bit is automatically set to a value.." "Divided Clock Mode,Programmable Clock Mode" newline rbitfld.word 0x0 4. "RSVD_4,This bit of the CLK_CTRL_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 3. "PLL_ENABLE,PLL Enable This bit is used to activate the PLL (applicable when Host Version 4 Enable = 1). When Host Version 4 Enable = 0 INTERNAL_CLK_EN bit may be used to activate PLL. The value is reflected on the card_clk_en signal. Note: If this bit.." "PLL is in low power mode,PLL is enabled" newline bitfld.word 0x0 2. "SD_CLK_EN,SD/eMMC Clock Enable This bit stops the SDCLK or RCLK when set to 0. The SDCLK/RCLK Frequency Select bit can be changed when this bit is set to 0. The value is reflected on the clk2card_on pin. 0x0: Disable providing SDCLK/RCLK 0x1: Enable.." "Disable providing SDCLK/RCLK,Enable providing SDCLK/RCLK" newline rbitfld.word 0x0 1. "INTERNAL_CLK_STABLE,Internal Clock Stable This bit enables the Host Driver to check the clock stability twice after the Internal Clock Enable bit is set and after the PLL Enable bit is set. This bit reflects the synchronized value of the intclk_stable.." "Not Ready,Ready" newline bitfld.word 0x0 0. "INTERNAL_CLK_EN,Internal Clock Enable This bit is set to 0 when the Host Driver is not using the Host Controller or the Host Controller awaits a wakeup interrupt. The Host Controller must stop its internal clock to enter a very low power state. However.." "Stop,Oscillate" group.byte 0x2E++0x1 line.byte 0x0 "TOUT_CTRL_R,This register is used to set the Data Timeout Counter value for an SD/eMMC mode according to the timer clock defined by the Capabilities register. while initializig the Host Controller." newline hexmask.byte 0x0 4.--7. 1. "RSVD_7_4,These bits of the Timeout Control register are reserved. They always return 0." newline hexmask.byte 0x0 0.--3. 1. "TOUT_CNT,Data Timeout Counter Value. This value determines the interval by which DAT line timeouts are detected. The Timeout clock frequency is generated by dividing the base clock TMCLK value by this value. When setting this register prevent.." line.byte 0x1 "SW_RST_R,This register is used to generate a reset pulse by writing 1 to each bit of this register. After completing the reset. the Host Controller clears each bit. As it takes some time to complete a software reset. the Host Driver confirms that these.." newline hexmask.byte 0x1 3.--7. 1. "RSVD_7_3,These bits of the SW_RST_R register are reserved. They always return 0." newline bitfld.byte 0x1 2. "SW_RST_DAT,Software Reset For DAT line This bit is used in SD/eMMC mode and it resets only a part of the data circuit and the DMA circuit is also reset. The following registers and bits are cleared by this bit: - Buffer Data Port register -- Buffer is.." "Work,Reset" newline bitfld.byte 0x1 1. "SW_RST_CMD,Software Reset For CMD line This bit resets only a part of the command circuit to be able to issue a command. This reset is effective only for a command issuing circuit (including response error statuses related to Command Inhibit (CMD).." "Work,Reset" newline bitfld.byte 0x1 0. "SW_RST_ALL,Software Reset For All This reset affects the entire Host Controller except for the card detection circuit. During its initialization the Host Driver sets this bit to 1 to reset the Host Controller. All registers are reset except the.." "Work,Reset" group.word 0x30++0xB line.word 0x0 "NORMAL_INT_STAT_R,This register reflects the status of the Normal Interrupt. This register is applicable for an SD/eMMC/UHS-II mode." newline rbitfld.word 0x0 15. "ERR_INTERRUPT,Error Interrupt If any of the bits in the Error Interrupt Status register are set then this bit is set. 0x0: No Error 0x1: Error" "No Error,Error" newline bitfld.word 0x0 14. "CQE_EVENT,Command Queuing Event This status is set if Command Queuing/Crypto related event has occurred in eMMC/SD mode. Read CQHCI's CQIS/CRNQIS register for more details. 0x0: No Event 0x1: Command Queuing Event is detected" "No Event,Command Queuing Event is detected" newline rbitfld.word 0x0 13. "FX_EVENT,FX Event This status is set when R[14] of response register is set to 1 and Response Type R1/R5 is set to 0 in Transfer Mode register. This interrupt is used with response check function. 0x0: No Event 0x1: FX Event is detected" "No Event,FX Event is detected" newline rbitfld.word 0x0 12. "RE_TUNE_EVENT,Re-tuning Event This bit is set if the Re-Tuning Request changes from 0 to 1. Re-Tuning request is not supported." "0,1" newline rbitfld.word 0x0 11. "INT_C,INT_C (Embedded) This bit is set if INT_C is enabled and if INT_C# pin is in low level. The INT_C# pin is not supported." "0,1" newline rbitfld.word 0x0 10. "INT_B,INT_B (Embedded) This bit is set if INT_B is enabled and if INT_B# pin is in low level. The INT_B# pin is not supported." "0,1" newline rbitfld.word 0x0 9. "INT_A,INT_A (Embedded) This bit is set if INT_A is enabled and if INT_A# pin is in low level. The INT_A# pin is not supported." "0,1" newline rbitfld.word 0x0 8. "CARD_INTERRUPT,Card Interrupt This bit reflects the synchronized value of: - DAT[1] Interrupt Input for SD Mode - DAT[2] Interrupt Input for UHS-II Mode 0x0: No Card Interrupt 0x1: Generate Card Interrupt" "No Card Interrupt,Generate Card Interrupt" newline bitfld.word 0x0 7. "CARD_REMOVAL,Card Removal This bit is set if the Card Inserted in the Present State register changes from 1 to 0. 0x0: Card state stable or Debouncing 0x1: Card Removed" "Card state stable or Debouncing,Card Removed" newline bitfld.word 0x0 6. "CARD_INSERTION,Card Insertion This bit is set if the Card Inserted in the Present State register changes from 0 to 1. 0x0: Card state stable or Debouncing 0x1: Card Inserted" "Card state stable or Debouncing,Card Inserted" newline bitfld.word 0x0 5. "BUF_RD_READY,Buffer Read Ready This bit is set if the Buffer Read Enable changes from 0 to 1. 0x0: Not ready to read buffer 0x1: Ready to read buffer" "Not ready to read buffer,Ready to read buffer" newline bitfld.word 0x0 4. "BUF_WR_READY,Buffer Write Ready This bit is set if the Buffer Write Enable changes from 0 to 1. 0x0: Not ready to write buffer 0x1: Ready to write buffer" "Not ready to write buffer,Ready to write buffer" newline bitfld.word 0x0 3. "DMA_INTERRUPT,DMA Interrupt This bit is set if the Host Controller detects the SDMA Buffer Boundary during transfer. In case of ADMA by setting the Int field in the descriptor table the Host controller generates this interrupt. This interrupt is not.." "No DMA Interrupt,DMA Interrupt is generated" newline bitfld.word 0x0 2. "BGAP_EVENT,Block Gap Event This bit is set when both read/write transaction is stopped at block gap due to a Stop at Block Gap Request. 0x0: No Block Gap Event 0x1: Transaction stopped at block gap" "No Block Gap Event,Transaction stopped at block gap" newline bitfld.word 0x0 1. "XFER_COMPLETE,Transfer Complete This bit is set when a read/write transfer and a command with status busy is completed. 0x0: Not complete 0x1: Command execution is completed" "Not complete,Command execution is completed" newline bitfld.word 0x0 0. "CMD_COMPLETE,Command Complete In an SD/eMMC Mode this bit is set when the end bit of a response except for Auto CMD12 and Auto CMD23. This interrupt is not generated when the Response Interrupt Disable in Transfer Mode Register is set to 1. 0x0: No.." "No command complete,Command Complete" line.word 0x2 "ERROR_INT_STAT_R,This register enables an interrupt when the Error Interrupt Status Enable is enabled and at least one of the statuses is set to 1. Writing to 1 clears the bit and writing to 0 retains the bit unchanged. Signals defined in this register.." newline bitfld.word 0x2 15. "VENDOR_ERR3,This bit (VENDOR_ERR3) of the ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 14. "VENDOR_ERR2,This bit (VENDOR_ERR2) of the ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 13. "VENDOR_ERR1,This bit (VENDOR_ERR1) of the ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 12. "BOOT_ACK_ERR,Boot Acknowledgement Error This bit is set when there is a timeout for boot acknowledgement or when detecting boot ack status having a value other than 010. This is applicable only when boot acknowledgement is expected in eMMC mode. 0x0: No.." "No error,Error" newline bitfld.word 0x2 11. "RESP_ERR,Response Error Host Controller Version 4.00 supports response error check function to avoid overhead of response error check by Host Driver during DMA execution. If Response Error Check Enable is set to 1 in the Transfer Mode register Host.." "No error,Error" newline bitfld.word 0x2 10. "TUNING_ERR,Tuning Error This bit is set when an unrecoverable error is detected in a tuning circuit except during the tuning procedure (occurrence of an error during tuning procedure is indicated by Sampling Clock Select in the Host Control 2 register)." "No error,Error" newline bitfld.word 0x2 9. "ADMA_ERR,ADMA Error This bit is set when the Host Controller detects error during ADMA-based data transfer. The error could be due to following reasons: - Error response received from System bus (Master I/F) - ADMA3 ADMA2 Descriptors invalid - CQE Task.." "No error,Error" newline bitfld.word 0x2 8. "AUTO_CMD_ERR,Auto CMD Error This error status is used by Auto CMD12 and Auto CMD23 in SD/eMMC mode. This bit is set when detecting that any of the bits D00 to D05 in Auto CMD Error Status register has changed from 0 to 1. D07 is effective in case of Auto.." "No error,Error" newline bitfld.word 0x2 7. "CUR_LMT_ERR,Current Limit Error By setting the SD Bus Power bit in the Power Control register the Host Controller is requested to supply power for the SD Bus. If the Host Controller supports the Current Limit function it can be protected from an.." "No error,Power Fail" newline bitfld.word 0x2 6. "DATA_END_BIT_ERR,Data End Bit Error This error occurs in SD/eMMC mode either when detecting 0 at the end bit position of read data that uses the DAT line or at the end bit position of the CRC status. 0x0: No error 0x1: Error" "No error,Error" newline bitfld.word 0x2 5. "DATA_CRC_ERR,Data CRC Error This error occurs in SD/eMMC mode when detecting CRC error when transferring read data which uses the DAT line when detecting the Write CRC status having a value of other than 010 or when write CRC status timeout. 0x0: No.." "No error,Error" newline bitfld.word 0x2 4. "DATA_TOUT_ERR,Data Timeout Error This bit is set in SD/eMMC mode when detecting one of the following timeout conditions: - Busy timeout for R1b R5b type - Busy timeout after Write CRC status - Write CRC Status timeout - Read Data timeout 0x0: No error.." "No error,Time out" newline bitfld.word 0x2 3. "CMD_IDX_ERR,Command Index Error This bit is set if a Command Index error occurs in the command respons in SD/eMMC mode. 0x0: No error 0x1: Error" "No error,Error" newline bitfld.word 0x2 2. "CMD_END_BIT_ERR,Command End Bit Error This bit is set when detecting that the end bit of a command response is 0 in SD/eMMC mode. 0x0: No error 0x1: End Bit error generated" "No error,End Bit error generated" newline bitfld.word 0x2 1. "CMD_CRC_ERR,Command CRC Error Command CRC Error is generated in SD/eMMC mode for following two cases. - If a response is returned and the Command Timeout Error is set to 0 (indicating no timeout) this bit is set to 1 when detecting a CRC error in the.." "No error,CRC error generated" newline bitfld.word 0x2 0. "CMD_TOUT_ERR,Command Timeout Error In SD/eMMC Mode this bit is set only if no response is returned within 64 SD clock cycles from the end bit of the command. If the Host Controller detects a CMD line conflict along with Command CRC Error bit this bit.." "No error,Time out" line.word 0x4 "NORMAL_INT_STAT_EN_R,This register enables the Interrupt Status for Normal Interrupt Status register (NORMAL_INT_STAT_R) when NORMAL_INT_STAT_R is set to 1. This register is applicable for an SD/eMMC/UHS-II mode." newline rbitfld.word 0x4 15. "RSVD_15,This bit of the NORMAL_INT_STAT_EN_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x4 14. "CQE_EVENT_STAT_EN,CQE Event Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 13. "FX_EVENT_STAT_EN,FX Event Status Enable This bit is added from Version 4.10. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 12. "RE_TUNE_EVENT_STAT_EN,Re-Tuning Event (UHS-I only) Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 11. "INT_C_STAT_EN,INT_C (Embedded) Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Host Driver may clear this bit before servicing the INT_C and may set this bit again after all interrupt requests to.." "Masked,Enabled" newline bitfld.word 0x4 10. "INT_B_STAT_EN,INT_B (Embedded) Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Host Driver may clear this bit before servicing the INT_B and may set this bit again after all interrupt requests to.." "Masked,Enabled" newline bitfld.word 0x4 9. "INT_A_STAT_EN,INT_A (Embedded) Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Host Driver may clear this bit before servicing the INT_A and may set this bit again after all interrupt requests to.." "Masked,Enabled" newline bitfld.word 0x4 8. "CARD_INTERRUPT_STAT_EN,Card Interrupt Status Enable If this bit is set to 0 the Host Controller clears the interrupt request to the System. The Card Interrupt detection is stopped when this bit is cleared and restarted when this bit is set to 1. The.." "Masked,Enabled" newline bitfld.word 0x4 7. "CARD_REMOVAL_STAT_EN,Card Removal Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 6. "CARD_INSERTION_STAT_EN,Card Insertion Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 5. "BUF_RD_READY_STAT_EN,Buffer Read Ready Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 4. "BUF_WR_READY_STAT_EN,Buffer Write Ready Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 3. "DMA_INTERRUPT_STAT_EN,DMA Interrupt Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 2. "BGAP_EVENT_STAT_EN,Block Gap Event Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 1. "XFER_COMPLETE_STAT_EN,Transfer Complete Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x4 0. "CMD_COMPLETE_STAT_EN,Command Complete Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" line.word 0x6 "ERROR_INT_STAT_EN_R,This register sets the Interrupt Status for Error Interrupt Status register (ERROR_INT_STAT_R). when ERROR_INT_STAT_EN_R is set to 1. This register is applicable for an SD/eMMC/UHS-II mode." newline bitfld.word 0x6 15. "VENDOR_ERR_STAT_EN3,The 15th bit of Error Interrupt Status Enable register is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 14. "VENDOR_ERR_STAT_EN2,The 14th bit of Error Interrupt Status Enable register is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 13. "VENDOR_ERR_STAT_EN1,The 13th bit of Error Interrupt Status Enable register is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 12. "BOOT_ACK_ERR_STAT_EN,Boot Acknowledgment Error (eMMC Mode only) Setting this bit to 1 enables setting of Boot Acknowledgment Error in Error Interrupt Status register (ERROR_INT_STAT_R). 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 11. "RESP_ERR_STAT_EN,Response Error Status Enable (SD Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 10. "TUNING_ERR_STAT_EN,Tuning Error Status Enable (UHS-I Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 9. "ADMA_ERR_STAT_EN,ADMA Error Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 8. "AUTO_CMD_ERR_STAT_EN,Auto CMD Error Status Enable (SD/eMMC Mode only). 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 7. "CUR_LMT_ERR_STAT_EN,Current Limit Error Status Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 6. "DATA_END_BIT_ERR_STAT_EN,Data End Bit Error Status Enable (SD/eMMC Mode only). 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 5. "DATA_CRC_ERR_STAT_EN,Data CRC Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 4. "DATA_TOUT_ERR_STAT_EN,Data Timeout Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 3. "CMD_IDX_ERR_STAT_EN,Command Index Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 2. "CMD_END_BIT_ERR_STAT_EN,Command End Bit Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 1. "CMD_CRC_ERR_STAT_EN,Command CRC Error Status Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x6 0. "CMD_TOUT_ERR_STAT_EN,Command Timeout Error Status Enable (SD/eMMC Mode only). 0x0: Masked 0x1: Enabled" "Masked,Enabled" line.word 0x8 "NORMAL_INT_SIGNAL_EN_R,This register is used to select the interrupt status that is indicated to the Host System as the interrupt. All these status bits share the same 1-bit interrupt line. Setting any of these bits to 1. enables interrupt generation." newline rbitfld.word 0x8 15. "RSVD_15,This bit of the NORMAL_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x8 14. "CQE_EVENT_SIGNAL_EN,Command Queuing Engine Event Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 13. "FX_EVENT_SIGNAL_EN,FX Event Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 12. "RE_TUNE_EVENT_SIGNAL_EN,Re-Tuning Event (UHS-I only) Signal Enable. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 11. "INT_C_SIGNAL_EN,INT_C (Embedded) Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 10. "INT_B_SIGNAL_EN,INT_B (Embedded) Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 9. "INT_A_SIGNAL_EN,INT_A (Embedded) Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 8. "CARD_INTERRUPT_SIGNAL_EN,Card Interrupt Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 7. "CARD_REMOVAL_SIGNAL_EN,Card Removal Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 6. "CARD_INSERTION_SIGNAL_EN,Card Insertion Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 5. "BUF_RD_READY_SIGNAL_EN,Buffer Read Ready Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 4. "BUF_WR_READY_SIGNAL_EN,Buffer Write Ready Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 3. "DMA_INTERRUPT_SIGNAL_EN,DMA Interrupt Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 2. "BGAP_EVENT_SIGNAL_EN,Block Gap Event Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 1. "XFER_COMPLETE_SIGNAL_EN,Transfer Complete Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0x8 0. "CMD_COMPLETE_SIGNAL_EN,Command Complete Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" line.word 0xA "ERROR_INT_SIGNAL_EN_R,This register is used to select the interrupt status that is notified to the Host System as an interrupt. All these status bits share the same 1-bit interrupt line. Setting any of these bits to 1 enables interrupt generation. This.." newline bitfld.word 0xA 15. "VENDOR_ERR_SIGNAL_EN3,The 16th bit of Error Interrupt Signal Enable is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 14. "VENDOR_ERR_SIGNAL_EN2,The 15th bit of Error Interrupt Signal Enable is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 13. "VENDOR_ERR_SIGNAL_EN1,The 14th bit of Error Interrupt Signal Enable is reserved. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 12. "BOOT_ACK_ERR_SIGNAL_EN,Boot Acknowledgment Error (eMMC Mode only). Setting this bit to 1 enables generating interrupt signal when Boot Acknowledgement Error in Error Interrupt Status register is set. 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 11. "RESP_ERR_SIGNAL_EN,Response Error Signal Enable (SD Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 10. "TUNING_ERR_SIGNAL_EN,Tuning Error Signal Enable (UHS-I Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 9. "ADMA_ERR_SIGNAL_EN,ADMA Error Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 8. "AUTO_CMD_ERR_SIGNAL_EN,Auto CMD Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 7. "CUR_LMT_ERR_SIGNAL_EN,Current Limit Error Signal Enable 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 6. "DATA_END_BIT_ERR_SIGNAL_EN,Data End Bit Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 5. "DATA_CRC_ERR_SIGNAL_EN,Data CRC Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 4. "DATA_TOUT_ERR_SIGNAL_EN,Data Timeout Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 3. "CMD_IDX_ERR_SIGNAL_EN,Command Index Error Signal Enable (SD/eMMC Mode only) 0x0: No error 0x1: Error" "No error,Error" newline bitfld.word 0xA 2. "CMD_END_BIT_ERR_SIGNAL_EN,Command End Bit Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 1. "CMD_CRC_ERR_SIGNAL_EN,Command CRC Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" newline bitfld.word 0xA 0. "CMD_TOUT_ERR_SIGNAL_EN,Command Timeout Error Signal Enable (SD/eMMC Mode only) 0x0: Masked 0x1: Enabled" "Masked,Enabled" rgroup.word 0x3C++0x1 line.word 0x0 "AUTO_CMD_STAT_R,This register is used to indicate the CMD12 response error of Auto CMD12. and the CMD23 response error of Auto CMD23. The Host driver can determine the kind of Auto CMD12/CMD23 errors that can occur in this register. Auto CMD23 errors are.." newline hexmask.word.byte 0x0 8.--15. 1. "RSVD_15_8,These bits of the AUTO_CMD_STAT_R register are reserved bits. They always return 0." newline bitfld.word 0x0 7. "CMD_NOT_ISSUED_AUTO_CMD12,Command Not Issued By Auto CMD12 Error If this bit is set to 1 CMD_wo_DAT is not executed due to an Auto CMD12 Error (D04-D01) in this register. This bit is set to 0 when Auto CMD Error is generated by Auto CMD23. 0x0: No Error.." "No Error,Not Issued" newline bitfld.word 0x0 6. "RSVD_6,This bit of the AUTO_CMD_STAR_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 5. "AUTO_CMD_RESP_ERR,Auto CMD Response Error This bit is set when Response Error Check Enable in the Transfer Mode register is set to 1 and an error is detected in R1 response of either Auto CMD12 or CMD13. This status is ignored if any bit between D00 to.." "No Error,Error" newline bitfld.word 0x0 4. "AUTO_CMD_IDX_ERR,Auto CMD Index Error This bit is set if the command index error occurs in response to a command. 0x0: No Error 0x1: Error" "No Error,Error" newline bitfld.word 0x0 3. "AUTO_CMD_EBIT_ERR,Auto CMD End Bit Error This bit is set when detecting that the end bit of command response is 0. 0x0: No Error 0x1: End Bit Error Generated" "No Error,End Bit Error Generated" newline bitfld.word 0x0 2. "AUTO_CMD_CRC_ERR,Auto CMD CRC Error This bit is set when detecting a CRC error in the command response. 0x0: No Error 0x1: CRC Error Generated" "No Error,CRC Error Generated" newline bitfld.word 0x0 1. "AUTO_CMD_TOUT_ERR,Auto CMD Timeout Error This bit is set if no response is returned with 64 SDCLK cycles from the end bit of the command. If this bit is set to 1 error status bits (D04-D01) are meaningless. 0x0: No Error 0x1: Time out" "No Error,Time out" newline bitfld.word 0x0 0. "AUTO_CMD12_NOT_EXEC,Auto CMD12 Not Executed If multiple memory block data transfer is not started due to a command error this bit is not set because it is not necessary to issue an Auto CMD12. Setting this bit to 1 means that the Host Controller cannot.." "Executed,Not Executed" group.word 0x3E++0x1 line.word 0x0 "HOST_CTRL2_R,This register is used to control how the Host Controller operates. This register is applicable for an SD/eMMC/UHS-II mode." newline bitfld.word 0x0 15. "PRESET_VAL_ENABLE,Preset Value Enable This bit enables automatic selection of SDCLK frequency and Driver strength Preset Value registers. When Preset Value Enable is set SDCLK frequency generation (Frequency Select and Clock Generator Select) and the.." "SDCLK and Driver Strength are controlled by Host..,Automatic Selection by Preset Value are Enabled" newline bitfld.word 0x0 14. "ASYNC_INT_ENABLE,Asynchronous Interrupt Enable This bit can be set if a card supports asynchronous interrupts and Asynchronous Interrupt Support is set to 1 in the Capabilities register. 0x0: Disabled 0x1: Enabled" "Disabled,Enabled" newline bitfld.word 0x0 13. "ADDRESSING,64-bit Addressing This bit is effective when Host Version 4 Enable is set to 1. 0x0: 32 bits addressing 0x1: 64 bits addressing" "0,1" newline bitfld.word 0x0 12. "HOST_VER4_ENABLE,Host Version 4 Enable This bit selects either Version 3.00 compatible mode or Version 4 mode. Functions of following fields are modified for Host Version 4 mode: - SDMA Address: SDMA uses ADMA System Address (05Fh-058h) instead of SDMA.." "Version 3,Version 4 mode" newline bitfld.word 0x0 11. "CMD23_ENABLE,CMD23 Enable If the card supports CMD23 this bit is set to 1. This bit is used to select Auto CMD23 or Auto CMD12 for ADMA3 data transfer. 0x0: Auto CMD23 is disabled 0x1: Auto CMD23 is enabled" "Auto CMD23 is disabled,Auto CMD23 is enabled" newline bitfld.word 0x0 10. "ADMA2_LEN_MODE,ADMA2 Length Mode This bit selects ADMA2 Length mode to be either 16-bit or 26-bit. 0x0: 16-bit Data Length Mode 0x1: 26-bit Data Length Mode" "0,1" newline rbitfld.word 0x0 9. "RSVD_9,This bit of the HOST_CTRL2_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x0 8. "UHS2_IF_ENABLE,UHS-II Interface Enable This bit is used to enable the UHS-II Interface. The value is reflected on the uhs2_if_en pin. 0x0: SD/eMMC Interface Enabled 0x1: UHS-II Interface Enabled" "SD/eMMC Interface Enabled,UHS-II Interface Enabled" newline bitfld.word 0x0 7. "SAMPLE_CLK_SEL,Sampling Clock Select This bit is used by the Host Controller to select the sampling clock in SD/eMMC mode to receive CMD and DAT. This bit is set by the tuning procedure and is valid after the completion of tuning (when Execute Tuning is.." "Fixed clock is used to sample data,Tuned clock is used to sample data" newline bitfld.word 0x0 6. "EXEC_TUNING,Execute Tuning This bit is set to 1 to start the tuning procedure in UHS-I/eMMC speed modes and this bit is automatically cleared when tuning procedure is completed. 0x0: Not Tuned or Tuning completed 0x1: Execute Tuning" "Not Tuned or Tuning completed,Execute Tuning" newline bitfld.word 0x0 4.--5. "DRV_STRENGTH_SEL,Driver Strength Select This bit is used to select the Host Controller output driver in 1.8V signaling UHS-I/eMMC speed modes. The bit depends on setting of Preset Value Enable. The value is reflected on the uhs1_drv_sth pin. 0x1: Driver.." "Driver TYPEB is selected,Driver TYPEA is selected,Driver TYPEC is selected,Driver TYPED is selected" newline bitfld.word 0x0 3. "SIGNALING_EN,1.8V Signaling Enable This bit controls voltage regulator for I/O cell in UHS-I/eMMC speed modes. Setting this bit from 0 to 1 starts changing the signal voltage from 3.3V to 1.8V. Host Controller clears this bit if switching to 1.8.." "0,1" newline bitfld.word 0x0 0.--2. "UHS_MODE_SEL,UHS Mode/eMMC Speed Mode Select These bits are used to select UHS mode in the SD mode of operation. In eMMC mode these bits are used to select eMMC Speed mode. UHS Mode (SD/UHS-II mode only): - 0x0: SDR12 - 0x1: SDR25 - 0x2: SDR50 - 0x3:.." "SDR12/Legacy,SDR25/High Speed SDR,SDR50,SDR104/HS200,DDR50/High Speed DDR,Reserved,Reserved,UHS-II/HS400" rgroup.long 0x40++0xF line.long 0x0 "CAPABILITIES1_R,This register provides the Host Driver with information specific to the Host Controller implementation. The host controller may implement these values as fixed or loaded from the flash memory during power on initialization. Capabilities.." bitfld.long 0x0 30.--31. "SLOT_TYPE_R,Slot Type These bits indicate usage of a slot by a specific Host System. 0x1: Embedded Slot for one Device 0x0: Removable Card Slot 0x2: Shared Bus Slot (SD mode) 0x3: UHS-II Multiple Embedded Devices" "Removable Card Slot,Embedded Slot for one Device,Shared Bus Slot,UHS-II Multiple Embedded Devices" newline bitfld.long 0x0 29. "ASYNC_INT_SUPPORT,Asynchronous Interrupt Support (SD Mode only) 0x0: Asynchronous Interrupt Not Supported 0x1: Asynchronous Interrupt Supported" "Asynchronous Interrupt Not Supported,Asynchronous Interrupt Supported" newline bitfld.long 0x0 28. "SYS_ADDR_64_V3,64-bit System Address Support for V3 This bit sets the Host controller to support 64-bit System Addressing of V3 mode. SDMA cannot be used in 64-bit Addressing in Version 3 Mode. If this bit is set to 1 64-bit ADMA2 with using 96-bit.." "0,1" newline bitfld.long 0x0 27. "SYS_ADDR_64_V4,64-bit System Address Support for V4 This bit sets the Host Controller to support 64-bit System Addressing of V4 mode. When this bit is set to 1 full or part of 64-bit address must be used to decode the Host Controller Registers so that.." "0,1" newline bitfld.long 0x0 26. "VOLT_18,Voltage Support for 1.8V 0x0: 1.8V Not Supported 0x1: 1.8V Supported" "0,1" newline bitfld.long 0x0 25. "VOLT_30,Voltage Support for SD 3.0V or Embedded 1.2V 0x0: SD 3.0V or Embedded 1.2V Not Supported 0x1: SD 3.0V or Embedded Supported" "SD 3,SD 3" newline bitfld.long 0x0 24. "VOLT_33,Voltage Support for 3.3V 0x0: 3.3V Not Supported 0x1: 3.3V Supported" "0,1" newline bitfld.long 0x0 23. "SUS_RES_SUPPORT,Suspense/Resume Support This bit indicates whether the Host Controller supports Suspend/Resume functionality. If this bit is 0 the Host Driver does not issue either Suspend or Resume commands because the Suspend and Resume mechanism is.." "Not Supported,Supported" newline bitfld.long 0x0 22. "SDMA_SUPPORT,SDMA Support This bit indicates whether the Host Controller is capable of using SDMA to transfer data between the system memory and the Host Controller directly. 0x0: SDMA not Supported 0x1: SDMA Supported" "SDMA not Supported,SDMA Supported" newline bitfld.long 0x0 21. "HIGH_SPEED_SUPPORT,High Speed Support This bit indicates whether the Host Controller and the Host System supports High Speed mode and they can supply the SD Clock frequency from 25 MHz to 50 MHz. 0x0: High Speed not Supported 0x1: High Speed Supported" "High Speed not Supported,High Speed Supported" newline bitfld.long 0x0 20. "RSVD_20,This bit of the CAPABILITIES1_R is a reserved. It always returns 0." "0,1" newline bitfld.long 0x0 19. "ADMA2_SUPPORT,ADMA2 Support This bit indicates whether the Host Controller is capable of using ADMA2. 0x0: ADMA2 not Supported 0x1: ADMA2 Supported" "ADMA2 not Supported,ADMA2 Supported" newline bitfld.long 0x0 18. "EMBEDDED_8_BIT,8-bit Support for Embedded Device This bit indicates whether the Host Controller is capable of using an 8-bit bus width mode. This bit is not effective when the Slot Type is set to 10b. 0x0: 8-bit Bus Width not Supported 0x1: 8-bit Bus.." "0,1" newline bitfld.long 0x0 16.--17. "MAX_BLK_LEN,Maximum Block Length This bit indicates the maximum block size that the Host driver can read and write to the buffer in the Host Controller. The buffer transfers this block size without wait cycles. The transfer block length is always 512.." "?,?,?,Reserved" newline hexmask.long.byte 0x0 8.--15. 1. "BASE_CLK_FREQ,Base Clock Frequency for SD clock These bits indicate the base (maximum) clock frequency for the SD Clock. The definition of these bits depend on the Host Controller Version. - 6-Bit Base Clock Frequency: This mode is supported by the Host.." newline bitfld.long 0x0 7. "TOUT_CLK_UNIT,Timeout Clock Unit This bit shows the unit of base clock frequency used to detect Data TImeout Error. 0x0: KHz 0x1: MHz" "KHz,MHz" newline bitfld.long 0x0 6. "RSVD_6,This bit of the CAPABILITIES1_R register is reserved. It always returns 0." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TOUT_CLK_FREQ,Timeout Clock Frequency This bit shows the base clock frequency used to detect Data Timeout Error. The Timeout Clock unit defines the unit of timeout clock frequency. It can be KHz or MHz. - 0x00 - Get information through another method -.." line.long 0x4 "CAPABILITIES2_R,This register provides the Host Driver with information specific to the Host Controller implementation. The host controller may implement these values as fixed or as loaded from flash memory during power on initialization. Capabilities.." bitfld.long 0x4 30.--31. "RSVD_62_63,These bits (RSVD_62_63) of the CAPABILITIES2_R register are reserved bits. They always return 0." "0,1,2,3" newline bitfld.long 0x4 29. "RSVD_61,This bit (RSVD_61) of the CAPABILITIES2_R register is reserved. It always returns 0." "0,1" newline bitfld.long 0x4 28. "VDD2_18V_SUPPORT,1.8V VDD2 Support This bit indicates support of VDD2 for the Host System. 0x0: 1.8V VDD2 is not Supported 0x1: 1.8V VDD2 is Supported" "0,1" newline bitfld.long 0x4 27. "ADMA3_SUPPORT,ADMA3 Support This bit indicates whether the Host Controller is capable of using ADMA3. 0x0: ADMA3 not Supported 0x1: ADMA3 Supported" "ADMA3 not Supported,ADMA3 Supported" newline bitfld.long 0x4 24.--26. "RSVD_56_58,These bits (RSVD_56_58) of the CAPABILITIES2_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 16.--23. 1. "CLK_MUL,Clock Multiplier These bits indicate the clock multiplier of the programmable clock generator. Setting these bits to 0 means that the Host Controller does not support a programmable clock generator. - 0x0: Clock Multiplier is not Supported - 0x1:.." newline bitfld.long 0x4 14.--15. "RE_TUNING_MODES,Re-Tuning Modes (UHS-I only) These bits select the re-tuning method and limit the maximum data length. 0x0: Timer 0x1: Timer and Re-Tuning Request (Not supported) 0x2: Auto Re-Tuning (for transfer) 0x3: Reserved" "Timer,Timer and Re-Tuning Request,Auto Re-Tuning,Reserved" newline bitfld.long 0x4 13. "USE_TUNING_SDR50,Use Tuning for SDR50 (UHS-I only) 0x1: SDR50 requires tuning 0x0: SDR50 does not require tuning" "SDR50 does not require tuning,SDR50 requires tuning" newline bitfld.long 0x4 12. "RSVD_44,This bit (RSVD_44) of the CAPABILITIES2_R register is reserved. It always returns 0." "0,1" newline hexmask.long.byte 0x4 8.--11. 1. "RETUNE_CNT,Timer Count for Re-Tuning (UHS-I only) - 0x0: Re-Tuning Timer disabled - 0x1: 1 seconds - 0x2: 2 seconds - 0x3: 4 seconds - ........ - 0xB: 1024 seconds - 0xC: Reserved - 0xD: Reserved - 0xE: Reserved - 0xF: Get information from other source" newline bitfld.long 0x4 7. "RSVD_39,This bit (RSVD_39) of the CAPABILITIES2_R register is reserved. It always returns 0." "0,1" newline bitfld.long 0x4 6. "DRV_TYPED,Driver Type D Support (UHS-I only) This bit indicates support of Driver Type D for 1.8 Signaling. 0x0: Driver Type D is not supported 0x1: Driver Type D is supported" "Driver Type D is not supported,Driver Type D is supported" newline bitfld.long 0x4 5. "DRV_TYPEC,Driver Type C Support (UHS-I only) This bit indicates support of Driver Type C for 1.8 Signaling. 0x0: Driver Type C is not supported 0x1: Driver Type C is supported" "Driver Type C is not supported,Driver Type C is supported" newline bitfld.long 0x4 4. "DRV_TYPEA,Driver Type A Support (UHS-I only) This bit indicates support of Driver Type A for 1.8 Signaling. 0x0: Driver Type A is not supported 0x1: Driver Type A is supported" "Driver Type A is not supported,Driver Type A is supported" newline bitfld.long 0x4 3. "UHS2_SUPPORT,UHS-II Support (UHS-II only) This bit indicates whether Host Controller supports UHS-II. 0x0: UHS-II is not supported 0x1: UHS-II is supported" "UHS-II is not supported,UHS-II is supported" newline bitfld.long 0x4 2. "DDR50_SUPPORT,DDR50 Support (UHS-I only) 0x0: DDR50 is not supported 0x1: DDR50 is supported" "DDR50 is not supported,DDR50 is supported" newline bitfld.long 0x4 1. "SDR104_SUPPORT,SDR104 Support (UHS-I only) This bit mentions that SDR104 requires tuning. 0x0: SDR104 is not supported 0x1: SDR104 is supported" "SDR104 is not supported,SDR104 is supported" newline bitfld.long 0x4 0. "SDR50_SUPPORT,SDR50 Support (UHS-I only) Thsi bit indicates that SDR50 is supported. The bit 13 (USE_TUNING_SDR50) indicates whether SDR50 requires tuning or not. 0x0: SDR50 is not supported 0x1: SDR50 is supported" "SDR50 is not supported,SDR50 is supported" line.long 0x8 "CURR_CAPABILITIES1_R,This register indicate the maximum current capability for each voltage. for VDD1. The value is meaningful if the Voltage Support is set in the Capabilities register. If this information is supplied by the Host System through another.." hexmask.long.byte 0x8 24.--31. 1. "RSVD_31_24,These bits of the CURR_CAPABILITIES1_R register are reserved. They always return 0." newline hexmask.long.byte 0x8 16.--23. 1. "MAX_CUR_18V,Maximum Current for 1.8V This bit specifies the Maximum Current for 1.8V VDD1 power supply for the card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" newline hexmask.long.byte 0x8 8.--15. 1. "MAX_CUR_30V,Maximum Current for 3.0V This bit specifies the Maximum Current for 3.0V VDD1 power supply for the card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" newline hexmask.long.byte 0x8 0.--7. 1. "MAX_CUR_33V,Maximum Current for 3.3V This bit specifies the Maximum Current for 3.3V VDD1 power supply for the card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" line.long 0xC "CURR_CAPABILITIES2_R,This register indicates the maximum current capability for each voltage (for VDD2). The value is meaningful if Voltage Support is set in the Capabilities register. If this information is supplied by the Host System through another.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVD_63_40,These bits of the CURR_CAPABILITIES2_R register are reserved. They always return 0." newline hexmask.long.byte 0xC 0.--7. 1. "MAX_CUR_VDD2_18V,Maximum Current for 1.8V VDD2 This bit specifies the Maximum Current for 1.8V VDD2 power supply for the UHS-II card. - 0: Get information through another method - 1: 4mA - 2: 8mA - 3: 13mA - ....... - 255: 1020mA" group.word 0x50++0x3 line.word 0x0 "FORCE_AUTO_CMD_STAT_R,The register is not a physically implemented but is an address at which the Auto CMD Error Status register can be written.This register is applicable for an SD/eMMC mode. - 1 : Sets each bit of the Auto CMD Error Status register - 0.." newline hexmask.word.byte 0x0 8.--15. 1. "RSVD_15_8,These bits of the FORCE_AUTO_CMD_STAT_R register are reserved. They always return 0." newline bitfld.word 0x0 7. "FORCE_CMD_NOT_ISSUED_AUTO_CMD12,Force Event for Command Not Issued By Auto CMD12 Error 0x0: Not Affected 0x1: Command Not Issued By Auto CMD12 Error Status is set" "Not Affected,Command Not Issued By Auto CMD12 Error Status is.." newline rbitfld.word 0x0 6. "RSVD_6,This bit of the FORCE_AUTO_CMD_STAT_R register are reserved. They always return 0." "0,1" newline bitfld.word 0x0 5. "FORCE_AUTO_CMD_RESP_ERR,Force Event for Auto CMD Response Error 0x0: Not Affected 0x1: Auto CMD Response Error Status is set" "Not Affected,Auto CMD Response Error Status is set" newline bitfld.word 0x0 4. "FORCE_AUTO_CMD_IDX_ERR,Force Event for Auto CMD Index Error 0x0: Not Affected 0x1: Auto CMD Index Error Status is set" "Not Affected,Auto CMD Index Error Status is set" newline bitfld.word 0x0 3. "FORCE_AUTO_CMD_EBIT_ERR,Force Event for Auto CMD End Bit Error 0x0: Not Affected 0x1: Auto CMD End Bit Error Status is set" "Not Affected,Auto CMD End Bit Error Status is set" newline bitfld.word 0x0 2. "FORCE_AUTO_CMD_CRC_ERR,Force Event for Auto CMD CRC Error 0x0: Not Affected 0x1: Auto CMD CRC Error Status is set" "Not Affected,Auto CMD CRC Error Status is set" newline bitfld.word 0x0 1. "FORCE_AUTO_CMD_TOUT_ERR,Force Event for Auto CMD Timeout Error 0x0: Not Affected 0x1: Auto CMD Timeout Error Status is set" "Not Affected,Auto CMD Timeout Error Status is set" newline bitfld.word 0x0 0. "FORCE_AUTO_CMD12_NOT_EXEC,Force Event for Auto CMD12 Not Executed 0x0: Not Affected 0x1: Auto CMD12 Not Executed Status is set" "Not Affected,Auto CMD12 Not Executed Status is set" line.word 0x2 "FORCE_ERROR_INT_STAT_R,This register is not physically implemented but is an address at which the Error Interrupt Status register can be written. The effect of a write to this address is reflected in the Error Interrupt Status register if the.." newline bitfld.word 0x2 15. "FORCE_VENDOR_ERR3,This bit (FORCE_VENDOR_ERR3) of the FORCE_ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 14. "FORCE_VENDOR_ERR2,This bit (FORCE_VENDOR_ERR2) of the FORCE_ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 13. "FORCE_VENDOR_ERR1,This bit (FORCE_VENDOR_ERR1) of the FORCE_ERROR_INT_STAT_R register is reserved. It always returns 0." "0,1" newline bitfld.word 0x2 12. "FORCE_BOOT_ACK_ERR,Force Event for Boot Ack error 0x0: Not Affected 0x1: Boot ack Error Status is set" "Not Affected,Boot ack Error Status is set" newline bitfld.word 0x2 11. "FORCE_RESP_ERR,Force Event for Response Error (SD Mode only) 0x0: Not Affected 0x1: Response Error Status is set" "Not Affected,Response Error Status is set" newline bitfld.word 0x2 10. "FORCE_TUNING_ERR,Force Event for Tuning Error (UHS-I Mode only) 0x0: Not Affected 0x1: Tuning Error Status is set" "Not Affected,Tuning Error Status is set" newline bitfld.word 0x2 9. "FORCE_ADMA_ERR,Force Event for ADMA Error 0x0: Not Affected 0x1: ADMA Error Status is set" "Not Affected,ADMA Error Status is set" newline bitfld.word 0x2 8. "FORCE_AUTO_CMD_ERR,Force Event for Auto CMD Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Auto CMD Error Status is set" "Not Affected,Auto CMD Error Status is set" newline bitfld.word 0x2 7. "FORCE_CUR_LMT_ERR,Force Event for Current Limit Error 0x0: Not Affected 0x1: Current Limit Error Status is set" "Not Affected,Current Limit Error Status is set" newline bitfld.word 0x2 6. "FORCE_DATA_END_BIT_ERR,Force Event for Data End Bit Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Data End Bit Error Status is set" "Not Affected,Data End Bit Error Status is set" newline bitfld.word 0x2 5. "FORCE_DATA_CRC_ERR,Force Event for Data CRC Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Data CRC Error Status is set" "Not Affected,Data CRC Error Status is set" newline bitfld.word 0x2 4. "FORCE_DATA_TOUT_ERR,Force Event for Data Timeout Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Data Timeout Error Status is set" "Not Affected,Data Timeout Error Status is set" newline bitfld.word 0x2 3. "FORCE_CMD_IDX_ERR,Force Event for Command Index Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command Index Error Status is set" "Not Affected,Command Index Error Status is set" newline bitfld.word 0x2 2. "FORCE_CMD_END_BIT_ERR,Force Event for Command End Bit Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command End Bit Error Status is set" "Not Affected,Command End Bit Error Status is set" newline bitfld.word 0x2 1. "FORCE_CMD_CRC_ERR,Force Event for Command CRC Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command CRC Error Status is set" "Not Affected,Command CRC Error Status is set" newline bitfld.word 0x2 0. "FORCE_CMD_TOUT_ERR,Force Event for Command Timeout Error (SD/eMMC Mode only) 0x0: Not Affected 0x1: Command Timeout Error Status is set" "Not Affected,Command Timeout Error Status is set" rgroup.byte 0x54++0x0 line.byte 0x0 "ADMA_ERR_STAT_R,This register stores the ADMA state during an ADMA error. This register is applicable for an SD/eMMC/UHS-II mode." newline hexmask.byte 0x0 3.--7. 1. "RSVD_7_3,These bits of the ADMA_ERR_STAT_R register are reserved. They always return 0." newline bitfld.byte 0x0 2. "ADMA_LEN_ERR,ADMA Length Mismatch Error States This error occurs in the following instances: - While the Block Count Enable is being set the total data length specified by the Descriptor table is different from that specified by the Block Count and.." "No Error,Error" newline bitfld.byte 0x0 0.--1. "ADMA_ERR_STATES,ADMA Error States These bits indicate the state of ADMA when an error occurs during ADMA data transfer. 0x1: Fetch Descriptor - SYS_ADR register points to the error descriptor 0x0: Stop DMA - SYS_ADR register points to a location next to.." "Stop DMA,Fetch Descriptor,Never set this state,Transfer Data" group.long 0x58++0x7 line.long 0x0 "ADMA_SA_LOW_R,This register holds the lower 32-bit system address for DMA transfer. This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x0 0.--31. 1. "ADMA_SA_LOW,ADMA System Address These bits indicate the lower 32 bits of the ADMA system address. - SDMA: If Host Version 4 Enable is set to 1 this register stores the system address of the data location - ADMA2: This register stores the byte address of.." line.long 0x4 "ADMA_SA_HIGH_R,This register holds the upper 32-bit system address for the DMA transfer. This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x4 0.--31. 1. "ADMA_SA_HIGH,ADMA System Address These bits indicate the higher 32-bit of the ADMA system address." rgroup.word 0x60++0xF line.word 0x0 "PRESET_INIT_R,This register defines Preset Value for Initialization in SD/eMMC mode." newline bitfld.word 0x0 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate that the Driver strength is supported by 1.8V signaling bus speed modes. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x0 11.--13. "RSVD_13_11,These bits of the PRESET_INIT_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when the Host Controller supports a programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x0 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x2 "PRESET_DS_R,This register defines Preset Value for Default Speed mode in SD mode." newline bitfld.word 0x2 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported by 1.8V signaling bus speed modes. This field is meaningless for the Default speed mode as it uses 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x2 11.--13. "RSVD_13_11,These bits of the PRESET_DS_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x2 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x2 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x4 "PRESET_HS_R,This register defines Preset Value for High Speed mode in SD mode." newline bitfld.word 0x4 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported by 1.8V signaling bus speed modes. This field is meaningless for High speed mode as it uses 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x4 11.--13. "RSVD_13_11,These bits of the PRESET_HS_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x4 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x4 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x6 "PRESET_SDR12_R,This register defines Preset Value for SDR12 and Legacy speed mode in SD and eMMC mode respectively." newline bitfld.word 0x6 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported for the SDR12 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x6 11.--13. "RSVD_13_11,These bits of the PRESET_SDR12_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x6 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x6 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0x8 "PRESET_SDR25_R,This register defines Preset Value for SDR25 and High Speed SDR speed mode in SD and eMMC mode respectively." newline bitfld.word 0x8 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported for the SDR25 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x8 11.--13. "RSVD_13_11,These bits of the PRESET_SDR25_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x8 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x8 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0xA "PRESET_SDR50_R,This register defines Preset Value for SDR50 speed mode in SD mode." newline bitfld.word 0xA 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate Driver strength value supported for SDR50 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C is.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0xA 11.--13. "RSVD_13_11,These bits of the PRESET_SDR50_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0xA 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0xA 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value 10-bit preset value to be set in SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0xC "PRESET_SDR104_R,This register defines Preset Value for SDR104 and HS200 speed modes in the SD and eMMC modes. respectively." newline bitfld.word 0xC 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate Driver strength value supported for SDR104 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C is.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0xC 11.--13. "RSVD_13_11,These bits of the PRESET_SDR104_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0xC 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0xC 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value These bits specify a 10-bit preset value that must be set in the SDCLK/RCLK Frequency Select field of the Clock Control register described by a Host System." line.word 0xE "PRESET_DDR50_R,This register defines the Preset Value for DDR50 and High Speed DDR speed modes in the SD and eMMC modes. respectively." newline bitfld.word 0xE 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate Driver strength value supported for DDR50 bus speed mode. These bits are meaningless for 3.3V signaling. 0x1: Driver Type A is selected 0x0: Driver Type B is selected 0x2: Driver Type C is.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0xE 11.--13. "RSVD_13_11,These bits of the PRESET_DDR50_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0xE 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when Host Controller supports programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0xE 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value These bits specify a 10-bit preset value that must be set in the SDCLK/RCLK Frequency Select field of the Clock Control register as described by a Host System." rgroup.word 0x74++0x1 line.word 0x0 "PRESET_UHS2_R,This register is used to hold the preset value for UHS-II and HS400 speed modes in the SD and eMMC modes. respectively." newline bitfld.word 0x0 14.--15. "DRV_SEL_VAL,Driver Strength Select Value These bits indicate the Driver strength value supported by 1.8V signaling bus speed modes in the SD mode. This field is meaningless for UHS-II mode. In eMMC mode these bits can be used for selecting the Drive.." "Driver Type B is selected,Driver Type A is selected,Driver Type C is selected,Driver Type D is selected" newline bitfld.word 0x0 11.--13. "RSVD_13_11,These bits of UHS-II Preset register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10. "CLK_GEN_SEL_VAL,Clock Generator Select Value This bit is effective when the Host Controller supports a programmable clock generator. 0x0: Host Controller Ver2.0 Compatible Clock Generator 0x1: Programmable Clock Generator" "Host Controller Ver2,Programmable Clock Generator" newline hexmask.word 0x0 0.--9. 1. "FREQ_SEL_VAL,SDCLK/RCLK Frequency Select Value These bits specify the 10-bit preset value that must be set in the SDCLK/RCLK Frequency Select field of the Clock Control register as described by a Host System." group.long 0x78++0x7 line.long 0x0 "ADMA_ID_LOW_R,This register holds the lower 32-bit Integrated Descriptor address.This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x0 0.--31. 1. "ADMA_ID_LOW,ADMA Integrated Descriptor Address These bits indicate the lower 32-bit of the ADMA Integrated Descriptor address. The start address of Integrated Descriptor is set to these register bits. The ADMA3 fetches one Descriptor Address and.." line.long 0x4 "ADMA_ID_HIGH_R,This register holds the upper 32-bit Integrated Descriptor address.This register is applicable for an SD/eMMC/UHS-II mode." hexmask.long 0x4 0.--31. 1. "ADMA_ID_HIGH,ADMA Integrated Descriptor Address These bits indicate the higher 32 bit of the ADMA Integrated Descriptor address." rgroup.word 0xE6++0x5 line.word 0x0 "P_EMBEDDED_CNTRL,This register points to the location of UHS-II embedded control registers." newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,These bits of the P_EMBEDDED_CNTRL register are reserved. They always return 0." newline hexmask.word 0x0 0.--11. 1. "REG_OFFSET_ADDR,Offset Address of Embedded Control register." line.word 0x2 "P_VENDOR_SPECIFIC_AREA,This register used as a pointer for the Vendor Specific Area 1." newline hexmask.word.byte 0x2 12.--15. 1. "RESERVED_15_12,These bits of the P_VENDOR_SPECIFIC_AREA register are reserved. They always return 0." newline hexmask.word 0x2 0.--11. 1. "REG_OFFSET_ADDR,Base offset Address for Vendor-Specific registers." line.word 0x4 "P_VENDOR2_SPECIFIC_AREA,This register is used as a pointer for the Vendor Specific Area 2." newline hexmask.word 0x4 0.--15. 1. "REG_OFFSET_ADDR,Base offset Address for Command Queuing registers." rgroup.word 0xFC++0x3 line.word 0x0 "SLOT_INTR_STATUS_R,This register indicates the Interrupt status of each slot." newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,These bits of the SLOT_INTR_STATUS_R register are reserved. They always return 0." newline hexmask.word.byte 0x0 0.--7. 1. "INTR_SLOT,Interrupt signal for each Slot These status bits indicate the logical OR of Interrupt signal and Wakeup signal for each slot. A maximum of 8 slots can be defined. If one interrupt signal is associated with multiple slots the Host Driver can.." line.word 0x2 "HOST_CNTRL_VERS_R,This register is used to indicate the Host Controller Version number." newline hexmask.word.byte 0x2 8.--15. 1. "VENDOR_VERSION_NUM,Vendor Version Number This field is reserved for the vendor version number. Host Driver must not use this status." newline hexmask.word.byte 0x2 0.--7. 1. "SPEC_VERSION_NUM,Specification Version Number These bits indicate the Host controller specification version. The upper and lower 4-bits indicate the version. Values 0x06-0xFF are reserved. 0x0: SD Host Controller Specification Version 1.00 0x1: SD Host.." tree.end tree "DWC_mshc_embedded_control_block (This register block defines embedded control registers)" base ad:0x3948 group.long 0x0++0x3 line.long 0x0 "EMBEDDED_CTRL_R,This register controls the embedded device. When the Host Controller is connected to a removable device. this register is not used." rbitfld.long 0x0 31. "RSVD_31,This bit (RSVD_31) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" hexmask.long.byte 0x0 24.--30. 1. "BACK_END_PWR_CTRL,Back-End Power Control (SD Mode) Each bit of this field controls back-end power supply for an embedded device. - 0 - Back-End Power is off - 1 - Back-End Power is supplied D24 - Back-End Power for Device 1 D25 - Back-End Power for.." rbitfld.long 0x0 23. "RSVD_23,This bit (RSVD_23) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" newline bitfld.long 0x0 20.--22. "INT_PIN_SEL,Interrupt Pin Select These bits enable the interrupt pin inputs. - 000 - Interrupts (INT_A INT_B INT_C) are disabled - xx1 - INT_A is enabled - x1x - INT_B is enabled - 1xx - INT_C is enabled" "Interrupts,INT_A is enabled,?,?,?,?,?,?" rbitfld.long 0x0 19. "RSVD_19,This bit (RSVD_19) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" bitfld.long 0x0 16.--18. "CLK_PIN_SEL,Clock Pin Select (SD Mode) This bit is selected by one of clock pin outputs. - 0x0 - Clock pins are disabled - 0x1 - CLK[1] is selected - 0x2 - CLK[2] is selected - . . - . . - . . - 0x7 - CLK[7] is selected" "Clock pins are disabled,CLK[1] is selected,CLK[2] is selected,?,?,?,?,CLK[7] is selected" newline rbitfld.long 0x0 15. "RSVD_15,This bit (RSVD_15) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" hexmask.long.byte 0x0 8.--14. 1. "BUS_WIDTH_PRESET,Bus Width Preset (SD Mode) Each bit of this field specifies the bus width for each embedded device. The shared bus supports mixing of 4-bit and 8-bit bus width devices. - D08 - Bus Width Preset for Device 1 - D09 - Bus Width Preset for.." rbitfld.long 0x0 6.--7. "RSVD_7_6,These bits (RSVD_7_6) of the EMBEDDED_CTRL_R register are reserved. They always return 0." "0,1,2,3" newline rbitfld.long 0x0 4.--5. "NUM_INT_PIN,Number of Interrupt Input Pins This field indicates support of interrupt input pins for an embedded system." "0,1,2,3" rbitfld.long 0x0 3. "RSVD_3,This bit (RSVD_3) of the EMBEDDED_CTRL_R register is reserved. It always returns 0." "0,1" rbitfld.long 0x0 0.--2. "NUM_CLK_PIN,Number of Clock Pins (SD Mode) This field indicates support of clock pins to select one of devices for shared bus system. Up to 7 clock pins can be supported. - 0x0 - Shared bus is not supported - 0x1 - 1 SDCLK is supported - 0x2 - 2 SDCLK is.." "Shared bus is not supported,?,?,?,?,?,?,?" tree.end tree "DWC_mshc_vendor1_block (This register block defines Vendor-1 related registers)" base ad:0x1280 rgroup.long 0x0++0x7 line.long 0x0 "MSHC_VER_ID_R,This register reflects the current release number of DWC_mshc/DWC_mshc_lite." hexmask.long 0x0 0.--31. 1. "MSHC_VER_ID,Current release number This field indicates the Synopsys DesignWare Cores DWC_mshc/DWC_mshc_lite current release number that is read by an application. For example release number '1.70a' is represented in ASCII as 0x313730. Lower 8 bits read.." line.long 0x4 "MSHC_VER_TYPE_R,This register reflects the current release type of DWC_mshc/DWC_mshc_lite." hexmask.long 0x4 0.--31. 1. "MSHC_VER_TYPE,Current release type This field indicates the Synopsys DesignWare Cores DWC_mshc/DWC_mshc_lite current release type that is read by an application. For example release type is 'ga' is represented in ASCII as 0x6761. Lower 16 bits read from.." group.byte 0x8++0x0 line.byte 0x0 "MSHC_CTRL_R,This register is used to control the operation of MSHC Host Controller." bitfld.byte 0x0 4. "SW_CG_DIS,Internal clock gating disable control This bit must be used to disable IP's internal clock gating when required. when disabled clocks are not gated. Clocks to the core (except hclk) must be stopped when programming this bit. 0x1: Internal clock.." "Internal clock gates are active and clock gating..,Internal clock gating is disabled" newline rbitfld.byte 0x0 1.--3. "RSVD1,These bits (RSVD1) of the MSHC_CTRL_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.byte 0x0 0. "CMD_CONFLICT_CHECK,Command conflict check This bit enables command conflict check. Note: DWC_mshc controller monitors the CMD line whenever a command is issued and checks whether the value driven on sd_cmd_out matches the value on sd_cmd_in at next.." "Disable command conflict check,Check for command conflict after 1 card clock.." group.byte 0x10++0x0 line.byte 0x0 "MBIU_CTRL_R,This register is used to select the valid burst types that the AHB Master bus interface can generate. When more than one bit is set the master selects the burst it prefers among those that are enabled in this register." hexmask.byte 0x0 4.--7. 1. "RSVD,Reserved field" newline bitfld.byte 0x0 3. "BURST_INCR16_EN,INCR16 Burst Controls generation of INCR16 transfers on Master interface. 0x0: AHB INCR16 burst type is not generated on Master I/F 0x1: AHB INCR16 burst type can be generated on Master I/F" "AHB INCR16 burst type is not generated on Master..,AHB INCR16 burst type can be generated on Master.." newline bitfld.byte 0x0 2. "BURST_INCR8_EN,INCR8 Burst Controls generation of INCR8 transfers on Master interface. 0x0: AHB INCR8 burst type is not generated on Master I/F 0x1: AHB INCR8 burst type can be generated on Master I/F" "AHB INCR8 burst type is not generated on Master..,AHB INCR8 burst type can be generated on Master.." newline bitfld.byte 0x0 1. "BURST_INCR4_EN,INCR4 Burst Controls generation of INCR4 transfers on Master interface. 0x0: AHB INCR4 burst type is not generated on Master I/F 0x1: AHB INCR4 burst type can be generated on Master I/F" "AHB INCR4 burst type is not generated on Master..,AHB INCR4 burst type can be generated on Master.." newline bitfld.byte 0x0 0. "UNDEFL_INCR_EN,Undefined INCR Burst Controls generation of undefined length INCR transfer on Master interface. 0x0: Undefined INCR type burst is the least preferred burst on AHB Master I/F 0x1: Undefined INCR type burst is the most preferred burst on AHB.." "Undefined INCR type burst is the least preferred..,Undefined INCR type burst is the most preferred.." group.word 0x2C++0x3 line.word 0x0 "EMMC_CTRL_R,This register is used to control the eMMC operation." hexmask.word.byte 0x0 11.--15. 1. "RSVD,These bits (RSVD) of the EMMC_CTRL_R register are reserved. They always return 0." newline bitfld.word 0x0 10. "CQE_PREFETCH_DISABLE,Enable or Disable CQE's PREFETCH feature This field allows Software to disable CQE's data prefetch feature when set to 1. 0x1: Prefetch for WRITE and Pipeline for READ are disabled 0x0: CQE can Prefetch data for sucessive WRITE.." "CQE can Prefetch data for sucessive WRITE..,Prefetch for WRITE and Pipeline for READ are.." newline bitfld.word 0x0 9. "CQE_ALGO_SEL,Scheduler algorithm selected for execution This bit selects the Algorithm used for selecting one of the many ready tasks for execution. 0x1: First come First serve in the order of DBR rings 0x0: Priority based reordering with FCFS to.." "Priority based reordering with FCFS to resolve..,First come First serve" newline bitfld.word 0x0 8. "ENH_STROBE_ENABLE,Enhanced Strobe Enable This bit instructs DWC_mshc to sample the CMD line using data strobe for HS400 mode. 0x1: CMD line is sampled using data strobe for HS400 mode 0x0: CMD line is sampled using cclk_rx for HS400 mode" "CMD line is sampled using cclk_rx for HS400 mode,CMD line is sampled using data strobe for HS400.." newline bitfld.word 0x0 3. "EMMC_RST_N_OE,Output Enable control for EMMC Device Reset signal PAD control. This field drived sd_rst_n_oe output of DWC_mshc 0x0: sd_rst_n_oe is 0 0x1: sd_rst_n_oe is 1" "sd_rst_n_oe is 0,sd_rst_n_oe is 1" newline bitfld.word 0x0 2. "EMMC_RST_N,EMMC Device Reset signal control. This register field controls the sd_rst_n output of DWC_mshc 0x0: Reset to eMMC device asserted (active low) 0x1: Reset to eMMC device is deasserted" "Reset to eMMC device asserted,Reset to eMMC device is deasserted" newline bitfld.word 0x0 1. "DISABLE_DATA_CRC_CHK,Disable Data CRC Check This bit controls masking of CRC16 error for Card Write in eMMC mode. This is useful in bus testing (CMD19) for an eMMC device. In bus testing an eMMC card does not send CRC status for a block which may.." "DATA CRC check is enabled,DATA CRC check is disabled" newline bitfld.word 0x0 0. "CARD_IS_EMMC,eMMC Card present This bit indicates the type of card connected. An application program this bit based on the card connected to MSHC. 0x1: Card connected to MSHC is an eMMC card 0x0: Card connected to MSHC is a non-eMMC card" "Card connected to MSHC is a non-eMMC card,Card connected to MSHC is an eMMC card" line.word 0x2 "BOOT_CTRL_R,This register is used to control the eMMC Boot operation." hexmask.word.byte 0x2 12.--15. 1. "BOOT_TOUT_CNT,Boot Ack Timeout Counter Value. This value determines the interval by which boot ack timeout (50 ms) is detected when boot ack is expected during boot operation. - 0xF - Reserved - 0xE - TMCLK x 2^27 - .. - ............ - 0x1 - TMCLK x 2^14.." newline rbitfld.word 0x2 9.--11. "RSVD_11_9,These bits (RSVD_11_9) of the BOOT_CTRL_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.word 0x2 8. "BOOT_ACK_ENABLE,Boot Acknowledge Enable When this bit set DWC_mshc checks for boot acknowledge start pattern of 0-1-0 during boot operation. This bit is applicable for both mandatory and alternate boot mode. 0x0: Boot Ack disable 0x1: Boot Ack enable" "Boot Ack disable,Boot Ack enable" newline bitfld.word 0x2 7. "VALIDATE_BOOT,Validate Mandatory Boot Enable bit This bit is used to validate the MAN_BOOT_EN bit. 0x0: Ignore Mandatory boot Enable bit 0x1: Validate Mandatory boot enable bit" "Ignore Mandatory boot Enable bit,Validate Mandatory boot enable bit" newline hexmask.word.byte 0x2 1.--6. 1. "RSVD_6_1,These bits (RSVD _6_1) of the BOOT_CTRL_R register are reserved. They always return 0." newline bitfld.word 0x2 0. "MAN_BOOT_EN,Mandatory Boot Enable This bit is used to initiate the mandatory boot operation. The application sets this bit along with VALIDATE_BOOT bit. Writing 0 is ignored. The DWC_mshc clears this bit after the boot transfer is completed or.." "Mandatory boot disable,Mandatory boot enable" group.long 0x40++0x7 line.long 0x0 "AT_CTRL_R,This register controls some aspects of tuning and auto-tuning features. Do not program this register when HOST_CTRL2_R.SAMPLE_CLK_SEL is '1'" hexmask.long.byte 0x0 24.--30. 1. "SWIN_TH_VAL,Sampling window threshold value setting The maximum value that can be set here depends on the length of delayline used for tuning. A delayLine with 128 taps can use values from 0x0 to 0x7F. This field is valid only when SWIN_TH_EN is '1'." newline bitfld.long 0x0 19.--20. "POST_CHANGE_DLY,Time taken for phase switching and stable clock output. Specifies the maximum time (in terms of cclk cycles) that the delay line can take to switch its output phase after a change in tuning_cclk_sel or autotuning_cclk_sel. 0x0: Less than.." "Less than 1-cycle latency,Less than 2-cycle latency,Less than 3-cycle latency,Less than 4-cycle latency" newline bitfld.long 0x0 17.--18. "PRE_CHANGE_DLY,Maximum Latency specification between cclk_tx and cclk_rx. 0x0: Less than 1-cycle latency 0x1: Less than 2-cycle latency 0x2: Less than 3-cycle latency 0x3: Less than 4-cycle latency" "Less than 1-cycle latency,Less than 2-cycle latency,Less than 3-cycle latency,Less than 4-cycle latency" newline bitfld.long 0x0 16. "TUNE_CLK_STOP_EN,Clock stopping control for Tuning and auto-tuning circuit. When enabled clock gate control output of DWC_mshc (clk2card_on) is pulled low before changing phase select codes on tuning_cclk_sel and autotuning_cclk_sel. This effectively.." "Clocks not stopped,Clocks stopped during phase code change" newline hexmask.long.byte 0x0 12.--15. 1. "RSDV3,These bits (RSVD3) of the AT_CTRL_R register are reserved. They always return 0." newline hexmask.long.byte 0x0 8.--11. 1. "WIN_EDGE_SEL,This field sets the phase for Left and Right edges for drift monitoring. [Left edge offset + Right edge offset] must not be less than total taps of delayLine. - 0x0: User selection disabled. Tuning calculated edges are used. - 0x1: Right.." newline rbitfld.long 0x0 5.--7. "RSDV2,These bits (RSVD2) of the AT_CTRL_R register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 4. "SW_TUNE_EN,This fields enables software-managed tuning flow. 0x0: Software-managed tuning disabled. 0x1: Software-managed tuning enabled. AT_STAT_R.CENTER_PH_CODE Field is now writable." "Software-managed tuning disabled,Software-managed tuning enabled" newline bitfld.long 0x0 3. "RPT_TUNE_ERR,Framing errors are not generated when executing tuning. This debug bit allows users to report these errors. 0x1: Debug mode for reporting framing errors 0x0: Default mode where as per SD-HCI no errors are reported." "Default mode where as per SD-HCI no errors are..,Debug mode for reporting framing errors" newline bitfld.long 0x0 2. "SWIN_TH_EN,Sampling window Threshold enable Selects the tuning mode Field should be programmed only when SAMPLE_CLK_SEL is '0' 0x0: Tuning engine sweeps all taps and settles at the largest window 0x1: Tuning engine selects the first complete sampling.." "Tuning engine sweeps all taps and settles at the..,Tuning engine selects the first complete.." newline bitfld.long 0x0 1. "CI_SEL,Selects the interval when the corrected center phase select code can be driven on tuning_cclk_sel output. 0x0: Driven in block gap interval 0x1: Driven at the end of the transfer" "Driven in block gap interval,Driven at the end of the transfer" newline bitfld.long 0x0 0. "AT_EN,Setting this bit enables Auto tuning engine. This bit is enabled by default when core is configured with mode3 retuning support. Clear this bit to 0 when core is configured to have Mode3 re-tuning but SW wishes to disable mode3 re-tuning. This.." "AutoTuning is disabled,AutoTuning is enabled" line.long 0x4 "AT_STAT_R,Register to read the Center. Left and Right codes used by tuning and auto-tuning engines. Center code field is also used for software managed tuning." hexmask.long.byte 0x4 24.--31. 1. "RSDV1,These bits of the AT_STAT_R register are reserved. They always return 0." newline hexmask.long.byte 0x4 16.--23. 1. "L_EDGE_PH_CODE,Left Edge Phase code. Reading this field returns the phase code value used by Auto-tuning engine to sample data on Left edge of sampling window." newline hexmask.long.byte 0x4 8.--15. 1. "R_EDGE_PH_CODE,Right Edge Phase code. Reading this field returns the phase code value used by Auto-tuning engine to sample data on Right edge of sampling window." newline hexmask.long.byte 0x4 0.--7. 1. "CENTER_PH_CODE,Centered Phase code. Reading this field returns the current value on tuning_cclk_sel output. Setting AT_CTRL_R.SW_TUNE_EN enables software to write to this field and its contents are reflected on tuning_cclk_sel." tree.end tree "DWC_mshc_vendor2_block (This register block defines Vendor-2 related registers)" base ad:0x384 rgroup.long 0x0++0x7 line.long 0x0 "CQVER,This register provides information about the version of the eMMC Command Queueing standard. which is implemented by the CQE in BCD format." hexmask.long.tbyte 0x0 12.--31. 1. "EMMMC_VER_RSVD,These bits of the CQVER register are reserved. They always return 0." hexmask.long.byte 0x0 8.--11. 1. "EMMC_VER_MAJOR,This bit indicates the eMMC major version (1st digit left of decimal point) in BCD format." newline hexmask.long.byte 0x0 4.--7. 1. "EMMC_VER_MINOR,This bit indicates the eMMC minor version (1st digit right of decimal point) in BCD format." hexmask.long.byte 0x0 0.--3. 1. "EMMC_VER_SUFFIX,This bit indicates the eMMC version suffix (2nd digit right of decimal point) in BCD format." line.long 0x4 "CQCAP,This register indicates the capabilities of the command queuing engine." bitfld.long 0x4 29.--31. "CQCCAP_RSVD3,These bits [31:29] of the CQCAP register are reserved. They always return 0." "0,1,2,3,4,5,6,7" bitfld.long 0x4 28. "CRYPTO_SUPPORT,Crypto Support This bit indicates whether the Host Controller supports cryptographic operations. 0x0: Crypto not Supported 0x1: Crypto Supported" "Crypto not Supported,Crypto Supported" newline hexmask.long.word 0x4 16.--27. 1. "CQCCAP_RSVD2,These bits [27:16] of the CQCAP register are reserved. They always return 0." hexmask.long.byte 0x4 12.--15. 1. "ITCFMUL,Internal Timer Clock Frequency Multiplier (ITCFMUL) This field indicates the frequency of the clock used for interrupt coalescing timer and for determining the SQS polling period. See ITCFVAL definition for details. Values 0x5 to 0xF are.." newline bitfld.long 0x4 10.--11. "CQCCAP_RSVD1,These bits of the CQCAP register are reserved. They always return 0." "0,1,2,3" hexmask.long.word 0x4 0.--9. 1. "ITCFVAL,Internal Timer Clock Frequency Value (ITCFVAL) This field scales the frequency of the timer clock provided by ITCFMUL. The Final clock frequency of actual timer clock is calculated as ITCFVAL* ITCFMUL." group.long 0x8++0x27 line.long 0x0 "CQCFG,This register controls CQE behavior affecting the general operation of command queuing engine." hexmask.long.tbyte 0x0 13.--31. 1. "CQCCFG_RSVD3,These bits (CQCCFG_RSVD3) of the CQCFG register are reserved. They always return 0." bitfld.long 0x0 12. "DCMD_EN,This bit indicates to the hardware whether the Task Descriptor in slot #31 of the TDL is a data transfer descriptor or a direct-command descriptor. CQE uses this bit when a task is issued in slot #31 to determine how to decode the Task.." "Task descriptor in slot #31 is a data Transfer..,Task descriptor in slot #31 is a DCMD Task.." newline rbitfld.long 0x0 9.--11. "CQCCFG_RSVD2,These bits (CQCCFG_RSVD2) of the CQCFG register are reserved. They always return 0." "0,1,2,3,4,5,6,7" bitfld.long 0x0 8. "TASK_DESC_SIZE,Bit Value Description This bit indicates the size of task descriptor used in host memory. This bit can only be configured when Command Queuing Enable bit is 0 (command queuing is disabled). 0x1: Task descriptor size is 128 bits 0x0: Task.." "Task descriptor size is 64 bits,Task descriptor size is 128 bits" newline hexmask.long.byte 0x0 2.--7. 1. "CQCCFG_RSVD1,These bits (CQCCFG_RSVD1) of the CQCFG register are reserved. They always return 0." bitfld.long 0x0 0. "CQ_EN,Enable command queuing engine (CQE). When CQE is disable the software controls the eMMC bus using the registers between the addresses 0x000 to 0x1FF. Before the software writes to this bit the software verifies that the eMMC host controller is in.." "Disable command queuing,Enable command queuing" line.long 0x4 "CQCTL,This register controls CQE behavior affecting the general operation of command queuing module or simultaneous operation of multiple tasks." hexmask.long.tbyte 0x4 9.--31. 1. "CQCTL_RSVD2,These bits (CQCTL_RSVD2) of the CQCTL register are reserved. They always return 0." bitfld.long 0x4 8. "CLR_ALL_TASKS,Clear all tasks This bit can only be written when the controller is halted. This bit does not clear tasks in the device. The software has to use the CMDQ_TASK_MGMT command to clear device's queue. 0x1: Clears all the tasks in the controller.." "Programming 0 has no effect,Clears all the tasks in the controller" newline hexmask.long.byte 0x4 1.--7. 1. "CQCTL_RSVD1,These bits (CQCTL_RSVD1) of the CQCTL register are reserved. They always return 0." bitfld.long 0x4 0. "HALT,Halt request and resume 0x1: Software writes 1 to this bit when it wants to acquire software control over the eMMC bus and to disable CQE from issuing command on the bus. For example issuing a Discard Task command (CMDQ_TASK_MGMT). When the.." "Software writes 0 to this bit to exit from the..,Software writes 1 to this bit when it wants to.." line.long 0x8 "CQIS,This register indicates pending interrupts that require service. Each bit in this register is asserted in response to a specific event. only if the respective bit is set in the CQISE register." hexmask.long 0x8 6.--31. 1. "CQIS_RSVD1,These bits of the CQIS register are reserved. They always return 0." bitfld.long 0x8 3. "TCL,Task cleared interrupt This status bit is asserted (if CQISE.TCL_STE=1) when a task clear operation is completed by CQE. The completed task clear operation is either an individual task clear (by writing CQTCLR) or clearing of all tasks (by writing.." "TCL Interrupt is not set,TCL Interrupt is set" newline bitfld.long 0x8 2. "RED,Response error detected interrupt This status bit is asserted (if CQISE.RED_STE=1) when a response is received with an error bit set in the device status field. Configure the CQRMEM register to identify device status bit fields that may trigger an.." "RED Interrupt is not set,RED Interrupt is set" bitfld.long 0x8 1. "TCC,Task complete interrupt This status bit is asserted (if CQISE.TCC_STE=1) when at least one of the following conditions are met: - A task is completed and the INT bit is set in its Task Descriptor - Interrupt caused by Interrupt Coalescing logic due.." "TCC Interrupt is not set,TCC Interrupt is set" newline bitfld.long 0x8 0. "HAC,Halt complete interrupt This status bit is asserted (only if CQISE.HAC_STE=1) when halt bit in the CQCTL register transitions from 0 to 1 indicating that the host controller has completed its current ongoing task and has entered halt state. A value.." "HAC Interrupt is not set,HAC Interrupt is set" line.long 0xC "CQISE,This register enables and disables the reporting of the corresponding interrupt to host software in the CQIS register. When a bit is set (1) and the corresponding interrupt condition is active. then the bit in CQIS is asserted. Interrupt sources.." hexmask.long 0xC 6.--31. 1. "CQISTE_RSVD1,These bits of the CQISE register are reserved. They always return 0." bitfld.long 0xC 3. "TCL_STE,Task cleared interrupt status enable 0x0: CQIS.TCL is disabled 0x1: CQIS.TCL is set when its interrupt condition is active" "CQIS,CQIS" newline bitfld.long 0xC 2. "RED_STE,Response error detected interrupt status enable 0x0: CQIS.RED is disabled 0x1: CQIS.RED is set when its interrupt condition is active" "CQIS,CQIS" bitfld.long 0xC 1. "TCC_STE,Task complete interrupt status enable 0x0: CQIS.TCC is disabled 0x1: CQIS.TCC is set when its interrupt condition is active" "CQIS,CQIS" newline bitfld.long 0xC 0. "HAC_STE,Halt complete interrupt status enable 0x0: CQIS.HAC is disabled 0x1: CQIS.HAC is set when its interrupt condition is active" "CQIS,CQIS" line.long 0x10 "CQISGE,This register enables and disables the generation of interrupts to host software. When a bit is set and the corresponding bit in CQIS is set. then an interrupt is generated. Interrupt sources that are disabled are still indicated in the CQIS.." hexmask.long 0x10 6.--31. 1. "CQISGE_RSVD1,These bits of the CQISGE register are reserved. They always return 0." bitfld.long 0x10 3. "TCL_SGE,Task cleared interrupt signal enable 0x0: CQIS.TCL interrupt signal generation is disabled 0x1: CQIS.TCL interrupt signal generation is active" "CQIS,CQIS" newline bitfld.long 0x10 2. "RED_SGE,Response error detected interrupt signal enable 0x0: CQIS.RED interrupt signal generation is disabled 0x1: CQIS.RED interrupt signal generation is active" "CQIS,CQIS" bitfld.long 0x10 1. "TCC_SGE,Task complete interrupt signal enable 0x0: CQIS.TCC interrupt signal generation is disabled 0x1: CQIS.TCC interrupt signal generation is active" "CQIS,CQIS" newline bitfld.long 0x10 0. "HAC_SGE,Halt complete interrupt signal enable 0x0: CQIS.HAC interrupt signal generation is disabled 0x1: CQIS.HAC interrupt signal generation is active" "CQIS,CQIS" line.long 0x14 "CQIC,This register controls and configures interrupt coalescing feature." bitfld.long 0x14 31. "INTC_EN,Interrupt Coalescing Enable Bit 0x0: Interrupt coalescing mechanism is disabled (Default). 0x1: Interrupt coalescing mechanism is active. Interrupts are counted and timed and coalesced interrupts are generated" "Interrupt coalescing mechanism is disabled,Interrupt coalescing mechanism is active" hexmask.long.word 0x14 21.--30. 1. "CQIC_RSVD3,These bits (CQIC_RSVD3) of the CQIC register are reserved. They always return 0." newline rbitfld.long 0x14 20. "INTC_STAT,Interrupt Coalescing Status Bit This bit indicates to the software whether any tasks (with INT=0) have completed and counted towards interrupt coalescing (that is this is set if and only if INTC counter > 0). 0x1: At least one INT0 task.." "INT0 Task completions have not occurred since..,At least one INT0 task completion has been.." rbitfld.long 0x14 17.--19. "CQIC_RSVD2,These bits (CQIC_RSVD2) of the CQIC register are reserved. They always return 0." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 16. "INTC_RST,Counter and Timer Reset When host driver writes 1 the interrupt coalescing timer and counter are reset. 0x1: Interrupt coalescing timer and counter are reset 0x0: No Effect" "No Effect,Interrupt coalescing timer and counter are reset" bitfld.long 0x14 15. "INTC_TH_WEN,Interrupt Coalescing Counter Threshold Write Enable When software writes 1 to this bit the value INTC_TH is updated with the contents written on the same cycle. 0x0: Clears INTC_TH_WEN 0x1: Sets INTC_TH_WEN" "Clears INTC_TH_WEN,Sets INTC_TH_WEN" newline rbitfld.long 0x14 13.--14. "CQIC_RSVD1,These bits (CQIC_RSVD1) of the CQIC register are reserved. They always return 0." "0,1,2,3" hexmask.long.byte 0x14 8.--12. 1. "INTC_TH,Interrupt Coalescing Counter Threshold filed Software uses this field to configure the number of task completions (only tasks with INT=0 in the Task Descriptor) which are required in order to generate an interrupt. Counter Operation: As data.." newline bitfld.long 0x14 7. "TOUT_VAL_WEN,When software writes 1 to this bit the value TOUT_VAL is updated with the contents written on the same cycle. 0x0: clears TOUT_VAL_WEN 0x1: Sets TOUT_VAL_WEN" "clears TOUT_VAL_WEN,Sets TOUT_VAL_WEN" hexmask.long.byte 0x14 0.--6. 1. "TOUT_VAL,Interrupt Coalescing Timeout Value Software uses this field to configure the maximum time allowed between the completion of a task on the bus and the generation of an interrupt. Timer Operation: The timer is reset by software during the.." line.long 0x18 "CQTDLBA,This register is used for configuring the lower 32 bits of the byte address of the head of the Task Descriptor List in the host memory." hexmask.long 0x18 0.--31. 1. "TDLBA,This register stores the LSB bits (31:0) of the byte address of the head of the Task Descriptor List in system memory. The size of the task descriptor list is 32 * (Task Descriptor size + Transfer Descriptor size) as configured by the host driver." line.long 0x1C "CQTDLBAU,This register is used for configuring the upper 32 bits of the byte address of the head of the Task Descriptor List in the host memory." hexmask.long 0x1C 0.--31. 1. "TDLBAU,This register stores the MSB bits (63:32) of the byte address of the head of the Task Descriptor List in system memory. The size of the task descriptor list is 32 * (Task Descriptor size + Transfer Descriptor size) as configured by Host driver." line.long 0x20 "CQTDBR,Using this register. software triggers CQE to process a new task." hexmask.long 0x20 0.--31. 1. "DBR,The software configures TDLBA and TDLBAU and enable CQE in CQCFG before using this register. Writing 1 to bit n of this register triggers CQE to start processing the task encoded in slot n of the TDL. Writing 0 by the software does not have any.." line.long 0x24 "CQTCN,This register is used by CQE to notify software about completed tasks." hexmask.long 0x24 0.--31. 1. "TCN,Task Completion Notification Each of the 32 bits are bit mapped to the 32 tasks. - Bit-N(1): Task-N has completed execution (with success or errors) - Bit-N(0): Task-N has not completed could be pending or not submitted. On task completion software.." rgroup.long 0x30++0x7 line.long 0x0 "CQDQS,This register stores the most recent value of the device's queue status." hexmask.long 0x0 0.--31. 1. "DQS,Device Queue Status Each of the 32 bits are bit mapped to the 32 tasks. - Bit-N(1): Device has marked task N as ready for execution - Bit-N(0): Task-N is not ready for execution. This task could be pending in device or not submitted. Host controller.." line.long 0x4 "CQDPT,This register maintains the list of tasks that are queued into device and are awaiting execution completion." hexmask.long 0x4 0.--31. 1. "DPT,Device-Pending Tasks Each of the 32 bits are bit mapped to the 32 tasks. - Bit-N(1): Task-N has been successfully queued into the device and is awaiting execution - Bit-N(0): Task-N is not yet queued. Bit n of this register is set if and only if.." group.long 0x38++0x3 line.long 0x0 "CQTCLR,This register is used for removing an outstanding task in the CQE. The register must be used only when CQE is in Halt state." hexmask.long 0x0 0.--31. 1. "TCLR,Writing 1 to bit n of this register orders CQE to clear a task that the software has previously issued. This bit can only be written when CQE is in Halt state as indicated in CQCFG register Halt bit. When software writes 1 to a bit in this register.." group.long 0x40++0x7 line.long 0x0 "CQSSC1,This register is used for removing an outstanding task in the CQE. The register controls when SEND_QUEUE_STATUS commands are sent." hexmask.long.word 0x0 20.--31. 1. "RSVD_20_31,These bits of the CQSSC1 register are reserved. They always return 0." hexmask.long.byte 0x0 16.--19. 1. "SQSCMD_BLK_CNT,This field indicates when SQS CMD is sent while data transfer is in progress. A value of 'n' indicates that CQE sends status command on the CMD line during the transfer of data block BLOCK_CNT-n on the data lines where BLOCK_CNT is the.." newline hexmask.long.word 0x0 0.--15. 1. "SQSCMD_IDLE_TMR,This field configures the polling period to be used when using periodic SEND_QUEUE_STATUS (CMD13) polling. Periodic polling is used when tasks are pending in the device but no data transfer is in progress. When a SEND_QUEUE_STATUS.." line.long 0x4 "CQSSC2,The register is used for configuring the RCA field in SEND_QUEUE_STATUS command argument." hexmask.long.word 0x4 16.--31. 1. "RSVD_16_31,These bits of the CQSSC2 register are reserved. They always return 0." hexmask.long.word 0x4 0.--15. 1. "SQSCMD_RCA,This field provides CQE with the contents of the 16-bit RCA field in SEND_QUEUE_STATUS (CMD13) command argument. CQE copies this field to bits 31:16 of the argument when transmitting SEND_QUEUE_STATUS (CMD13) command." rgroup.long 0x48++0x3 line.long 0x0 "CQCRDCT,This register stores the response of last executed DCMD." hexmask.long 0x0 0.--31. 1. "DCMD_RESP,This register contains the response of the command generated by the last direct command (DCMD) task that was sent. Contents of this register are valid only after bit 31 of CQTDBR register is cleared by the controller." group.long 0x50++0x3 line.long 0x0 "CQRMEM,This register controls the generation of response error detect (RED) interrupt. Only the bits enabled here can contribute to RED." hexmask.long 0x0 0.--31. 1. "RESP_ERR_MASK,The bits of this field are bit mapped to the device response. This bit is used as an interrupt mask on the device status filed that is received in R1/R1b responses. - 1: When a R1/R1b response is received with a bit i in the device status.." rgroup.long 0x54++0xB line.long 0x0 "CQTERRI,This register is updated by CQE when an error occurs on data or command related to a task activity. When such an error is detected by CQE or indicated by the eMMC controller. CQE stores the following in the CQTERRI register: task IDs and indices.." bitfld.long 0x0 31. "TRANS_ERR_FIELDS_VALID,This bit is updated when an error is detected while a data transfer transaction was in progress. 0x0: Ignore contents of TRANS_ERR_TASKID and TRANS_ERR_CMD_INDX 0x1: data transfer related error detected. Check contents of.." "Ignore contents of TRANS_ERR_TASKID and..,data transfer related error detected" bitfld.long 0x0 29.--30. "RSVD_30_29,These bits (RSVD_30_29) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" newline hexmask.long.byte 0x0 24.--28. 1. "TRANS_ERR_TASKID,This field captures the ID of the task that was executed and whose data transfer has errors." bitfld.long 0x0 22.--23. "RSVD_23_22,These bits (RSVD_23_22) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" newline hexmask.long.byte 0x0 16.--21. 1. "TRANS_ERR_CMD_INDX,This field captures the index of the command that was executed and whose data transfer has errors." bitfld.long 0x0 15. "RESP_ERR_FIELDS_VALID,This bit is updated when an error is detected while a command transaction was in progress. 0x0: Ignore contents of RESP_ERR_TASKID and RESP_ERR_CMD_INDX 0x1: Response-related error is detected. Check contents of RESP_ERR_TASKID and.." "Ignore contents of RESP_ERR_TASKID and..,Response-related error is detected" newline bitfld.long 0x0 13.--14. "RSVD_13_14,These bits (RSVD_13_14) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" hexmask.long.byte 0x0 8.--12. 1. "RESP_ERR_TASKID,This field captures the ID of the task which was executed on the command line when the error occurred." newline bitfld.long 0x0 6.--7. "RSVD_6_7,These bits (RSVD_6_7) of the CQTERRI register are reserved. They always return 0." "0,1,2,3" hexmask.long.byte 0x0 0.--5. 1. "RESP_ERR_CMD_INDX,This field captures the index of the command that was executed on the command line when the error occurred." line.long 0x4 "CQCRI,This register stores the index of the last received command response." hexmask.long 0x4 6.--31. 1. "RSVD_31_6,These bits of the CQCRI register are reserved. They always return 0." hexmask.long.byte 0x4 0.--5. 1. "CMD_RESP_INDX,Last Command Response index This field stores the index of the last received command response. Controller updates the value every time a command response is received." line.long 0x8 "CQCRA,This register stores the argument of the last received command response." hexmask.long 0x8 0.--31. 1. "CMD_RESP_ARG,Last Command Response argument This field stores the argument of the last received command response. Controller updates the value every time a command response is received." tree.end tree "DWC_mshc_phy_block (This register block has PHY related registers)" base ad:0x768 group.long 0x0++0x3 line.long 0x0 "PHY_CNFG,SD/eMMC PHY general configuration register" hexmask.long.byte 0x0 20.--23. 1. "PAD_SN,NMOS TX drive strength control. Common config for all for SD/eMMC Pads." hexmask.long.byte 0x0 16.--19. 1. "PAD_SP,PMOS TX drive strength control. Common config for all for SD/eMMC Pads." newline rbitfld.long 0x0 1. "PHY_PWRGOOD,Phy's Power Good status is captured here. Ensure this is '1' before stating transactions." "0,1" bitfld.long 0x0 0. "PHY_RSTN,Active-Low reset control for PHY write '0' to reset PHY Write '1' to deassert reset." "0,1" group.word 0x4++0xF line.word 0x0 "CMDPAD_CNFG,SD/eMMC PHY's Command/Response PAD settings are controlled here" hexmask.word.byte 0x0 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type CMD Pad's TX" hexmask.word.byte 0x0 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type CMD Pad's TX" newline bitfld.word 0x0 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for CMD PAD 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x0 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY CMD PAD" "0,1,2,3,4,5,6,7" line.word 0x2 "DATPAD_CNFG,SD/eMMC PHY's Data PAD settings are controlled here. common settings for all data pads" hexmask.word.byte 0x2 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type DATA Pad's TX" hexmask.word.byte 0x2 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type DATA Pad's TX" newline bitfld.word 0x2 3.--4. "WEAKPULL_EN,Pull-up/Pull-down enable control for DATA PADs 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x2 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY DATA PADs" "0,1,2,3,4,5,6,7" line.word 0x4 "CLKPAD_CNFG,SD/eMMC PHY's CLK PAD settings are controlled here." hexmask.word.byte 0x4 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type CLK Pad's TX" hexmask.word.byte 0x4 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type CLK Pad's TX" newline bitfld.word 0x4 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for CLK PAD 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x4 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY CLK PAD" "0,1,2,3,4,5,6,7" line.word 0x6 "STBPAD_CNFG,SD/eMMC PHY's Strobe PAD settings are controlled here." hexmask.word.byte 0x6 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type Strobe Pad's TX" hexmask.word.byte 0x6 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type Strobe Pad's TX" newline bitfld.word 0x6 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for STROBE PAD 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x6 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY STROBE PAD" "0,1,2,3,4,5,6,7" line.word 0x8 "RSTNPAD_CNFG,SD/eMMC PHY's RSTN PAD settings are controlled here." hexmask.word.byte 0x8 9.--12. 1. "TXSLEW_CTRL_N,Slew control for N-Type RST_N Pad's TX" hexmask.word.byte 0x8 5.--8. 1. "TXSLEW_CTRL_P,Slew control for P-Type RST_N Pad's TX" newline bitfld.word 0x8 3.--4. "WEAKPULL_EN,Pull-up/Pul-down enable control for RST_N PAD(s) 0x0: Pull-up and pull-down functionality disabled 0x3: Should not be used 0x2: Weak pull down enabled 0x1: Weak pull up enabled" "Pull-up and pull-down functionality disabled,Weak pull up enabled,Weak pull down enabled,Should not be used" bitfld.word 0x8 0.--2. "RXSEL,Reciver type select for PAD. Controls the RXSEL value of SD/eMMC PHY RST_N PAD(s)" "0,1,2,3,4,5,6,7" line.word 0xA "PADTEST_CNFG,PAD TEST Path and direction control" hexmask.word 0xA 4.--15. 1. "TEST_OE,test interface OE control. Drive's PHY's itest_oe inputs." rbitfld.word 0xA 1.--3. "RSVD_1,RSVD1 field is reserved" "0,1,2,3,4,5,6,7" newline bitfld.word 0xA 0. "TESTMODE_EN,enables test mode interface for all PADS. Functional interface is disabled. 0x0: PAD's functional mode I/F is active 0x1: PAD's test mode interface is active" "PAD's functional mode I/F is active,PAD's test mode interface is active" line.word 0xC "PADTEST_OUT,PAD TEST Path Data out. Drives itest_a input of SD/eMMC PHY" hexmask.word 0xC 0.--11. 1. "TESTDATA_OUT,Data written here is reflected on corresponding itest_a. Indivitual bits are mapped to corresponding itest_a inputs of the PHY" line.word 0xE "PADTEST_IN,PAD TEST Path Data in. reflects value of otest_y output of SD/eMMC PHY" hexmask.word 0xE 0.--11. 1. "TESTDATA_IN,individual bits here capture data avaliable on corresponding otest_y output. should be used for DC test and low freq data patterns." group.word 0x18++0x1 line.word 0x0 "PRBS_CNFG,Register to configure PRBS engine" hexmask.word 0x0 0.--15. 1. "INIT_SEED,Value programmed here is used as SEED for PRBS engine" group.byte 0x1A++0x0 line.byte 0x0 "PHYLPBK_CNFG,Register to setup loopback mode" bitfld.byte 0x0 0. "PHYLPBK_EN,PHY Local loop back mode is enable 0x0: Controller is not in PHY loopback mode 0x1: Controller is now in PHY Loopback mode" "Controller is not in PHY loopback mode,Controller is now in PHY Loopback mode" group.byte 0x1C++0x2 line.byte 0x0 "COMMDL_CNFG,Config register to settings common to all DelayLines used in PHY" bitfld.byte 0x0 1. "DLOUT_EN,When '1' DL outputs can be sampled on PADs. Drives idlout_en for all PADs 0x0: DelayLine outputs on PAD disabled 0x1: DelayLine outputs on PAD enabled" "DelayLine outputs on PAD disabled,DelayLine outputs on PAD enabled" bitfld.byte 0x0 0. "DLSTEP_SEL,DelayLine's per step delay selection Drives PHY's idl_step input" "0,1" line.byte 0x1 "SDCLKDL_CNFG,Settings for SD/eMMC CLK DelayLine." bitfld.byte 0x1 4. "UPDATE_DC,Prepares DealyLine for code update when '1'. Its recommended that this bit is 1 when SDCLKDL_DC is being written. Ensure this is '0' when not updating code. Note: Turn-off card clock using CLK_CTRL_R.SD_CLK_EN before programing this field. 0x1:.." "DelayLine output is enabled,output of DelayLine is DelayLine output active" bitfld.byte 0x1 2.--3. "INPSEL_CNFG,Drives SD/eMMC CLK DelayLine's config input. Value here selects the input source to DelayLine" "0,1,2,3" newline bitfld.byte 0x1 1. "BYPASS_EN,Drives SD/eMMC CLK DelayLine's bypassen input 0x1: DelayLine is bypass mode 0x0: Delay line active mode" "Delay line active mode,DelayLine is bypass mode" bitfld.byte 0x1 0. "EXTDLY_EN,Drives SD/eMMC CLK DelayLine's extdlyen input 0x0: Delay line defaut range setting 0x1: DelayLine works with extended delay range setting" "Delay line defaut range setting,DelayLine works with extended delay range setting" line.byte 0x2 "SDCLKDL_DC,SD/eMMC CLK DelayLine Delay Code value" hexmask.byte 0x2 0.--6. 1. "CCKDL_DC,Drives SD/eMMC CLK DelayLine's Delay Code input. Value here Selects the number of active stages in the card clock delay line. Note: Turn-off card clock using CLK_CTRL_R.SD_CLK_EN before programing this field." group.byte 0x20++0x1 line.byte 0x0 "SMPLDL_CNFG,SD/eMMC cclk_rx DelayLine configuration settings" bitfld.byte 0x0 4. "INPSEL_OVERRIDE,PHY's Sampling delay line config is controlled by controller using sample_cclk_sel this signal overides sample_cclk_sel such that INPSEL_CFG field directly control's PHY's config input. - 0x0 : Controller logic drive Sampling delay line.." "Controller logic drive Sampling delay line config,SMPLDL_CNFG" bitfld.byte 0x0 2.--3. "INPSEL_CNFG,Drives CCLK_RX DelayLine's config input. Value here selects the input source to DelayLine" "0,1,2,3" newline bitfld.byte 0x0 1. "BYPASS_EN,Drives CCLK_RX DelayLine's bypassen input 0x1: DelayLine is bypass mode 0x0: Delay line active mode" "Delay line active mode,DelayLine is bypass mode" bitfld.byte 0x0 0. "EXTDLY_EN,Drives CCLK_RX DelayLine's extdlyen input 0x0: Delay line defaut range setting 0x1: DelayLine works with extended delay range setting" "Delay line defaut range setting,DelayLine works with extended delay range setting" line.byte 0x1 "ATDL_CNFG,SD/eMMC drift_cclk_rx DelayLine configuration settings" bitfld.byte 0x1 2.--3. "INPSEL_CNFG,Drives drift_cclk_rx DelayLine's config input. Value here selects the input source to DelayLine" "0,1,2,3" bitfld.byte 0x1 1. "BYPASS_EN,Drives drift_cclk_rx DelayLine's bypassen input 0x1: DelayLine is bypass mode 0x0: Delay line active mode" "Delay line active mode,DelayLine is bypass mode" newline bitfld.byte 0x1 0. "EXTDLY_EN,Drives drift_cclk_rx DelayLine's extdlyen input 0x0: Delay line defaut range setting 0x1: DelayLine works with extended delay range setting" "Delay line defaut range setting,DelayLine works with extended delay range setting" group.byte 0x24++0x2 line.byte 0x0 "DLL_CTRL,SD/eMMC PHY's DLL Control settings register" hexmask.byte 0x0 3.--7. 1. "RSVD_3_7,These bits of the register are reserved." bitfld.byte 0x0 2. "SLV_SWDC_UPDATE,Corresponding output drives PHY's DLL Slave's dc update input. This is used to turn-off Slave Delay line's output when changing its delay code using DLL_OFFST register 0x1: Update in progress 0x0: Update completed" "Update completed,Update in progress" newline bitfld.byte 0x0 1. "OFFST_EN,Enables offset mode of PHY when DLL is enabled. when DLL is disabled this allows direct control of delay generated by DLL's Slave 0x0: offset value is invalid 0x1: Offset value is valid" "offset value is invalid,Offset value is valid" bitfld.byte 0x0 0. "DLL_EN,Enable's DLL when '1' 0x0: PHY DLL is disabled 0x1: PHY DLL is enabled" "PHY DLL is disabled,PHY DLL is enabled" line.byte 0x1 "DLL_CNFG1,SD/eMMC PHY DLL configuration register 1" bitfld.byte 0x1 4.--5. "SLVDLY,Sets the value of DLL slave's update delay input islv_update_dly" "0,1,2,3" bitfld.byte 0x1 0.--2. "WAITCYCLE,Sets the value of DLL's wait cycle input" "0,1,2,3,4,5,6,7" line.byte 0x2 "DLL_CNFG2,SD/eMMC PHY DLL configuration register 2" hexmask.byte 0x2 0.--6. 1. "JUMPSTEP,Sets the value of DLL's jump step input" group.byte 0x28++0x2 line.byte 0x0 "DLLDL_CNFG,SD/eMMC PHY DLL MST & Slave DL configuration settings" bitfld.byte 0x0 7. "SLV_BYPASS,Bypass enable control for Slave DL" "0,1" bitfld.byte 0x0 5.--6. "SLV_INPSEL,Clock source select for Slave DL" "0,1,2,3" newline bitfld.byte 0x0 4. "SLV_EXTDLYEN,Enable Extended delay mode for Slave" "0,1" bitfld.byte 0x0 3. "MST_BYPASS,Bypass enable control for Master DL" "0,1" newline bitfld.byte 0x0 1.--2. "MST_INPSEL,Clock source select for Master DL" "0,1,2,3" bitfld.byte 0x0 0. "MST_EXTDLYEN,Enable Extended delay mode for master" "0,1" line.byte 0x1 "DLL_OFFST,SD/eMMC PHY DLL Offset value settings" hexmask.byte 0x1 0.--6. 1. "OFFST,Sets the value of DLL's offset input" line.byte 0x2 "DLLMST_TSTDC,SD/eMMC PHY DLL Master testing Delay code register" hexmask.byte 0x2 0.--6. 1. "MSTTST_DC,Sets the value of DLL's Master test code input when DLL is disabled." group.word 0x2C++0x1 line.word 0x0 "DLLLBT_CNFG,SD/eMMC PHY DLL Low Bandwidth Timer configuration register" hexmask.word 0x0 0.--15. 1. "LBT_LOADVAL,Sets the value of DLL's olbt_loadval input. Controls the lbt timer's timeout value at which DLL runs a revalidation cycle." rgroup.byte 0x2E++0x0 line.byte 0x0 "DLL_STATUS,SD/eMMC PHY DLL Status register" bitfld.byte 0x0 1. "ERROR_STS,Captures the value of DLL's lock error status information. Value is valid only when LOCK_STS is set. - IF LOCK_STS =1 and ERR_STS = 0 then DLL is locked and no errors are generated - IF LOCK_STS =1 and ERR_STS = 1 then DLL is locked to default.." "DLL has not locked,DLL is locked and ready" bitfld.byte 0x0 0. "LOCK_STS,Captures the value of DLL's lock status information 0x1: DLL is locked and ready 0x0: DLL has not locked" "DLL has not locked,DLL is locked and ready" rgroup.byte 0x30++0x0 line.byte 0x0 "DLLDBG_MLKDC,SD/eMMC PHY DLL's Master lock code status" hexmask.byte 0x0 0.--6. 1. "MSTLKDC,Captures the value Delay Code to which DLL's Master has locked" rgroup.byte 0x32++0x0 line.byte 0x0 "DLLDBG_SLKDC,SD/eMMC PHY DLL's Slave lock code status" hexmask.byte 0x0 0.--6. 1. "SLVLKDC,Captures the value Delay Code to which DLL's Slave has locked" tree.end tree.end repeat.end endif tree.end tree "OSC (Crystal-less Oscillator)" sif (CORENAME()=="CORTEXR5F") tree "OSC_SAFETY" base ad:0xF0240000 group.long 0x0++0xB line.long 0x0 "OSC_CAL,OSC CALIBRATION SETTING" rbitfld.long 0x0 31. "OSC_READY,oscillator and counter both ready" "0,1" bitfld.long 0x0 14. "OSC_SRC,Oscillator setting source select 0: setting from register 1: setting from fuse" "setting from register,setting from fuse" newline hexmask.long.word 0x0 2.--13. 1. "OSC_FCAL,Trim to set nominal oscillator frequency to adjust for process variations. Provides between a 0.05% (low-end) to 0.02% (high-end) step. Ensuresthat frequency will remain within +/- 2% of target over PVT after calibration(MODE==1)Trim can be.." bitfld.long 0x0 1. "OSC_MODE,High Frequency/Low Frequency Mode Select 0: Low Power Mode Low Frequency Operation. FOSC=0.9MHz to 2.0MHz depending on process corner 1: Normal Operating Mode. FOSC=25MHz after calibration" "Low Power Mode,Normal Operating Mode" bitfld.long 0x0 0. "OSC_EN,Oscillator global analog enable signal 0: Oscillator is powered down 1: Oscillator is enabled" "Oscillator is powered down,Oscillator is enabled" line.long 0x4 "CLK_SEL,OUTPUT CLK SOUCE SELECT REGISTER" bitfld.long 0x4 0. "CLK_SEL,output clock source select 0: from oscillator 1: from XTAL" "from oscillator,from XTAL" line.long 0x8 "CLK_CHK,OSC AND XTAL CHECK REGISTER" rbitfld.long 0x8 9. "OSC_FORCE_STA,osc force status." "0,1" rbitfld.long 0x8 8. "XTAL_FORCE_STA,xtal force status." "0,1" newline rbitfld.long 0x8 7. "OSC_NG_INT_STA,osc ng interrupt status" "0,1" bitfld.long 0x8 6. "OSC_NG_INT_CLR,osc ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 5. "OSC_NG_INT_EN,osc ng interrupt enable 0: disable 1: enable" "disable,enable" newline rbitfld.long 0x8 4. "XTAL_NG_INT_STA,xtal ng interrupt status" "0,1" bitfld.long 0x8 3. "XTAL_NG_INT_CLR,xtal ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 2. "XTAL_NG_INT_EN,xtal ng interrupt enable 0: disable 1: enable" "disable,enable" newline bitfld.long 0x8 1. "OSC_NG_CHK_EN,osc ng check enable 0: disable 1: enable" "disable,enable" bitfld.long 0x8 0. "XTAL_NG_CHK_EN,xtal ng check enable 0: disable 1: enable" "disable,enable" tree.end tree "OSC_RTC" base ad:0xF1820000 group.long 0x0++0xB line.long 0x0 "OSC_CAL,OSC CALIBRATION SETTING" rbitfld.long 0x0 31. "OSC_READY,oscillator and counter both ready" "0,1" bitfld.long 0x0 14. "OSC_SRC,Oscillator setting source select 0: setting from register 1: setting from fuse" "setting from register,setting from fuse" newline hexmask.long.word 0x0 2.--13. 1. "OSC_FCAL,Trim to set nominal oscillator frequency to adjust for process variations. Provides between a 0.05% (low-end) to 0.02% (high-end) step. Ensuresthat frequency will remain within +/- 2% of target over PVT after calibration(MODE==1)Trim can be.." bitfld.long 0x0 1. "OSC_MODE,High Frequency/Low Frequency Mode Select 0: Low Power Mode Low Frequency Operation. FOSC=0.9MHz to 2.0MHz depending on process corner 1: Normal Operating Mode. FOSC=25MHz after calibration" "Low Power Mode,Normal Operating Mode" bitfld.long 0x0 0. "OSC_EN,Oscillator global analog enable signal 0: Oscillator is powered down 1: Oscillator is enabled" "Oscillator is powered down,Oscillator is enabled" line.long 0x4 "CLK_SEL,OUTPUT CLK SOUCE SELECT REGISTER" bitfld.long 0x4 0. "CLK_SEL,output clock source select 0: from oscillator 1: from XTAL" "from oscillator,from XTAL" line.long 0x8 "CLK_CHK,OSC AND XTAL CHECK REGISTER" rbitfld.long 0x8 9. "OSC_FORCE_STA,osc force status." "0,1" rbitfld.long 0x8 8. "XTAL_FORCE_STA,xtal force status." "0,1" newline rbitfld.long 0x8 7. "OSC_NG_INT_STA,osc ng interrupt status" "0,1" bitfld.long 0x8 6. "OSC_NG_INT_CLR,osc ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 5. "OSC_NG_INT_EN,osc ng interrupt enable 0: disable 1: enable" "disable,enable" newline rbitfld.long 0x8 4. "XTAL_NG_INT_STA,xtal ng interrupt status" "0,1" bitfld.long 0x8 3. "XTAL_NG_INT_CLR,xtal ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 2. "XTAL_NG_INT_EN,xtal ng interrupt enable 0: disable 1: enable" "disable,enable" newline bitfld.long 0x8 1. "OSC_NG_CHK_EN,osc ng check enable 0: disable 1: enable" "disable,enable" bitfld.long 0x8 0. "XTAL_NG_CHK_EN,xtal ng check enable 0: disable 1: enable" "disable,enable" tree.end elif (CORENAME()=="CORTEXA55") tree "OSC_SAFETY" base ad:0x30240000 group.long 0x0++0xB line.long 0x0 "OSC_CAL,OSC CALIBRATION SETTING" rbitfld.long 0x0 31. "OSC_READY,oscillator and counter both ready" "0,1" bitfld.long 0x0 14. "OSC_SRC,Oscillator setting source select 0: setting from register 1: setting from fuse" "setting from register,setting from fuse" newline hexmask.long.word 0x0 2.--13. 1. "OSC_FCAL,Trim to set nominal oscillator frequency to adjust for process variations. Provides between a 0.05% (low-end) to 0.02% (high-end) step. Ensuresthat frequency will remain within +/- 2% of target over PVT after calibration(MODE==1)Trim can be.." bitfld.long 0x0 1. "OSC_MODE,High Frequency/Low Frequency Mode Select 0: Low Power Mode Low Frequency Operation. FOSC=0.9MHz to 2.0MHz depending on process corner 1: Normal Operating Mode. FOSC=25MHz after calibration" "Low Power Mode,Normal Operating Mode" bitfld.long 0x0 0. "OSC_EN,Oscillator global analog enable signal 0: Oscillator is powered down 1: Oscillator is enabled" "Oscillator is powered down,Oscillator is enabled" line.long 0x4 "CLK_SEL,OUTPUT CLK SOUCE SELECT REGISTER" bitfld.long 0x4 0. "CLK_SEL,output clock source select 0: from oscillator 1: from XTAL" "from oscillator,from XTAL" line.long 0x8 "CLK_CHK,OSC AND XTAL CHECK REGISTER" rbitfld.long 0x8 9. "OSC_FORCE_STA,osc force status." "0,1" rbitfld.long 0x8 8. "XTAL_FORCE_STA,xtal force status." "0,1" newline rbitfld.long 0x8 7. "OSC_NG_INT_STA,osc ng interrupt status" "0,1" bitfld.long 0x8 6. "OSC_NG_INT_CLR,osc ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 5. "OSC_NG_INT_EN,osc ng interrupt enable 0: disable 1: enable" "disable,enable" newline rbitfld.long 0x8 4. "XTAL_NG_INT_STA,xtal ng interrupt status" "0,1" bitfld.long 0x8 3. "XTAL_NG_INT_CLR,xtal ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 2. "XTAL_NG_INT_EN,xtal ng interrupt enable 0: disable 1: enable" "disable,enable" newline bitfld.long 0x8 1. "OSC_NG_CHK_EN,osc ng check enable 0: disable 1: enable" "disable,enable" bitfld.long 0x8 0. "XTAL_NG_CHK_EN,xtal ng check enable 0: disable 1: enable" "disable,enable" tree.end tree "OSC_RTC" base ad:0x31820000 group.long 0x0++0xB line.long 0x0 "OSC_CAL,OSC CALIBRATION SETTING" rbitfld.long 0x0 31. "OSC_READY,oscillator and counter both ready" "0,1" bitfld.long 0x0 14. "OSC_SRC,Oscillator setting source select 0: setting from register 1: setting from fuse" "setting from register,setting from fuse" newline hexmask.long.word 0x0 2.--13. 1. "OSC_FCAL,Trim to set nominal oscillator frequency to adjust for process variations. Provides between a 0.05% (low-end) to 0.02% (high-end) step. Ensuresthat frequency will remain within +/- 2% of target over PVT after calibration(MODE==1)Trim can be.." bitfld.long 0x0 1. "OSC_MODE,High Frequency/Low Frequency Mode Select 0: Low Power Mode Low Frequency Operation. FOSC=0.9MHz to 2.0MHz depending on process corner 1: Normal Operating Mode. FOSC=25MHz after calibration" "Low Power Mode,Normal Operating Mode" bitfld.long 0x0 0. "OSC_EN,Oscillator global analog enable signal 0: Oscillator is powered down 1: Oscillator is enabled" "Oscillator is powered down,Oscillator is enabled" line.long 0x4 "CLK_SEL,OUTPUT CLK SOUCE SELECT REGISTER" bitfld.long 0x4 0. "CLK_SEL,output clock source select 0: from oscillator 1: from XTAL" "from oscillator,from XTAL" line.long 0x8 "CLK_CHK,OSC AND XTAL CHECK REGISTER" rbitfld.long 0x8 9. "OSC_FORCE_STA,osc force status." "0,1" rbitfld.long 0x8 8. "XTAL_FORCE_STA,xtal force status." "0,1" newline rbitfld.long 0x8 7. "OSC_NG_INT_STA,osc ng interrupt status" "0,1" bitfld.long 0x8 6. "OSC_NG_INT_CLR,osc ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 5. "OSC_NG_INT_EN,osc ng interrupt enable 0: disable 1: enable" "disable,enable" newline rbitfld.long 0x8 4. "XTAL_NG_INT_STA,xtal ng interrupt status" "0,1" bitfld.long 0x8 3. "XTAL_NG_INT_CLR,xtal ng interrupt clear write 1 clear will auto clear to 0." "0,1" bitfld.long 0x8 2. "XTAL_NG_INT_EN,xtal ng interrupt enable 0: disable 1: enable" "disable,enable" newline bitfld.long 0x8 1. "OSC_NG_CHK_EN,osc ng check enable 0: disable 1: enable" "disable,enable" bitfld.long 0x8 0. "XTAL_NG_CHK_EN,xtal ng check enable 0: disable 1: enable" "disable,enable" tree.end endif tree.end tree "OSPI (Octal-SPI)" sif (CORENAME()=="CORTEXR5F") tree "OSPI1" base ad:0xF0020000 group.long 0x0++0x2B line.long 0x0 "CONFIG_REG,Octal-SPI Configuration Register" rbitfld.long 0x0 31. "IDLE_FLD,Serial interface and low level SPI pipeline is IDLE: This is a STATUS read-only bit. Note this is a retimed signal so there will be some inherent delay on the generation of this status signal." "0,1" newline bitfld.long 0x0 30. "DUAL_BYTE_OPCODE_EN_FLD,Dual-byte Opcode Mode enable bit This bit is to be set in case the target Flash Device supports dual byte opcode (i.e. Macronix MX25). It is applicable for Octal I/O Mode or Protocol only so should be set back to low if the device.." "0,1" newline bitfld.long 0x0 29. "CRC_ENABLE_FLD,CRC enable bit This bit is to be set in case the target Flash Device supports CRC (Macronix MX25). It is applicable for Octal DDR Protocol only so should be set back to low if the device is configured to work in another SPI Mode." "0,1" newline bitfld.long 0x0 28. "FLIPPER_ENABLE_FLD,Flip enable bit This bit is to be set in case the target Flash Device supports data slices swapped in order of D1 D0 D3 D2 etc. It is applicable for DTR-OPI Mode of Macronix MX25 devices." "0,1" newline rbitfld.long 0x0 26.--27. "CONFIG_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x0 25. "PIPELINE_PHY_FLD,Pipeline PHY Mode enable: This bit is relevant only for configuration with PHY Module. It should be asserted to '1' between consecutive PHY pipeline reads transfers and de-asserted to '0' otherwise." "0,1" newline bitfld.long 0x0 24. "ENABLE_DTR_PROTOCOL_FLD,Enable DTR Protocol: This bit should be set if device is configured to work in DTR protocol." "0,1" newline bitfld.long 0x0 23. "ENABLE_AHB_DECODER_FLD,Enable AHB Decoder: Value=0 : Active slave is selected based on Peripheral Chip Select Lines (bits [13:10]). Value=1 Active slave is selected based on actual AHB address (the partition for each device is calculated with respect to.." "Active slave is selected based on Peripheral..,?" newline hexmask.long.byte 0x0 19.--22. 1. "MSTR_BAUD_DIV_FLD,Master Mode Baud Rate Divisor: SPI baud rate = (master reference clock) baud_rate_divisor" newline bitfld.long 0x0 18. "ENTER_XIP_MODE_IMM_FLD,Enter XIP Mode immediately: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : Operate the device in XIP mode immediately Use this register when the.." "If XIP is enabled,Operate the device in XIP mode immediately Use.." newline bitfld.long 0x0 17. "ENTER_XIP_MODE_FLD,Enter XIP Mode on next READ: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : If XIP is disabled then setting to ?1? will inform the controller that the.." "If XIP is enabled,If XIP is disabled" newline bitfld.long 0x0 16. "ENB_AHB_ADDR_REMAP_FLD,Enable AHB Address Re-mapping: (Direct Access Mode Only) When set to 1 the incoming AHB address will be adapted and sent to the FLASH device as (address + N) where N is the value stored in the remap address register." "0,1" newline bitfld.long 0x0 15. "ENB_DMA_IF_FLD,Enable DMA Peripheral Interface: Set to 1 to enable the DMA handshaking logic. When enabled the controller will trigger DMA transfer requests via the DMA peripheral interface. Set to 0 to disable" "0,1" newline bitfld.long 0x0 14. "WR_PROT_FLASH_FLD,Write Protect Flash Pin: Set to drive the Write Protect pin of the FLASH device. This is resynchronized to the generated memory clock as necessary." "0,1" newline hexmask.long.byte 0x0 10.--13. 1. "PERIPH_CS_LINES_FLD,Peripheral Chip Select Lines: Peripheral chip select lines If pdec = 0 ss[3:0] are output thus: ss[3:0] n_ss_out[3:0] xxx0 1110 xx01 1101 x011 1011 0111 0111 1111 1111 (no peripheral selected) else ss[3:0] directly drives n_ss_out[3:0]" newline bitfld.long 0x0 9. "PERIPH_SEL_DEC_FLD,Peripheral select decode: 0 : only 1 of 4 selects n_ss_out[3:0] is active 1 : allow external 4-to-16 decode (n_ss_out = ss)" "only 1 of 4 selects n_ss_out[3:0] is active,allow external 4-to-16 decode" newline bitfld.long 0x0 8. "ENB_LEGACY_IP_MODE_FLD,Legacy IP Mode Enable: 0 : Use Direct Access Controller/Indirect Access Controller 1 : legacy Mode is enabled. In this mode any write to the controller via the AHB interface is serialized and sent to the FLASH device. Any valid.." "Use Direct Access Controller/Indirect Access..,legacy Mode is enabled" newline bitfld.long 0x0 7. "ENB_DIR_ACC_CTLR_FLD,Enable Direct Access Controller: 0 : disable the Direct Access Controller once current transfer of the data word (FF_W) is complete. 1 : enable the Direct Access Controller When the Direct Access Controller and Indirect Access.." "disable the Direct Access Controller once..,enable the Direct Access Controller When the.." newline bitfld.long 0x0 6. "RESET_CFG_FLD,RESET pin configuration: 0 = RESET feature on DQ3 pin of the device 1 = RESET feature on dedicated pin of the device (controlling of 5th bit influences on reset_out output)" "RESET feature on DQ3 pin of the device,RESET feature on dedicated pin of the device" newline bitfld.long 0x0 5. "RESET_PIN_FLD,Set to drive the RESET pin of the FLASH device and reset for de-activation of the RESET pin feature" "0,1" newline bitfld.long 0x0 4. "HOLD_PIN_FLD,Set to drive the HOLD pin of the FLASH device and reset for de-activation of the HOLD pin feature" "0,1" newline bitfld.long 0x0 3. "PHY_MODE_ENABLE_FLD,PHY mode enable: When enabled the controller is informed that PHY Module is to be used for handling SPI transfers. This bit is relevant only for configuration with PHY Module. Note: - When changing this bit field software should.." "0,1" newline bitfld.long 0x0 2. "SEL_CLK_PHASE_FLD,Select Clock Phase: Selects whether the clock is in an active or inactive phase outside the SPI word. 0 : the SPI clock is active outside the word 1 : the SPI clock is inactive outside the word" "the SPI clock is active outside the word,the SPI clock is inactive outside the word" newline bitfld.long 0x0 1. "SEL_CLK_POL_FLD,Clock polarity outside SPI word: 0 : the SPI clock is quiescent low 1 : the SPI clock is quiescent high" "the SPI clock is quiescent low,the SPI clock is quiescent high" newline bitfld.long 0x0 0. "ENB_SPI_FLD,Octal-SPI Enable: 0 : disable the Octal-SPI once current transfer of the data word (FF_W) is complete. 1 : enable the Octal-SPI when spi_enable = 0 all output enables are inactive and all pins are set to input mode." "disable the Octal-SPI,enable the Octal-SPI" line.long 0x4 "DEV_INSTR_RD_CONFIG_REG,Device Read Instruction Configuration Register" rbitfld.long 0x4 29.--31. "RD_INSTR_RESV5_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 24.--28. 1. "DUMMY_RD_CLK_CYCLES_FLD,Dummy Read Clock Cycles: Number of dummy clock cycles required by device for read instruction." newline rbitfld.long 0x4 21.--23. "RD_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "MODE_BIT_ENABLE_FLD,Mode Bit Enable: Set this field to 1 to ensure that the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline rbitfld.long 0x4 18.--19. "RD_INSTR_RESV3_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Quad Input/Output instructions" newline rbitfld.long 0x4 14.--15. "RD_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline bitfld.long 0x4 11. "PRED_DIS_FLD,Predicted Read Disable Bit: Disable generation of predicted read when doing read accesses using Direct Mode" "0,1" newline bitfld.long 0x4 10. "DDR_EN_FLD,DDR Enable: This is to inform that opcode from rd_opcode_non_xip_fld is compliant with one of the DDR READ Commands" "0,1" newline bitfld.long 0x4 8.--9. "INSTR_TYPE_FLD,Instruction Type: 0 : Use Standard SPI mode (instruction always shifted into the device on DQ0 only) 1 : Use DIO-SPI mode (Instructions Address and Data always sent on DQ0 and DQ1) 2 : Use QIO-SPI mode (Instructions Address and Data.." "Use Standard SPI mode,Use DIO-SPI mode,Use QIO-SPI mode,Use Octal-IO-SPI mode" newline hexmask.long.byte 0x4 0.--7. 1. "RD_OPCODE_NON_XIP_FLD,Read Opcode in non-XIP mode: Read Opcode to use when not in XIP mode" line.long 0x8 "DEV_INSTR_WR_CONFIG_REG,Device Write Instruction Configuration Register" rbitfld.long 0x8 29.--31. "WR_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 24.--28. 1. "DUMMY_WR_CLK_CYCLES_FLD,Dummy Write Clock Cycles: Number of dummy clock cycles required by device for write instruction." newline hexmask.long.byte 0x8 18.--23. 1. "WR_INSTR_RESV3_FLD,Reserved" newline bitfld.long 0x8 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Octal Input/Output instructions" newline rbitfld.long 0x8 14.--15. "WR_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x8 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline rbitfld.long 0x8 9.--11. "WR_INSTR_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 8. "WEL_DIS_FLD,WEL Disable: This is to turn off automatic issuing of WEL Command before write operation for DAC or INDAC" "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "WR_OPCODE_FLD,Write Opcode" line.long 0xC "DEV_DELAY_REG,Octal-SPI Device Delay Register: This register is used to introduce relative delays into the generation of the master output signals. All timings are defined in cycles of the SPI REFERENCE CLOCK/ext_clk. defined in this table as SPI master.." hexmask.long.byte 0xC 24.--31. 1. "D_NSS_FLD,Clock Delay for Chip Select Deassert: Delay in master reference clocks for the length that the master mode chip select outputs are de-asserted between transactions. The minimum delay is always SCLK period to ensure the chip select is never.." newline hexmask.long.byte 0xC 16.--23. 1. "D_BTWN_FLD,Clock Delay for Chip Select Deactivation: Delay in master reference clocks between one chip select being de-activated and the activation of another. This is used to ensure a quiet period between the selection of two different slaves and.." newline hexmask.long.byte 0xC 8.--15. 1. "D_AFTER_FLD,Clock Delay for Last Transaction Bit: Delay in master reference clocks between last bit of current transaction and deasserting the device chip select (n_ss_out). By default the chip select will be deasserted on the cycle following the.." newline hexmask.long.byte 0xC 0.--7. 1. "D_INIT_FLD,Clock Delay with n_ss_out: Delay in master reference clocks between setting n_ss_out low and first bit transfer." line.long 0x10 "RD_DATA_CAPTURE_REG,Read Data Capture Register" hexmask.long.word 0x10 20.--31. 1. "RD_DATA_RESV3_FLD,Reserved" newline hexmask.long.byte 0x10 16.--19. 1. "DDR_READ_DELAY_FLD,DDR read delay: Delay the transmitted data by the programmed number of ref_clk cycles.This field is only relevant when DDR Read Command is executed. Otherwise can be ignored." newline hexmask.long.byte 0x10 9.--15. 1. "RD_DATA_RESV2_FLD,Reserved" newline bitfld.long 0x10 8. "DQS_ENABLE_FLD,DQS enable bit: If enabled signal from DQS input is driven into RX DLL and is used for data capturing in PHY Mode rather than internally generated gated ref_clk.." "0,1" newline rbitfld.long 0x10 6.--7. "RD_DATA_RESV1_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x10 5. "SAMPLE_EDGE_SEL_FLD,Sample edge selection: Choose edge on which data outputs from flash memory will be sampled" "0,1" newline hexmask.long.byte 0x10 1.--4. 1. "DELAY_FLD,Read Delay: Delay the read data capturing logic by the programmed number of ref_clk cycles" newline bitfld.long 0x10 0. "BYPASS_FLD,Bypass the adapted loopback clock circuit" "0,1" line.long 0x14 "DEV_SIZE_CONFIG_REG,Device Size Configuration Register" rbitfld.long 0x14 29.--31. "DEV_SIZE_RESV_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 27.--28. "MEM_SIZE_ON_CS3_FLD,Size of Flash Device connected to CS[3] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 25.--26. "MEM_SIZE_ON_CS2_FLD,Size of Flash Device connected to CS[2] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 23.--24. "MEM_SIZE_ON_CS1_FLD,Size of Flash Device connected to CS[1] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 21.--22. "MEM_SIZE_ON_CS0_FLD,Size of Flash Device connected to CS[0] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline hexmask.long.byte 0x14 16.--20. 1. "BYTES_PER_SUBSECTOR_FLD,Number of bytes per Block. This is required by the controller for performing the write protection logic. The number of bytes per block must be a power of 2 number." newline hexmask.long.word 0x14 4.--15. 1. "BYTES_PER_DEVICE_PAGE_FLD,Number of bytes per device page. This is required by the controller for performing FLASH writes up to and across page boundaries." newline hexmask.long.byte 0x14 0.--3. 1. "NUM_ADDR_BYTES_FLD,Number of address bytes. A value of 0 indicates 1 byte." line.long 0x18 "SRAM_PARTITION_CFG_REG,SRAM Partition Configuration Register" hexmask.long.tbyte 0x18 8.--31. 1. "SRAM_PARTITION_RESV_FLD,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "ADDR_FLD,Indirect Read Partition Size: Defines the size of the indirect read partition in the SRAM in units of SRAM locations. By default half of the SRAM is reserved for indirect read operation and half for indirect write. The size of this register.." line.long 0x1C "IND_AHB_ADDR_TRIGGER_REG,Indirect AHB Address Trigger Register" hexmask.long 0x1C 0.--31. 1. "ADDR_FLD,This is the base address that will be used by the AHB controller. When the incoming AHB read access address matches a range of addresses from this trigger address to the trigger address + 15 then the AHB request will be completed by fetching.." line.long 0x20 "DMA_PERIPH_CONFIG_REG,DMA Peripheral Configuration Register" hexmask.long.tbyte 0x20 12.--31. 1. "DMA_PERIPH_RESV2_FLD,Reserved" newline hexmask.long.byte 0x20 8.--11. 1. "NUM_BURST_REQ_BYTES_FLD,Number of Burst Bytes: Number of bytes in a burst type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The actual.." newline hexmask.long.byte 0x20 4.--7. 1. "DMA_PERIPH_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "NUM_SINGLE_REQ_BYTES_FLD,Number of Single Bytes: Number of bytes in a single type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The.." line.long 0x24 "REMAP_ADDR_REG,Remap Address Register" hexmask.long 0x24 0.--31. 1. "VALUE_FLD,This register is used to remap an incoming AHB address to a different address used by the FLASH device." line.long 0x28 "MODE_BIT_CONFIG_REG,Mode Bit Configuration Register" hexmask.long.byte 0x28 24.--31. 1. "RX_CRC_DATA_LOW_FLD,RX CRC data (lower) The first CRC byte returned after RX data chunk." newline hexmask.long.byte 0x28 16.--23. 1. "RX_CRC_DATA_UP_FLD,RX CRC data (upper) The second CRC byte returned after RX data chunk." newline bitfld.long 0x28 15. "CRC_OUT_ENABLE_FLD,CRC# output enable bit When enabled the controller expects the Flash Device to toggle CRC data on both SPI clock edges in CRC->CRC# sequence and calculates CRC compliance accordingly." "0,1" newline hexmask.long.byte 0x28 11.--14. 1. "MODE_BIT_RESV1_FLD,Reserved" newline bitfld.long 0x28 8.--10. "CHUNK_SIZE_FLD,It defines size of chunk after which CRC data is expected to show up on the SPI interface for write and read data transfers." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x28 0.--7. 1. "MODE_FLD,These are the 8 mode bits that are sent to the device following the address bytes if mode bit transmission has been enabled." rgroup.long 0x2C++0x3 line.long 0x0 "SRAM_FILL_REG,SRAM Fill Register" hexmask.long.word 0x0 16.--31. 1. "SRAM_FILL_INDAC_WRITE_FLD,SRAM Fill Level (Indirect Write Partition): Identifies the current fill level of the SRAM Indirect Write partition" newline hexmask.long.word 0x0 0.--15. 1. "SRAM_FILL_INDAC_READ_FLD,SRAM Fill Level (Indirect Read Partition): Identifies the current fill level of the SRAM Indirect Read partition" group.long 0x30++0x17 line.long 0x0 "TX_THRESH_REG,TX Threshold Register" hexmask.long 0x0 5.--31. 1. "TX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x0 0.--4. 1. "LEVEL_FLD,Defines the level at which the small TX FIFO not full interrupt is generated" line.long 0x4 "RX_THRESH_REG,RX Threshold Register" hexmask.long 0x4 5.--31. 1. "RX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x4 0.--4. 1. "LEVEL_FLD,Defines the level at which the small RX FIFO not empty interrupt is generated" line.long 0x8 "WRITE_COMPLETION_CTRL_REG,Write Completion Control Register: This register defines how the controller will poll the device following a write transfer" hexmask.long.byte 0x8 24.--31. 1. "POLL_REP_DELAY_FLD,Defines additional delay for maintain Chip Select de-asserted during auto-polling phase" newline hexmask.long.byte 0x8 16.--23. 1. "POLL_COUNT_FLD,Defines the number of times the controller should expect to see a true result from the polling in successive reads of the device register." newline bitfld.long 0x8 15. "ENABLE_POLLING_EXP_FLD,Set to '1' for enabling auto-polling expiration." "0,1" newline bitfld.long 0x8 14. "DISABLE_POLLING_FLD,This switches off the automatic polling function" "0,1" newline bitfld.long 0x8 13. "POLLING_POLARITY_FLD,Defines the polling polarity. If '1' then the write transfer to the device will be complete if the polled bit is equal to '1'. If '0' then the write transfer to the device will be complete if the polled bit is equal to '0'." "0,1" newline rbitfld.long 0x8 12. "WR_COMP_CTRL_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x8 11. "POLLING_ADDR_EN_FLD,Enables address phase of auto-polling (Read Status) command." "0,1" newline bitfld.long 0x8 8.--10. "POLLING_BIT_INDEX_FLD,Defines the bit index that should be polled. A value of 010 means that bit 2 of the returned data will be polled for.A value of 111 means that bit 7 of the returned data will be polled for." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--7. 1. "OPCODE_FLD,Defines the opcode that should be issued by the controller when it is automatically polling for device program completion. This command is issued followed all device write operations. By default this will poll the standard device STATUS.." line.long 0xC "NO_OF_POLLS_BEF_EXP_REG,Polling Expiration Register" hexmask.long 0xC 0.--31. 1. "NO_OF_POLLS_BEF_EXP_FLD,Number of polls cycles before expiration" line.long 0x10 "IRQ_STATUS_REG,Interrupt Status Register: The status fields in this register are set when the described event occurs and the interrupt is enabled in the mask register. When any of these bit fields are set. the interrupt output is asserted high. The.." hexmask.long.word 0x10 21.--31. 1. "IRQ_STAT_RESV_FLD,Reserved" newline bitfld.long 0x10 20. "HYPER_INT_FLD,HyperFlash interrupt This interrupt informs the system that Flash Device reported interrupt on its INT# output" "0,1" newline bitfld.long 0x10 19. "ECC_FAIL_FLD,ECC failure This interrupt informs the system that Flash Device reported ECC error." "0,1" newline bitfld.long 0x10 18. "TX_CRC_CHUNK_BRK_FLD,TX CRC chunk was broken This interrupt informs the system that program page SPI transfer was discontinued somewhere inside the chunk." "0,1" newline bitfld.long 0x10 17. "RX_CRC_DATA_VAL_FLD,RX CRC data valid New RX CRC data was captured from Flash Device" "0,1" newline bitfld.long 0x10 16. "RX_CRC_DATA_ERR_FLD,RX CRC data error CRC data from Flash Device does not correspond to the one dynamically calculated by the controller." "0,1" newline rbitfld.long 0x10 15. "IRQ_STAT_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x10 14. "STIG_REQ_INT_FLD,The controller is ready for getting another STIG request." "0,1" newline bitfld.long 0x10 13. "POLL_EXP_INT_FLD,The maximum number of programmed polls cycles is expired" "0,1" newline bitfld.long 0x10 12. "INDRD_SRAM_FULL_FLD,Indirect Read Partition overflow: Indirect Read Partition of SRAM is full and unable to immediately complete indirect operation" "0,1" newline bitfld.long 0x10 11. "RX_FIFO_FULL_FLD,Small RX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 10. "RX_FIFO_NOT_EMPTY_FLD,Small RX FIFO not empty: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has less than RX THRESHOLD entries 1 : FIFO has >= THRESHOLD entries" "FIFO has less than RX THRESHOLD entries,FIFO has >= THRESHOLD entries" newline bitfld.long 0x10 9. "TX_FIFO_FULL_FLD,Small TX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 8. "TX_FIFO_NOT_FULL_FLD,Small TX FIFO not full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has >= THRESHOLD entries 1 : FIFO has less than THRESHOLD entries" "FIFO has >= THRESHOLD entries,FIFO has less than THRESHOLD entries" newline bitfld.long 0x10 7. "RECV_OVERFLOW_FLD,Receive Overflow: This should only occur in Legacy SPI mode. Set if an attempt is made to push the RX FIFO when it is full. This bit is reset only by a system reset and cleared only when this register is read. If a new push to the RX.." "no overflow has been detected,an overflow has occurred" newline bitfld.long 0x10 6. "INDIRECT_XFER_LEVEL_BREACH_FLD,Indirect Transfer Watermark Level Breached" "0,1" newline bitfld.long 0x10 5. "ILLEGAL_ACCESS_DET_FLD,Illegal AHB access has been detected. AHB wrapping bursts and the use of SPLIT/RETRY accesses will cause this error interrupt to trigger." "0,1" newline bitfld.long 0x10 4. "PROT_WR_ATTEMPT_FLD,Write to protected area was attempted and rejected." "0,1" newline bitfld.long 0x10 3. "INDIRECT_TRANSFER_REJECT_FLD,Indirect operation was requested but could not be accepted. Two indirect operations already in storage." "0,1" newline bitfld.long 0x10 2. "INDIRECT_OP_DONE_FLD,Indirect Operation Complete: Controller has completed last triggered indirect operation" "0,1" newline bitfld.long 0x10 1. "UNDERFLOW_DET_FLD,Underflow Detected: 0 : no underflow has been detected 1 : underflow is detected and an attempt to transfer data is made when the small TX FIFO is empty. This may occur when AHB write data is being supplied too slowly to keep up with.." "no underflow has been detected,underflow is detected and an attempt to transfer.." newline bitfld.long 0x10 0. "MODE_M_FAIL_FLD,Mode M Failure: Mode M failure indicates the voltage on pin n_ss_in is inconsistent with the SPI mode. Set =1 if n_ss_in is low in master mode (multi-master contention). These conditions will clear the spi_enable bit and disable the SPI." "no mode fault has been detected,a mode fault has occurred" line.long 0x14 "IRQ_MASK_REG,Interrupt Mask: 0 : the interrupt for the corresponding interrupt status register bit is disabled. 1 : the interrupt for the corresponding interrupt status register bit is enabled." hexmask.long.word 0x14 21.--31. 1. "IRQ_MASK_RESV_FLD,Reserved" newline bitfld.long 0x14 20. "HYPER_INT_MASK_FLD,HyperFlash interrupt Mask" "0,1" newline bitfld.long 0x14 19. "ECC_FAIL_MASK_FLD,ECC failure Mask" "0,1" newline bitfld.long 0x14 18. "TX_CRC_CHUNK_BRK_MASK_FLD,TX CRC chunk was broken Mask" "0,1" newline bitfld.long 0x14 17. "RX_CRC_DATA_VAL_MASK_FLD,RX CRC data valid Mask" "0,1" newline bitfld.long 0x14 16. "RX_CRC_DATA_ERR_MASK_FLD,RX CRC data error Mask" "0,1" newline rbitfld.long 0x14 15. "IRQ_MASK_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x14 14. "STIG_REQ_MASK_FLD,STIG request completion Mask" "0,1" newline bitfld.long 0x14 13. "POLL_EXP_INT_MASK_FLD,Polling expiration detected Mask" "0,1" newline bitfld.long 0x14 12. "INDRD_SRAM_FULL_MASK_FLD,Indirect Read Partition overflow mask" "0,1" newline bitfld.long 0x14 11. "RX_FIFO_FULL_MASK_FLD,Small RX FIFO full Mask" "0,1" newline bitfld.long 0x14 10. "RX_FIFO_NOT_EMPTY_MASK_FLD,Small RX FIFO not empty Mask" "0,1" newline bitfld.long 0x14 9. "TX_FIFO_FULL_MASK_FLD,Small TX FIFO full Mask" "0,1" newline bitfld.long 0x14 8. "TX_FIFO_NOT_FULL_MASK_FLD,Small TX FIFO not full Mask" "0,1" newline bitfld.long 0x14 7. "RECV_OVERFLOW_MASK_FLD,Receive Overflow Mask" "0,1" newline bitfld.long 0x14 6. "INDIRECT_XFER_LEVEL_BREACH_MASK_FLD,Transfer Watermark Breach Mask" "0,1" newline bitfld.long 0x14 5. "ILLEGAL_ACCESS_DET_MASK_FLD,Illegal Access Detected Mask" "0,1" newline bitfld.long 0x14 4. "PROT_WR_ATTEMPT_MASK_FLD,Protected Area Write Attempt Mask" "0,1" newline bitfld.long 0x14 3. "INDIRECT_TRANSFER_REJECT_MASK_FLD,Indirect Read Reject Mask" "0,1" newline bitfld.long 0x14 2. "INDIRECT_OP_DONE_MASK_FLD,Indirect Complete Mask" "0,1" newline bitfld.long 0x14 1. "UNDERFLOW_DET_MASK_FLD,Underflow Detected Mask" "0,1" newline bitfld.long 0x14 0. "MODE_M_FAIL_MASK_FLD,Mode M Failure Mask" "0,1" group.long 0x50++0xB line.long 0x0 "LOWER_WR_PROT_REG,Lower Write Protection Register" hexmask.long 0x0 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the lower block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x4 "UPPER_WR_PROT_REG,Upper Write Protection Register" hexmask.long 0x4 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the upper block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x8 "WR_PROT_CTRL_REG,Write Protection Control Register" hexmask.long 0x8 2.--31. 1. "WR_PROT_CTRL_RESV_FLD,Reserved" newline bitfld.long 0x8 1. "ENB_FLD,Write Protection Enable Bit: When set to 1 any AHB write access with an address within the protection region defined in the lower and upper write protection registers is rejected. An AHB error response is generated and an interrupt source.." "0,1" newline bitfld.long 0x8 0. "INV_FLD,Write Protection Inversion Bit: When set to 1 the protection region defined in the lower and upper write protection registers is inverted meaning it is the region that the system is permitted to write to. When set to 0 the protection region.." "0,1" group.long 0x60++0x23 line.long 0x0 "INDIRECT_READ_XFER_CTRL_REG,Indirect Read Transfer Control Register" hexmask.long.tbyte 0x0 8.--31. 1. "INDIR_RD_XFER_RESV_FLD,Reserved" newline rbitfld.long 0x0 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x0 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x0 4. "RD_QUEUED_FLD,Two indirect read operations have been queued" "0,1" newline bitfld.long 0x0 3. "SRAM_FULL_FLD,SRAM Full: SRAM full and unable to immediately complete an indirect operation. Write a 1 to this field to clear it.\'; indirect operation (status)" "0,1" newline rbitfld.long 0x0 2. "RD_STATUS_FLD,Indirect Read Status: Indirect read operation in progress (status)" "0,1" newline bitfld.long 0x0 1. "CANCEL_FLD,Cancel Indirect Read: Writing a 1 to this bit will cancel all ongoing indirect read operations." "0,1" newline bitfld.long 0x0 0. "START_FLD,Start Indirect Read: Writing a 1 to this bit will trigger an indirect read operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect read operation." "0,1" line.long 0x4 "INDIRECT_READ_XFER_WATERMARK_REG,Indirect Read Transfer Watermark Register" hexmask.long 0x4 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the minimum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level passes the watermark an interrupt is also generated. This field can be disabled by writing a value of all.." line.long 0x8 "INDIRECT_READ_XFER_START_REG,Indirect Read Transfer Start Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,This is the start address from which the indirect access will commence its READ operation." line.long 0xC "INDIRECT_READ_XFER_NUM_BYTES_REG,Indirect Read Transfer Number Bytes Register" hexmask.long 0xC 0.--31. 1. "VALUE_FLD,This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x10 "INDIRECT_WRITE_XFER_CTRL_REG,Indirect Write Transfer Control Register" hexmask.long.tbyte 0x10 8.--31. 1. "INDIR_WR_XFER_RESV2_FLD,Reserved" newline rbitfld.long 0x10 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x10 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x10 4. "WR_QUEUED_FLD,Two indirect write operations have been queued" "0,1" newline rbitfld.long 0x10 3. "INDIR_WR_XFER_RESV1_FLD,Reserved" "0,1" newline rbitfld.long 0x10 2. "WR_STATUS_FLD,Indirect Write Status: Indirect write operation in progress (status)" "0,1" newline bitfld.long 0x10 1. "CANCEL_FLD,Cancel Indirect Write: Writing a 1 to this bit will cancel all ongoing indirect write operations." "0,1" newline bitfld.long 0x10 0. "START_FLD,Start Indirect Write: Writing a 1 to this bit will trigger an indirect write operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect write operation." "0,1" line.long 0x14 "INDIRECT_WRITE_XFER_WATERMARK_REG,Indirect Write Transfer Watermark Register" hexmask.long 0x14 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the maximum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level falls below the watermark an interrupt is also generated. This field can be disabled by writing a value.." line.long 0x18 "INDIRECT_WRITE_XFER_START_REG,Indirect Write Transfer Start Address Register" hexmask.long 0x18 0.--31. 1. "ADDR_FLD,Start of Indirect Access: This is the start address from which the indirect access will commence its READ operation." line.long 0x1C "INDIRECT_WRITE_XFER_NUM_BYTES_REG,Indirect Write Transfer Number Bytes Register" hexmask.long 0x1C 0.--31. 1. "VALUE_FLD,Indirect Number of Bytes: This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x20 "INDIRECT_TRIGGER_ADDR_RANGE_REG,Indirect Trigger Address Range Register" hexmask.long 0x20 4.--31. 1. "IND_RANGE_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "IND_RANGE_WIDTH_FLD,This is the address offset of Indirect Trigger Address Register." group.long 0x8C++0xB line.long 0x0 "FLASH_COMMAND_CTRL_MEM_REG,Flash Command Control Memory Register" rbitfld.long 0x0 29.--31. "FLASH_COMMAND_CTRL_MEM_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 20.--28. 1. "MEM_BANK_ADDR_FLD,The address of the Memory Bank which data will be read from." newline rbitfld.long 0x0 19. "FLASH_COMMAND_CTRL_MEM_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 16.--18. "NB_OF_STIG_READ_BYTES_FLD,It defines the number of read bytes for the extended STIG." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "MEM_BANK_READ_DATA_FLD,Last requested data from the STIG Memory Bank." newline hexmask.long.byte 0x0 2.--7. 1. "FLASH_COMMAND_CTRL_MEM_RESV3_FLD,Reserved" newline rbitfld.long 0x0 1. "MEM_BANK_REQ_IN_PROGRESS_FLD,Memory Bank data request in progress." "0,1" newline bitfld.long 0x0 0. "TRIGGER_MEM_BANK_REQ_FLD,Trigger the Memory Bank data request." "0,1" line.long 0x4 "FLASH_CMD_CTRL_REG,Flash Command Control Register" hexmask.long.byte 0x4 24.--31. 1. "CMD_OPCODE_FLD,Command Opcode: The command opcode field should be setup before triggering the command. For example 0x20 maps to SubSector Erase. Writing to the execute field (bit 0) of this register launches the command. NOTE : Using this approach to.." newline bitfld.long 0x4 23. "ENB_READ_DATA_FLD,Read Data Enable: Set to 1 if the command specified in the command opcode field (bits 31:24) requires read data bytes to be received from the device." "0,1" newline bitfld.long 0x4 20.--22. "NUM_RD_DATA_BYTES_FLD,Number of Read Data Bytes: Up to 8 data bytes may be read using this command. Set to 0 for 1 byte and 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 19. "ENB_COMD_ADDR_FLD,Command Address Enable: Set to 1 if the command specified in bits 31:24 requires an address. This should be setup before triggering the command via writing a 1 to the execute field." "0,1" newline bitfld.long 0x4 18. "ENB_MODE_BIT_FLD,Mode Bit Enable: Set to 1 to ensure the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline bitfld.long 0x4 16.--17. "NUM_ADDR_BYTES_FLD,Number of Address Bytes: Set to the number of address bytes required (the address itself is programmed in the FLASH COMMAND ADDRESS REGISTERS). This should be setup before triggering the command via bit 0 of this register. 2'b00 : 1.." "0,1,2,3" newline bitfld.long 0x4 15. "ENB_WRITE_DATA_FLD,Write Data Enable: Set to 1 if the command specified in the command opcode field requires write data bytes to be sent to the device." "0,1" newline bitfld.long 0x4 12.--14. "NUM_WR_DATA_BYTES_FLD,Number of Write Data Bytes: Up to 8 Data bytes may be written using this command Set to 0 for 1 byte 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 7.--11. 1. "NUM_DUMMY_CYCLES_FLD,Number of Dummy cycles: Set to the number of dummy cycles required. This should be setup before triggering the command via the execute field of this register." newline hexmask.long.byte 0x4 3.--6. 1. "FLASH_CMD_CTRL_RESV1_FLD,Reserved" newline bitfld.long 0x4 2. "STIG_MEM_BANK_EN_FLD,STIG Memory Bank enable bit." "0,1" newline rbitfld.long 0x4 1. "CMD_EXEC_STATUS_FLD,Command execution in progress." "0,1" newline bitfld.long 0x4 0. "CMD_EXEC_FLD,Execute the command." "0,1" line.long 0x8 "FLASH_CMD_ADDR_REG,Flash Command Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,Command Address: This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the address used by the command specified in the opcode field (bits 31:24) of the Flash Command Control.." rgroup.long 0xA0++0x7 line.long 0x0 "FLASH_RD_DATA_LOWER_REG,Flash Command Read Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,This is the data that is returned by the flash device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." line.long 0x4 "FLASH_RD_DATA_UPPER_REG,Flash Command Read Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,This is the data that is returned by the FLASH device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." group.long 0xA8++0x13 line.long 0x0 "FLASH_WR_DATA_LOWER_REG,Flash Command Write Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,Command Write Data Lower Byte: This is the command write data lower byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x4 "FLASH_WR_DATA_UPPER_REG,Flash Command Write Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,Command Write Data Upper Byte: This is the command write data upper byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x8 "POLLING_FLASH_STATUS_REG,Polling Flash Status Register" hexmask.long.word 0x8 21.--31. 1. "DEVICE_STATUS_RSVD_FLD2,Reserved" newline hexmask.long.byte 0x8 16.--20. 1. "DEVICE_STATUS_NB_DUMMY,Number of dummy cycles for auto-polling" newline hexmask.long.byte 0x8 9.--15. 1. "DEVICE_STATUS_RSVD_FLD1,Reserved" newline rbitfld.long 0x8 8. "DEVICE_STATUS_VALID_FLD,Device Status Valid: This should be set when value in bits from 7 to 0 is valid." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "DEVICE_STATUS_FLD,Defines actual Status Register of Device" line.long 0xC "PHY_CONFIGURATION_REG,PHY Configuration Register" bitfld.long 0xC 31. "PHY_CONFIG_RESYNC_FLD,This bit is used for re-synchronisation delay lines to update them with values from TX DLL Delay and RX DLL Delay fields." "0,1" newline bitfld.long 0xC 30. "PHY_CONFIG_RESET_FLD,DLL Reset bit: This bit is used for reset of Delay Lines by software." "0,1" newline bitfld.long 0xC 29. "PHY_CONFIG_RX_DLL_BYPASS_FLD,RX DLL Bypass: This field determines id RX DLL is bypassed." "0,1" newline hexmask.long.byte 0xC 23.--28. 1. "PHY_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0xC 16.--22. 1. "PHY_CONFIG_TX_DLL_DELAY_FLD,TX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and spi_clk." newline hexmask.long.word 0xC 7.--15. 1. "PHY_CONFIG_RESV1_FLD,Reserved" newline hexmask.long.byte 0xC 0.--6. 1. "PHY_CONFIG_RX_DLL_DELAY_FLD,RX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and rx_dll_clk." line.long 0x10 "PHY_MASTER_CONTROL_REG,PHY DLL Master Control Register" hexmask.long.byte 0x10 25.--31. 1. "PHY_MASTER_CONTROL_RESV3_FLD,Reserved" newline bitfld.long 0x10 24. "PHY_MASTER_LOCK_MODE_FLD,Determines if the master delay line locks on a full cycle or half cycle of delay." "0,1" newline bitfld.long 0x10 23. "PHY_MASTER_BYPASS_MODE_FLD,Controls the bypass mode of the master and slave DLLs." "0,1" newline bitfld.long 0x10 20.--22. "PHY_MASTER_PHASE_DETECT_SELECTOR_FLD,Selects the number of delay elements to be inserted between the phase detect flip-flops." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 19. "PHY_MASTER_CONTROL_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x10 16.--18. "PHY_MASTER_NB_INDICATIONS_FLD,Holds the number of consecutive increment or decrement indications." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 7.--15. 1. "PHY_MASTER_CONTROL_RESV1_FLD,Reserved" newline hexmask.long.byte 0x10 0.--6. 1. "PHY_MASTER_INITIAL_DELAY_FLD,This value is the initial delay value for the DLL." rgroup.long 0xBC++0x7 line.long 0x0 "DLL_OBSERVABLE_LOWER_REG,DLL Observable Register Lower" hexmask.long.byte 0x0 24.--31. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_INC_FLD,Holds the state of the cumulative dll_lock_inc register." newline hexmask.long.byte 0x0 16.--23. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_DEC_FLD,Holds the state of the cumulative dll_lock_dec register." newline bitfld.long 0x0 15. "DLL_OBSERVABLE_LOWER_LOOPBACK_LOCK_FLD,This bit indicates that lock of loopback is done." "0,1" newline hexmask.long.byte 0x0 8.--14. 1. "DLL_OBSERVABLE_LOWER_LOCK_VALUE_FLD,Reports the DLL encoder value from the master DLL to the slave DLLs." newline hexmask.long.byte 0x0 3.--7. 1. "DLL_OBSERVABLE_LOWER_UNLOCK_COUNTER_FLD,Reports the number of increments or decrements required for the master DLL to complete the locking process." newline bitfld.long 0x0 1.--2. "DLL_OBSERVABLE_LOWER_LOCK_MODE_FLD,Defines the mode in which the DLL has achieved the lock." "0,1,2,3" newline bitfld.long 0x0 0. "DLL_OBSERVABLE_LOWER_DLL_LOCK_FLD,Indicates status of DLL." "0,1" line.long 0x4 "DLL_OBSERVABLE_UPPER_REG,DLL Observable Register Upper" hexmask.long.word 0x4 23.--31. 1. "DLL_OBSERVABLE_UPPER_RESV2_FLD,Reserved" newline hexmask.long.byte 0x4 16.--22. 1. "DLL_OBSERVABLE_UPPER_TX_DECODER_OUTPUT_FLD,Holds the encoded value for the TX delay line for this slice." newline hexmask.long.word 0x4 7.--15. 1. "DLL_OBSERVABLE_UPPER_RESV1_FLD,Reserved" newline hexmask.long.byte 0x4 0.--6. 1. "DLL_OBSERVABLE__UPPER_RX_DECODER_OUTPUT_FLD,Holds the encoded value for the RX delay line for this slice." group.long 0xE0++0x7 line.long 0x0 "OPCODE_EXT_LOWER_REG,Opcode Extension Register (Lower)" hexmask.long.byte 0x0 24.--31. 1. "EXT_READ_OPCODE_FLD,Supplement byte of any Read Opcode" newline hexmask.long.byte 0x0 16.--23. 1. "EXT_WRITE_OPCODE_FLD,Supplement byte of any Write Opcode" newline hexmask.long.byte 0x0 8.--15. 1. "EXT_POLL_OPCODE_FLD,Supplement byte of any Polling Opcode" newline hexmask.long.byte 0x0 0.--7. 1. "EXT_STIG_OPCODE_FLD,Supplement byte of any STIG Opcode" line.long 0x4 "OPCODE_EXT_UPPER_REG,Opcode Extension Register (Upper)" hexmask.long.byte 0x4 24.--31. 1. "WEL_OPCODE_FLD,First byte of any WEL Opcode" newline hexmask.long.byte 0x4 16.--23. 1. "EXT_WEL_OPCODE_FLD,Supplement byte of any WEL Opcode" newline hexmask.long.word 0x4 0.--15. 1. "OPCODE_EXT_UPPER_RESV1_FLD,Reserved" group.long 0xF0++0x3 line.long 0x0 "CUSTOM_CONF_REG,Custom Configuration Register" hexmask.long 0x0 5.--31. 1. "CUSTOM_CONF_RESV_FLD2,Reserved" newline bitfld.long 0x0 4. "PIPE_SMRT_ACC_FLD,PHY POP delay functionality" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "CUSTOM_CONF_RESV_FLD1,Reserved" rgroup.long 0xFC++0x3 line.long 0x0 "MODULE_ID_REG,Module ID Register" hexmask.long.byte 0x0 24.--31. 1. "FIX_PATCH_FLD,Fix/path number related to revision described by 3 LSBs of this register" newline hexmask.long.word 0x0 8.--23. 1. "MODULE_ID_FLD,Module/Revision ID number" newline hexmask.long.byte 0x0 3.--7. 1. "MODULE_ID_RESV_FLD,Reserved" newline bitfld.long 0x0 2. "HYPER_SUPPORT_FLD,HyperFlash Support" "0,1" newline bitfld.long 0x0 0.--1. "CONF_FLD,Configuration ID number: 0 : OCTAL + PHY Configuration 1 : OCTAL Configuration 2 : QUAD + PHY Configuration 3 : QUAD Configuration" "OCTAL + PHY Configuration,OCTAL Configuration,QUAD + PHY Configuration,QUAD Configuration" group.long 0x100++0x3 line.long 0x0 "HYPERFLASH_CONFIG_REG,HyperFlash Configuration Register" rbitfld.long 0x0 31. "HYPERFLASH_CONFIG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 30. "TARGET_HYPERFLASH_STIG_FLD,Identifies the target of final STIG HyperFlash transaction as follows: 1'b0 = Memory Space 1'b1 = Register Space" "Memory Space 1'b1 = Register Space,?" newline bitfld.long 0x0 29. "BURST_TYPE_HYPERFLASH_STIG_FLD,Identifies the burst type of final STIG HyperFlash transaction" "0,1" newline hexmask.long.word 0x0 20.--28. 1. "HYPERFLASH_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0x0 16.--19. 1. "HYPERFLASH_LAT_CODE_FLD,Identifies the latency code for HyperFlash" newline hexmask.long.word 0x0 1.--15. 1. "HYPERFLASH_CONFIG_RESV1_FLD,Reserved" newline bitfld.long 0x0 0. "HYPERFLASH_ENABLE_FLD,HyperFlash Mode enable bit" "0,1" group.long 0x110++0x3 line.long 0x0 "OSPI_MISC0,Misc configuration Register #0" newline bitfld.long 0x0 5. "CTL3,sample clocl polarity control for sclk_dlyd_i 0x1 - Invert sample clock sclk_dlyd_i 0x0 - sample clock sclk_dlyd_i not inverted" "sample clock sclk_dlyd_i not inverted,Invert sample clock sclk_dlyd_i" newline bitfld.long 0x0 4. "CTL2,DQS pad output enable When CTL0 is set 0x2 this bit should be enable to enable loopback path from internal sclk_out to DQS pad." "0,1" newline bitfld.long 0x0 2.--3. "CTL1,SCLK output logic control. 0x0 - orginal logic without any delay (Delay added by TX DLL) 0x1 - original logic (sclk_out) with dleay 1/4 cycle of SCLK (by ref_clk_2x fall edge) 0x2 - original logic (sclk_out) with delay 1/2 cycle of SCLk (by.." "orginal logic without any delay,original logic,original logic,original logic" newline bitfld.long 0x0 0.--1. "CTL0,loopback SCLK input logic control (sclk_dlyd). 0x0 - loopback from SCLK pad 0x1 - loopback from internal (sclk_out with delay selected by OSPI_MISC0.CTL1) 0x2 - loopback from DQS pad 0x3 - loopback from ref_clk_2x (for DFT test only)." "loopback from SCLK pad,loopback from internal,loopback from DQS pad,loopback from ref_clk_2x" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.long ($2+0x114)++0x3 line.long 0x0 "OSPI_MISC$1,Misc Configuration Register #1" hexmask.long 0x0 0.--31. 1. "CTL,Reserved for future" repeat.end group.long 0x200++0x3 line.long 0x0 "HYPERFLASH_STIG_CONFIG_REG,HyperFlash STIG Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_STIG_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of STIG HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_STIG_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_STIG_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_STIG_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_STIG_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_STIG_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_STIG_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_STIG_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_STIG_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_STIG_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_STIG_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_STIG_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_STIG_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_STIG_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 1" "0,1" group.long 0x210++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_REG,HyperFlash STIG Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 1" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN1_DATA_REG,HyperFlash STIG Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 1" group.long 0x220++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_REG,HyperFlash STIG Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 2" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN2_DATA_REG,HyperFlash STIG Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 2" group.long 0x230++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_REG,HyperFlash STIG Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 3" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN3_DATA_REG,HyperFlash STIG Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 3" group.long 0x240++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_REG,HyperFlash STIG Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 4" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN4_DATA_REG,HyperFlash STIG Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 4" group.long 0x250++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_REG,HyperFlash STIG Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 5" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN5_DATA_REG,HyperFlash STIG Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 5" group.long 0x260++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_REG,HyperFlash STIG Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 6" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN6_DATA_REG,HyperFlash STIG Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 6" group.long 0x270++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_REG,HyperFlash STIG Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 7" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN7_DATA_REG,HyperFlash STIG Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 7" group.long 0x300++0x3 line.long 0x0 "HYPERFLASH_WRITE_CONFIG_REG,HyperFlash Write Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_WRITE_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Write HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_WRITE_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_WRITE_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_WRITE_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_WRITE_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_WRITE_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_WRITE_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_WRITE_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_WRITE_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_WRITE_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_WRITE_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_WRITE_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_WRITE_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 1" "0,1" group.long 0x310++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_REG,HyperFlash Write Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 1" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN1_DATA_REG,HyperFlash Write Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 1" group.long 0x320++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_REG,HyperFlash Write Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 2" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN2_DATA_REG,HyperFlash Write Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 2" group.long 0x330++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_REG,HyperFlash Write Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 3" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN3_DATA_REG,HyperFlash Write Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 3" group.long 0x340++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_REG,HyperFlash Write Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 4" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN4_DATA_REG,HyperFlash Write Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 4" group.long 0x350++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_REG,HyperFlash Write Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 5" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN5_DATA_REG,HyperFlash Write Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 5" group.long 0x360++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_REG,HyperFlash Write Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 6" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN6_DATA_REG,HyperFlash Write Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 6" group.long 0x370++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_REG,HyperFlash Write Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 7" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN7_DATA_REG,HyperFlash Write Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 7" group.long 0x400++0x3 line.long 0x0 "HYPERFLASH_READ_CONFIG_REG,HyperFlash Read Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_READ_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_READ_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Read HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_READ_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_READ_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_READ_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_READ_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_READ_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_READ_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_READ_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_READ_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_READ_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_READ_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_READ_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_READ_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_READ_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_READ_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 1" "0,1" group.long 0x410++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_REG,HyperFlash Read Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 1" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN1_DATA_REG,HyperFlash Read Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 1" group.long 0x420++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_REG,HyperFlash Read Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 2" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN2_DATA_REG,HyperFlash Read Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 2" group.long 0x430++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_REG,HyperFlash Read Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 3" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN3_DATA_REG,HyperFlash Read Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 3" group.long 0x440++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_REG,HyperFlash Read Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 4" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN4_DATA_REG,HyperFlash Read Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 4" group.long 0x450++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_REG,HyperFlash Read Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 5" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN5_DATA_REG,HyperFlash Read Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 5" group.long 0x460++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_REG,HyperFlash Read Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 6" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN6_DATA_REG,HyperFlash Read Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 6" group.long 0x470++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_REG,HyperFlash Read Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 7" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN7_DATA_REG,HyperFlash Read Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 7" group.long 0x500++0x3 line.long 0x0 "HYPERFLASH_POLLING_CONFIG_REG,HyperFlash Polling Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_POLLING_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Polling HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_POLLING_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_POLLING_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_POLLING_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_POLLING_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_POLLING_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_POLLING_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_POLLING_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_POLLING_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_POLLING_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_POLLING_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_POLLING_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_POLLING_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 1" "0,1" group.long 0x510++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_REG,HyperFlash Polling Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 1" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN1_DATA_REG,HyperFlash Polling Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 1" group.long 0x520++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_REG,HyperFlash Polling Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 2" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN2_DATA_REG,HyperFlash Polling Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 2" group.long 0x530++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_REG,HyperFlash Polling Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 3" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN3_DATA_REG,HyperFlash Polling Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 3" group.long 0x540++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_REG,HyperFlash Polling Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 4" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN4_DATA_REG,HyperFlash Polling Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 4" group.long 0x550++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_REG,HyperFlash Polling Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 5" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN5_DATA_REG,HyperFlash Polling Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 5" group.long 0x560++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_REG,HyperFlash Polling Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 6" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN6_DATA_REG,HyperFlash Polling Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 6" group.long 0x570++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_REG,HyperFlash Polling Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 7" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN7_DATA_REG,HyperFlash Polling Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 7" group.long 0xA00++0x13 line.long 0x0 "ASF_INT_STATUS_REG,ASF Interrupt Status Register" hexmask.long 0x0 7.--31. 1. "ASF_INT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x0 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x0 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x0 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x0 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x0 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x0 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x0 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x4 "ASF_INT_RAW_STATUS_REG,ASF Interrupt Raw Status Register" hexmask.long 0x4 7.--31. 1. "ASF_INT_RAW_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x4 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x4 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x4 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x4 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x4 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x4 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x8 "ASF_INT_MASK_REG,The ASF interrupt mask register indicating which interrupt bits in the ASF Interrupt Status Register are masked" hexmask.long 0x8 7.--31. 1. "ASF_INT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x8 6. "ASF_INTEGRITY_ERR_MASK_FLD,Mask bit for integrity error interrupt" "0,1" newline bitfld.long 0x8 5. "ASF_PROTOCOL_ERR_MASK_FLD,Mask bit for protocol error interrupt" "0,1" newline bitfld.long 0x8 4. "ASF_TRANS_TO_ERR_MASK_FLD,Mask bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0x8 3. "ASF_CSR_ERR_MASK_FLD,Mask bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0x8 2. "ASF_DAP_ERR_MASK_FLD,Mask bit for data and address paths error interrupt" "0,1" newline bitfld.long 0x8 1. "ASF_SRAM_UNCORR_ERR_MASK_FLD,Mask bit for SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x8 0. "ASF_SRAM_CORR_ERR_MASK_FLD,Mask bit for SRAM correctable error interrupt" "0,1" line.long 0xC "ASF_INT_TEST_REG,The ASF interrupt test register emulate hardware even" hexmask.long 0xC 7.--31. 1. "ASF_INT_TEST_RESV1_FLD,Reserved" newline bitfld.long 0xC 6. "ASF_INTEGRITY_ERR_TEST_FLD,Test bit for integrity error interrupt" "0,1" newline bitfld.long 0xC 5. "ASF_PROTOCOL_ERR_TEST_FLD,Test bit for protocol error interrupt" "0,1" newline bitfld.long 0xC 4. "ASF_TRANS_TO_ERR_TEST_FLD,Test bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0xC 3. "ASF_CSR_ERR_TEST_FLD,Test bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0xC 2. "ASF_DAP_ERR_TEST_FLD,Test bit for data and address paths error interrupt" "0,1" newline bitfld.long 0xC 1. "ASF_SRAM_UNCORR_ERR_TEST_FLD,Test bit form SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0xC 0. "ASF_SRAM_CORR_ERR_TEST_FLD,Test bit for SRAM correctable error interupt" "0,1" line.long 0x10 "ASF_FATAL_NONFATAL_SELECT_REG,The fatal or non-fatal interrupt registers selects whether a fatal or non-fatal interrupt is triggered." hexmask.long 0x10 7.--31. 1. "ASF_FATAL_NONFATAL_SELECT_RESV1_FLD,Reserved" newline bitfld.long 0x10 6. "ASF_INTEGRITY_ERR_SEL_FLD,Enable integrity interrupt as fatal" "0,1" newline bitfld.long 0x10 5. "ASF_PROTOCOL_ERR_SEL_FLD,Enable protocol interrupt as fatal" "0,1" newline bitfld.long 0x10 4. "ASF_TRANS_TO_ERR_SEL_FLD,Enable transaction timeouts interrupt as fatal" "0,1" newline bitfld.long 0x10 3. "ASF_CSR_ERR_SEL_FLD,Enable configuration and status registers interrupts as fatal" "0,1" newline bitfld.long 0x10 2. "ASF_DAP_ERR_SEL_FLD,Enable data and address paths interrupt as fatal" "0,1" newline bitfld.long 0x10 1. "ASF_SRAM_UNCORR_ERR_SEL_FLD,Enable SRAM uncorrectable interrupt as fatal" "0,1" newline bitfld.long 0x10 0. "ASF_SRAM_CORR_ERR_SEL_FLD,Enable SRAM correctable interrupt as fatal" "0,1" rgroup.long 0xA20++0x7 line.long 0x0 "ASF_SRAM_CORR_FAULT_STATUS_REG,Status register for SRAM correctable fault' field{ desc = 'Last SRAM address that generated fault" line.long 0x4 "ASF_SRAM_UNCORR_FAULT_STATUS_REG,Status register for SRAM uncorrectable fault" hexmask.long.word 0x4 16.--31. 1. "ASF_SRAM_UNCORR_FAULT_STATUS_RESV1_FLD,Reserved" newline hexmask.long.word 0x4 0.--15. 1. "ASF_SRAM_UNCORR_FAULT_ADDR_FLD,Last SRAM address that generated" group.long 0xA28++0x3 line.long 0x0 "ASF_SRAM_FAULT_STATS_REG,Statics register for SRAM faults" hexmask.long.word 0x0 16.--31. 1. "ASF_SRAM_FAULT_UNCORR_STATS_FLS,Count of number of uncorrectable errors" newline hexmask.long.word 0x0 0.--15. 1. "ASF_SRAM_FAULT_CORR_STATS_FLD,Count of number of correctable errors" group.long 0xA30++0xB line.long 0x0 "ASF_TRANS_TO_CTRL_REG,Control register to configure the ASF transaction timeout monitors" bitfld.long 0x0 31. "ASF_TRANS_TO_EN_FLD,Enable transaction timeout monitoring" "0,1" newline hexmask.long.word 0x0 16.--30. 1. "ASF_TRANS_TO_CTRL_RESV1_FLD,Reserved" newline hexmask.long.word 0x0 0.--15. 1. "ASF_TRANS_TO_CTRL_FLD,Time value to use for transaction timeout monitor" line.long 0x4 "ASF_TRANS_TO_FAULT_MASK_REG,Control Register to mask out ASF transaction timeout faults from triggering interrupts" hexmask.long 0x4 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 0. "ASF_TRANS_TO_FAULT_MASK_FLD,Mask for AHB ASF transaction timeout fault source" "0,1" line.long 0x8 "ASF_TRANS_TO_FAULT_STATUS_REG,Status register for transaction timeouts fault" hexmask.long 0x8 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x8 0. "ASF_TRANS,Status bit for transaction timeouts faults" "0,1" group.long 0xA40++0x7 line.long 0x0 "ASF_PROTOCOL_FAULT_MASK_REG,Control register to mask out ASF Protocol faults from triggering interrupts" hexmask.long.word 0x0 19.--31. 1. "ASF_PROTOCOL_FAULT_MASK_RESV2_FLD,Reserved" newline bitfld.long 0x0 18. "ASF_PROTOCOL_FAULT_MASK_FLASH_CFG_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 17. "ASF_PROTOCOL_FAULD_MASK_CS_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 16. "ASF_PROTOCOL_FAULT_MASK_TRI_FLD,Mask bit for Tri state enable fault" "0,1" newline hexmask.long.word 0x0 4.--15. 1. "ASF_PROTOCOL_FAULT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x0 3. "ASF_PROTOCOL_FAULT_MASK_ECC_FLD,Mask bit for ECC failure" "0,1" newline bitfld.long 0x0 2. "ASF_PROTOCOL_MASK_TX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 1. "ASF_PROTOCOL_FAULT_MASK_RX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 0. "ASF_PROTOCOL_FAULT_MASK_POLL_FLD,Mask bit for Polling expiration error" "0,1" line.long 0x4 "ASF_PROTOCOL_FAULT_STATUS_REG,Status register for protocol faults" hexmask.long.word 0x4 19.--31. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV2_FLD,Reserved" newline bitfld.long 0x4 18. "ASF_PROTOCOL_FAULT_STATUS_FLASH_CFG_FLD,Flash Configuration failure" "0,1" newline bitfld.long 0x4 17. "ASF_PROTOCOL_FAULT_STATUS_CS_FLD,#CS fault" "0,1" newline bitfld.long 0x4 16. "ASF_PROTOCOL_FAULT_STATUS_TRI_FLD,Tri state enable fault" "0,1" newline hexmask.long.word 0x4 4.--15. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 3. "ASF_PROTOCOL_FAULT_STATUS_ECC,ECC failure" "0,1" newline bitfld.long 0x4 2. "ASF_PROTOCOL_FAULT_STATUS_TX_CRC,TX CRC chunk was broken" "0,1" newline bitfld.long 0x4 1. "ASF_PROTOCOL_FAULT_STATUS_RX_CRC_FLD,RX CRC data error" "0,1" newline bitfld.long 0x4 0. "ASF_PROTOCOL_FAULT_STATUS_POLL_FLD,Polling expiration error" "0,1" tree.end tree "OSPI2" base ad:0xF06D0000 group.long 0x0++0x2B line.long 0x0 "CONFIG_REG,Octal-SPI Configuration Register" rbitfld.long 0x0 31. "IDLE_FLD,Serial interface and low level SPI pipeline is IDLE: This is a STATUS read-only bit. Note this is a retimed signal so there will be some inherent delay on the generation of this status signal." "0,1" newline bitfld.long 0x0 30. "DUAL_BYTE_OPCODE_EN_FLD,Dual-byte Opcode Mode enable bit This bit is to be set in case the target Flash Device supports dual byte opcode (i.e. Macronix MX25). It is applicable for Octal I/O Mode or Protocol only so should be set back to low if the device.." "0,1" newline bitfld.long 0x0 29. "CRC_ENABLE_FLD,CRC enable bit This bit is to be set in case the target Flash Device supports CRC (Macronix MX25). It is applicable for Octal DDR Protocol only so should be set back to low if the device is configured to work in another SPI Mode." "0,1" newline bitfld.long 0x0 28. "FLIPPER_ENABLE_FLD,Flip enable bit This bit is to be set in case the target Flash Device supports data slices swapped in order of D1 D0 D3 D2 etc. It is applicable for DTR-OPI Mode of Macronix MX25 devices." "0,1" newline rbitfld.long 0x0 26.--27. "CONFIG_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x0 25. "PIPELINE_PHY_FLD,Pipeline PHY Mode enable: This bit is relevant only for configuration with PHY Module. It should be asserted to '1' between consecutive PHY pipeline reads transfers and de-asserted to '0' otherwise." "0,1" newline bitfld.long 0x0 24. "ENABLE_DTR_PROTOCOL_FLD,Enable DTR Protocol: This bit should be set if device is configured to work in DTR protocol." "0,1" newline bitfld.long 0x0 23. "ENABLE_AHB_DECODER_FLD,Enable AHB Decoder: Value=0 : Active slave is selected based on Peripheral Chip Select Lines (bits [13:10]). Value=1 Active slave is selected based on actual AHB address (the partition for each device is calculated with respect to.." "Active slave is selected based on Peripheral..,?" newline hexmask.long.byte 0x0 19.--22. 1. "MSTR_BAUD_DIV_FLD,Master Mode Baud Rate Divisor: SPI baud rate = (master reference clock) baud_rate_divisor" newline bitfld.long 0x0 18. "ENTER_XIP_MODE_IMM_FLD,Enter XIP Mode immediately: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : Operate the device in XIP mode immediately Use this register when the.." "If XIP is enabled,Operate the device in XIP mode immediately Use.." newline bitfld.long 0x0 17. "ENTER_XIP_MODE_FLD,Enter XIP Mode on next READ: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : If XIP is disabled then setting to ?1? will inform the controller that the.." "If XIP is enabled,If XIP is disabled" newline bitfld.long 0x0 16. "ENB_AHB_ADDR_REMAP_FLD,Enable AHB Address Re-mapping: (Direct Access Mode Only) When set to 1 the incoming AHB address will be adapted and sent to the FLASH device as (address + N) where N is the value stored in the remap address register." "0,1" newline bitfld.long 0x0 15. "ENB_DMA_IF_FLD,Enable DMA Peripheral Interface: Set to 1 to enable the DMA handshaking logic. When enabled the controller will trigger DMA transfer requests via the DMA peripheral interface. Set to 0 to disable" "0,1" newline bitfld.long 0x0 14. "WR_PROT_FLASH_FLD,Write Protect Flash Pin: Set to drive the Write Protect pin of the FLASH device. This is resynchronized to the generated memory clock as necessary." "0,1" newline hexmask.long.byte 0x0 10.--13. 1. "PERIPH_CS_LINES_FLD,Peripheral Chip Select Lines: Peripheral chip select lines If pdec = 0 ss[3:0] are output thus: ss[3:0] n_ss_out[3:0] xxx0 1110 xx01 1101 x011 1011 0111 0111 1111 1111 (no peripheral selected) else ss[3:0] directly drives n_ss_out[3:0]" newline bitfld.long 0x0 9. "PERIPH_SEL_DEC_FLD,Peripheral select decode: 0 : only 1 of 4 selects n_ss_out[3:0] is active 1 : allow external 4-to-16 decode (n_ss_out = ss)" "only 1 of 4 selects n_ss_out[3:0] is active,allow external 4-to-16 decode" newline bitfld.long 0x0 8. "ENB_LEGACY_IP_MODE_FLD,Legacy IP Mode Enable: 0 : Use Direct Access Controller/Indirect Access Controller 1 : legacy Mode is enabled. In this mode any write to the controller via the AHB interface is serialized and sent to the FLASH device. Any valid.." "Use Direct Access Controller/Indirect Access..,legacy Mode is enabled" newline bitfld.long 0x0 7. "ENB_DIR_ACC_CTLR_FLD,Enable Direct Access Controller: 0 : disable the Direct Access Controller once current transfer of the data word (FF_W) is complete. 1 : enable the Direct Access Controller When the Direct Access Controller and Indirect Access.." "disable the Direct Access Controller once..,enable the Direct Access Controller When the.." newline bitfld.long 0x0 6. "RESET_CFG_FLD,RESET pin configuration: 0 = RESET feature on DQ3 pin of the device 1 = RESET feature on dedicated pin of the device (controlling of 5th bit influences on reset_out output)" "RESET feature on DQ3 pin of the device,RESET feature on dedicated pin of the device" newline bitfld.long 0x0 5. "RESET_PIN_FLD,Set to drive the RESET pin of the FLASH device and reset for de-activation of the RESET pin feature" "0,1" newline bitfld.long 0x0 4. "HOLD_PIN_FLD,Set to drive the HOLD pin of the FLASH device and reset for de-activation of the HOLD pin feature" "0,1" newline bitfld.long 0x0 3. "PHY_MODE_ENABLE_FLD,PHY mode enable: When enabled the controller is informed that PHY Module is to be used for handling SPI transfers. This bit is relevant only for configuration with PHY Module. Note: - When changing this bit field software should.." "0,1" newline bitfld.long 0x0 2. "SEL_CLK_PHASE_FLD,Select Clock Phase: Selects whether the clock is in an active or inactive phase outside the SPI word. 0 : the SPI clock is active outside the word 1 : the SPI clock is inactive outside the word" "the SPI clock is active outside the word,the SPI clock is inactive outside the word" newline bitfld.long 0x0 1. "SEL_CLK_POL_FLD,Clock polarity outside SPI word: 0 : the SPI clock is quiescent low 1 : the SPI clock is quiescent high" "the SPI clock is quiescent low,the SPI clock is quiescent high" newline bitfld.long 0x0 0. "ENB_SPI_FLD,Octal-SPI Enable: 0 : disable the Octal-SPI once current transfer of the data word (FF_W) is complete. 1 : enable the Octal-SPI when spi_enable = 0 all output enables are inactive and all pins are set to input mode." "disable the Octal-SPI,enable the Octal-SPI" line.long 0x4 "DEV_INSTR_RD_CONFIG_REG,Device Read Instruction Configuration Register" rbitfld.long 0x4 29.--31. "RD_INSTR_RESV5_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 24.--28. 1. "DUMMY_RD_CLK_CYCLES_FLD,Dummy Read Clock Cycles: Number of dummy clock cycles required by device for read instruction." newline rbitfld.long 0x4 21.--23. "RD_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "MODE_BIT_ENABLE_FLD,Mode Bit Enable: Set this field to 1 to ensure that the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline rbitfld.long 0x4 18.--19. "RD_INSTR_RESV3_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Quad Input/Output instructions" newline rbitfld.long 0x4 14.--15. "RD_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline bitfld.long 0x4 11. "PRED_DIS_FLD,Predicted Read Disable Bit: Disable generation of predicted read when doing read accesses using Direct Mode" "0,1" newline bitfld.long 0x4 10. "DDR_EN_FLD,DDR Enable: This is to inform that opcode from rd_opcode_non_xip_fld is compliant with one of the DDR READ Commands" "0,1" newline bitfld.long 0x4 8.--9. "INSTR_TYPE_FLD,Instruction Type: 0 : Use Standard SPI mode (instruction always shifted into the device on DQ0 only) 1 : Use DIO-SPI mode (Instructions Address and Data always sent on DQ0 and DQ1) 2 : Use QIO-SPI mode (Instructions Address and Data.." "Use Standard SPI mode,Use DIO-SPI mode,Use QIO-SPI mode,Use Octal-IO-SPI mode" newline hexmask.long.byte 0x4 0.--7. 1. "RD_OPCODE_NON_XIP_FLD,Read Opcode in non-XIP mode: Read Opcode to use when not in XIP mode" line.long 0x8 "DEV_INSTR_WR_CONFIG_REG,Device Write Instruction Configuration Register" rbitfld.long 0x8 29.--31. "WR_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 24.--28. 1. "DUMMY_WR_CLK_CYCLES_FLD,Dummy Write Clock Cycles: Number of dummy clock cycles required by device for write instruction." newline hexmask.long.byte 0x8 18.--23. 1. "WR_INSTR_RESV3_FLD,Reserved" newline bitfld.long 0x8 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Octal Input/Output instructions" newline rbitfld.long 0x8 14.--15. "WR_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x8 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline rbitfld.long 0x8 9.--11. "WR_INSTR_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 8. "WEL_DIS_FLD,WEL Disable: This is to turn off automatic issuing of WEL Command before write operation for DAC or INDAC" "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "WR_OPCODE_FLD,Write Opcode" line.long 0xC "DEV_DELAY_REG,Octal-SPI Device Delay Register: This register is used to introduce relative delays into the generation of the master output signals. All timings are defined in cycles of the SPI REFERENCE CLOCK/ext_clk. defined in this table as SPI master.." hexmask.long.byte 0xC 24.--31. 1. "D_NSS_FLD,Clock Delay for Chip Select Deassert: Delay in master reference clocks for the length that the master mode chip select outputs are de-asserted between transactions. The minimum delay is always SCLK period to ensure the chip select is never.." newline hexmask.long.byte 0xC 16.--23. 1. "D_BTWN_FLD,Clock Delay for Chip Select Deactivation: Delay in master reference clocks between one chip select being de-activated and the activation of another. This is used to ensure a quiet period between the selection of two different slaves and.." newline hexmask.long.byte 0xC 8.--15. 1. "D_AFTER_FLD,Clock Delay for Last Transaction Bit: Delay in master reference clocks between last bit of current transaction and deasserting the device chip select (n_ss_out). By default the chip select will be deasserted on the cycle following the.." newline hexmask.long.byte 0xC 0.--7. 1. "D_INIT_FLD,Clock Delay with n_ss_out: Delay in master reference clocks between setting n_ss_out low and first bit transfer." line.long 0x10 "RD_DATA_CAPTURE_REG,Read Data Capture Register" hexmask.long.word 0x10 20.--31. 1. "RD_DATA_RESV3_FLD,Reserved" newline hexmask.long.byte 0x10 16.--19. 1. "DDR_READ_DELAY_FLD,DDR read delay: Delay the transmitted data by the programmed number of ref_clk cycles.This field is only relevant when DDR Read Command is executed. Otherwise can be ignored." newline hexmask.long.byte 0x10 9.--15. 1. "RD_DATA_RESV2_FLD,Reserved" newline bitfld.long 0x10 8. "DQS_ENABLE_FLD,DQS enable bit: If enabled signal from DQS input is driven into RX DLL and is used for data capturing in PHY Mode rather than internally generated gated ref_clk.." "0,1" newline rbitfld.long 0x10 6.--7. "RD_DATA_RESV1_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x10 5. "SAMPLE_EDGE_SEL_FLD,Sample edge selection: Choose edge on which data outputs from flash memory will be sampled" "0,1" newline hexmask.long.byte 0x10 1.--4. 1. "DELAY_FLD,Read Delay: Delay the read data capturing logic by the programmed number of ref_clk cycles" newline bitfld.long 0x10 0. "BYPASS_FLD,Bypass the adapted loopback clock circuit" "0,1" line.long 0x14 "DEV_SIZE_CONFIG_REG,Device Size Configuration Register" rbitfld.long 0x14 29.--31. "DEV_SIZE_RESV_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 27.--28. "MEM_SIZE_ON_CS3_FLD,Size of Flash Device connected to CS[3] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 25.--26. "MEM_SIZE_ON_CS2_FLD,Size of Flash Device connected to CS[2] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 23.--24. "MEM_SIZE_ON_CS1_FLD,Size of Flash Device connected to CS[1] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 21.--22. "MEM_SIZE_ON_CS0_FLD,Size of Flash Device connected to CS[0] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline hexmask.long.byte 0x14 16.--20. 1. "BYTES_PER_SUBSECTOR_FLD,Number of bytes per Block. This is required by the controller for performing the write protection logic. The number of bytes per block must be a power of 2 number." newline hexmask.long.word 0x14 4.--15. 1. "BYTES_PER_DEVICE_PAGE_FLD,Number of bytes per device page. This is required by the controller for performing FLASH writes up to and across page boundaries." newline hexmask.long.byte 0x14 0.--3. 1. "NUM_ADDR_BYTES_FLD,Number of address bytes. A value of 0 indicates 1 byte." line.long 0x18 "SRAM_PARTITION_CFG_REG,SRAM Partition Configuration Register" hexmask.long.tbyte 0x18 8.--31. 1. "SRAM_PARTITION_RESV_FLD,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "ADDR_FLD,Indirect Read Partition Size: Defines the size of the indirect read partition in the SRAM in units of SRAM locations. By default half of the SRAM is reserved for indirect read operation and half for indirect write. The size of this register.." line.long 0x1C "IND_AHB_ADDR_TRIGGER_REG,Indirect AHB Address Trigger Register" hexmask.long 0x1C 0.--31. 1. "ADDR_FLD,This is the base address that will be used by the AHB controller. When the incoming AHB read access address matches a range of addresses from this trigger address to the trigger address + 15 then the AHB request will be completed by fetching.." line.long 0x20 "DMA_PERIPH_CONFIG_REG,DMA Peripheral Configuration Register" hexmask.long.tbyte 0x20 12.--31. 1. "DMA_PERIPH_RESV2_FLD,Reserved" newline hexmask.long.byte 0x20 8.--11. 1. "NUM_BURST_REQ_BYTES_FLD,Number of Burst Bytes: Number of bytes in a burst type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The actual.." newline hexmask.long.byte 0x20 4.--7. 1. "DMA_PERIPH_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "NUM_SINGLE_REQ_BYTES_FLD,Number of Single Bytes: Number of bytes in a single type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The.." line.long 0x24 "REMAP_ADDR_REG,Remap Address Register" hexmask.long 0x24 0.--31. 1. "VALUE_FLD,This register is used to remap an incoming AHB address to a different address used by the FLASH device." line.long 0x28 "MODE_BIT_CONFIG_REG,Mode Bit Configuration Register" hexmask.long.byte 0x28 24.--31. 1. "RX_CRC_DATA_LOW_FLD,RX CRC data (lower) The first CRC byte returned after RX data chunk." newline hexmask.long.byte 0x28 16.--23. 1. "RX_CRC_DATA_UP_FLD,RX CRC data (upper) The second CRC byte returned after RX data chunk." newline bitfld.long 0x28 15. "CRC_OUT_ENABLE_FLD,CRC# output enable bit When enabled the controller expects the Flash Device to toggle CRC data on both SPI clock edges in CRC->CRC# sequence and calculates CRC compliance accordingly." "0,1" newline hexmask.long.byte 0x28 11.--14. 1. "MODE_BIT_RESV1_FLD,Reserved" newline bitfld.long 0x28 8.--10. "CHUNK_SIZE_FLD,It defines size of chunk after which CRC data is expected to show up on the SPI interface for write and read data transfers." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x28 0.--7. 1. "MODE_FLD,These are the 8 mode bits that are sent to the device following the address bytes if mode bit transmission has been enabled." rgroup.long 0x2C++0x3 line.long 0x0 "SRAM_FILL_REG,SRAM Fill Register" hexmask.long.word 0x0 16.--31. 1. "SRAM_FILL_INDAC_WRITE_FLD,SRAM Fill Level (Indirect Write Partition): Identifies the current fill level of the SRAM Indirect Write partition" newline hexmask.long.word 0x0 0.--15. 1. "SRAM_FILL_INDAC_READ_FLD,SRAM Fill Level (Indirect Read Partition): Identifies the current fill level of the SRAM Indirect Read partition" group.long 0x30++0x17 line.long 0x0 "TX_THRESH_REG,TX Threshold Register" hexmask.long 0x0 5.--31. 1. "TX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x0 0.--4. 1. "LEVEL_FLD,Defines the level at which the small TX FIFO not full interrupt is generated" line.long 0x4 "RX_THRESH_REG,RX Threshold Register" hexmask.long 0x4 5.--31. 1. "RX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x4 0.--4. 1. "LEVEL_FLD,Defines the level at which the small RX FIFO not empty interrupt is generated" line.long 0x8 "WRITE_COMPLETION_CTRL_REG,Write Completion Control Register: This register defines how the controller will poll the device following a write transfer" hexmask.long.byte 0x8 24.--31. 1. "POLL_REP_DELAY_FLD,Defines additional delay for maintain Chip Select de-asserted during auto-polling phase" newline hexmask.long.byte 0x8 16.--23. 1. "POLL_COUNT_FLD,Defines the number of times the controller should expect to see a true result from the polling in successive reads of the device register." newline bitfld.long 0x8 15. "ENABLE_POLLING_EXP_FLD,Set to '1' for enabling auto-polling expiration." "0,1" newline bitfld.long 0x8 14. "DISABLE_POLLING_FLD,This switches off the automatic polling function" "0,1" newline bitfld.long 0x8 13. "POLLING_POLARITY_FLD,Defines the polling polarity. If '1' then the write transfer to the device will be complete if the polled bit is equal to '1'. If '0' then the write transfer to the device will be complete if the polled bit is equal to '0'." "0,1" newline rbitfld.long 0x8 12. "WR_COMP_CTRL_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x8 11. "POLLING_ADDR_EN_FLD,Enables address phase of auto-polling (Read Status) command." "0,1" newline bitfld.long 0x8 8.--10. "POLLING_BIT_INDEX_FLD,Defines the bit index that should be polled. A value of 010 means that bit 2 of the returned data will be polled for.A value of 111 means that bit 7 of the returned data will be polled for." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--7. 1. "OPCODE_FLD,Defines the opcode that should be issued by the controller when it is automatically polling for device program completion. This command is issued followed all device write operations. By default this will poll the standard device STATUS.." line.long 0xC "NO_OF_POLLS_BEF_EXP_REG,Polling Expiration Register" hexmask.long 0xC 0.--31. 1. "NO_OF_POLLS_BEF_EXP_FLD,Number of polls cycles before expiration" line.long 0x10 "IRQ_STATUS_REG,Interrupt Status Register: The status fields in this register are set when the described event occurs and the interrupt is enabled in the mask register. When any of these bit fields are set. the interrupt output is asserted high. The.." hexmask.long.word 0x10 21.--31. 1. "IRQ_STAT_RESV_FLD,Reserved" newline bitfld.long 0x10 20. "HYPER_INT_FLD,HyperFlash interrupt This interrupt informs the system that Flash Device reported interrupt on its INT# output" "0,1" newline bitfld.long 0x10 19. "ECC_FAIL_FLD,ECC failure This interrupt informs the system that Flash Device reported ECC error." "0,1" newline bitfld.long 0x10 18. "TX_CRC_CHUNK_BRK_FLD,TX CRC chunk was broken This interrupt informs the system that program page SPI transfer was discontinued somewhere inside the chunk." "0,1" newline bitfld.long 0x10 17. "RX_CRC_DATA_VAL_FLD,RX CRC data valid New RX CRC data was captured from Flash Device" "0,1" newline bitfld.long 0x10 16. "RX_CRC_DATA_ERR_FLD,RX CRC data error CRC data from Flash Device does not correspond to the one dynamically calculated by the controller." "0,1" newline rbitfld.long 0x10 15. "IRQ_STAT_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x10 14. "STIG_REQ_INT_FLD,The controller is ready for getting another STIG request." "0,1" newline bitfld.long 0x10 13. "POLL_EXP_INT_FLD,The maximum number of programmed polls cycles is expired" "0,1" newline bitfld.long 0x10 12. "INDRD_SRAM_FULL_FLD,Indirect Read Partition overflow: Indirect Read Partition of SRAM is full and unable to immediately complete indirect operation" "0,1" newline bitfld.long 0x10 11. "RX_FIFO_FULL_FLD,Small RX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 10. "RX_FIFO_NOT_EMPTY_FLD,Small RX FIFO not empty: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has less than RX THRESHOLD entries 1 : FIFO has >= THRESHOLD entries" "FIFO has less than RX THRESHOLD entries,FIFO has >= THRESHOLD entries" newline bitfld.long 0x10 9. "TX_FIFO_FULL_FLD,Small TX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 8. "TX_FIFO_NOT_FULL_FLD,Small TX FIFO not full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has >= THRESHOLD entries 1 : FIFO has less than THRESHOLD entries" "FIFO has >= THRESHOLD entries,FIFO has less than THRESHOLD entries" newline bitfld.long 0x10 7. "RECV_OVERFLOW_FLD,Receive Overflow: This should only occur in Legacy SPI mode. Set if an attempt is made to push the RX FIFO when it is full. This bit is reset only by a system reset and cleared only when this register is read. If a new push to the RX.." "no overflow has been detected,an overflow has occurred" newline bitfld.long 0x10 6. "INDIRECT_XFER_LEVEL_BREACH_FLD,Indirect Transfer Watermark Level Breached" "0,1" newline bitfld.long 0x10 5. "ILLEGAL_ACCESS_DET_FLD,Illegal AHB access has been detected. AHB wrapping bursts and the use of SPLIT/RETRY accesses will cause this error interrupt to trigger." "0,1" newline bitfld.long 0x10 4. "PROT_WR_ATTEMPT_FLD,Write to protected area was attempted and rejected." "0,1" newline bitfld.long 0x10 3. "INDIRECT_TRANSFER_REJECT_FLD,Indirect operation was requested but could not be accepted. Two indirect operations already in storage." "0,1" newline bitfld.long 0x10 2. "INDIRECT_OP_DONE_FLD,Indirect Operation Complete: Controller has completed last triggered indirect operation" "0,1" newline bitfld.long 0x10 1. "UNDERFLOW_DET_FLD,Underflow Detected: 0 : no underflow has been detected 1 : underflow is detected and an attempt to transfer data is made when the small TX FIFO is empty. This may occur when AHB write data is being supplied too slowly to keep up with.." "no underflow has been detected,underflow is detected and an attempt to transfer.." newline bitfld.long 0x10 0. "MODE_M_FAIL_FLD,Mode M Failure: Mode M failure indicates the voltage on pin n_ss_in is inconsistent with the SPI mode. Set =1 if n_ss_in is low in master mode (multi-master contention). These conditions will clear the spi_enable bit and disable the SPI." "no mode fault has been detected,a mode fault has occurred" line.long 0x14 "IRQ_MASK_REG,Interrupt Mask: 0 : the interrupt for the corresponding interrupt status register bit is disabled. 1 : the interrupt for the corresponding interrupt status register bit is enabled." hexmask.long.word 0x14 21.--31. 1. "IRQ_MASK_RESV_FLD,Reserved" newline bitfld.long 0x14 20. "HYPER_INT_MASK_FLD,HyperFlash interrupt Mask" "0,1" newline bitfld.long 0x14 19. "ECC_FAIL_MASK_FLD,ECC failure Mask" "0,1" newline bitfld.long 0x14 18. "TX_CRC_CHUNK_BRK_MASK_FLD,TX CRC chunk was broken Mask" "0,1" newline bitfld.long 0x14 17. "RX_CRC_DATA_VAL_MASK_FLD,RX CRC data valid Mask" "0,1" newline bitfld.long 0x14 16. "RX_CRC_DATA_ERR_MASK_FLD,RX CRC data error Mask" "0,1" newline rbitfld.long 0x14 15. "IRQ_MASK_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x14 14. "STIG_REQ_MASK_FLD,STIG request completion Mask" "0,1" newline bitfld.long 0x14 13. "POLL_EXP_INT_MASK_FLD,Polling expiration detected Mask" "0,1" newline bitfld.long 0x14 12. "INDRD_SRAM_FULL_MASK_FLD,Indirect Read Partition overflow mask" "0,1" newline bitfld.long 0x14 11. "RX_FIFO_FULL_MASK_FLD,Small RX FIFO full Mask" "0,1" newline bitfld.long 0x14 10. "RX_FIFO_NOT_EMPTY_MASK_FLD,Small RX FIFO not empty Mask" "0,1" newline bitfld.long 0x14 9. "TX_FIFO_FULL_MASK_FLD,Small TX FIFO full Mask" "0,1" newline bitfld.long 0x14 8. "TX_FIFO_NOT_FULL_MASK_FLD,Small TX FIFO not full Mask" "0,1" newline bitfld.long 0x14 7. "RECV_OVERFLOW_MASK_FLD,Receive Overflow Mask" "0,1" newline bitfld.long 0x14 6. "INDIRECT_XFER_LEVEL_BREACH_MASK_FLD,Transfer Watermark Breach Mask" "0,1" newline bitfld.long 0x14 5. "ILLEGAL_ACCESS_DET_MASK_FLD,Illegal Access Detected Mask" "0,1" newline bitfld.long 0x14 4. "PROT_WR_ATTEMPT_MASK_FLD,Protected Area Write Attempt Mask" "0,1" newline bitfld.long 0x14 3. "INDIRECT_TRANSFER_REJECT_MASK_FLD,Indirect Read Reject Mask" "0,1" newline bitfld.long 0x14 2. "INDIRECT_OP_DONE_MASK_FLD,Indirect Complete Mask" "0,1" newline bitfld.long 0x14 1. "UNDERFLOW_DET_MASK_FLD,Underflow Detected Mask" "0,1" newline bitfld.long 0x14 0. "MODE_M_FAIL_MASK_FLD,Mode M Failure Mask" "0,1" group.long 0x50++0xB line.long 0x0 "LOWER_WR_PROT_REG,Lower Write Protection Register" hexmask.long 0x0 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the lower block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x4 "UPPER_WR_PROT_REG,Upper Write Protection Register" hexmask.long 0x4 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the upper block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x8 "WR_PROT_CTRL_REG,Write Protection Control Register" hexmask.long 0x8 2.--31. 1. "WR_PROT_CTRL_RESV_FLD,Reserved" newline bitfld.long 0x8 1. "ENB_FLD,Write Protection Enable Bit: When set to 1 any AHB write access with an address within the protection region defined in the lower and upper write protection registers is rejected. An AHB error response is generated and an interrupt source.." "0,1" newline bitfld.long 0x8 0. "INV_FLD,Write Protection Inversion Bit: When set to 1 the protection region defined in the lower and upper write protection registers is inverted meaning it is the region that the system is permitted to write to. When set to 0 the protection region.." "0,1" group.long 0x60++0x23 line.long 0x0 "INDIRECT_READ_XFER_CTRL_REG,Indirect Read Transfer Control Register" hexmask.long.tbyte 0x0 8.--31. 1. "INDIR_RD_XFER_RESV_FLD,Reserved" newline rbitfld.long 0x0 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x0 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x0 4. "RD_QUEUED_FLD,Two indirect read operations have been queued" "0,1" newline bitfld.long 0x0 3. "SRAM_FULL_FLD,SRAM Full: SRAM full and unable to immediately complete an indirect operation. Write a 1 to this field to clear it.\'; indirect operation (status)" "0,1" newline rbitfld.long 0x0 2. "RD_STATUS_FLD,Indirect Read Status: Indirect read operation in progress (status)" "0,1" newline bitfld.long 0x0 1. "CANCEL_FLD,Cancel Indirect Read: Writing a 1 to this bit will cancel all ongoing indirect read operations." "0,1" newline bitfld.long 0x0 0. "START_FLD,Start Indirect Read: Writing a 1 to this bit will trigger an indirect read operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect read operation." "0,1" line.long 0x4 "INDIRECT_READ_XFER_WATERMARK_REG,Indirect Read Transfer Watermark Register" hexmask.long 0x4 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the minimum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level passes the watermark an interrupt is also generated. This field can be disabled by writing a value of all.." line.long 0x8 "INDIRECT_READ_XFER_START_REG,Indirect Read Transfer Start Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,This is the start address from which the indirect access will commence its READ operation." line.long 0xC "INDIRECT_READ_XFER_NUM_BYTES_REG,Indirect Read Transfer Number Bytes Register" hexmask.long 0xC 0.--31. 1. "VALUE_FLD,This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x10 "INDIRECT_WRITE_XFER_CTRL_REG,Indirect Write Transfer Control Register" hexmask.long.tbyte 0x10 8.--31. 1. "INDIR_WR_XFER_RESV2_FLD,Reserved" newline rbitfld.long 0x10 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x10 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x10 4. "WR_QUEUED_FLD,Two indirect write operations have been queued" "0,1" newline rbitfld.long 0x10 3. "INDIR_WR_XFER_RESV1_FLD,Reserved" "0,1" newline rbitfld.long 0x10 2. "WR_STATUS_FLD,Indirect Write Status: Indirect write operation in progress (status)" "0,1" newline bitfld.long 0x10 1. "CANCEL_FLD,Cancel Indirect Write: Writing a 1 to this bit will cancel all ongoing indirect write operations." "0,1" newline bitfld.long 0x10 0. "START_FLD,Start Indirect Write: Writing a 1 to this bit will trigger an indirect write operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect write operation." "0,1" line.long 0x14 "INDIRECT_WRITE_XFER_WATERMARK_REG,Indirect Write Transfer Watermark Register" hexmask.long 0x14 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the maximum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level falls below the watermark an interrupt is also generated. This field can be disabled by writing a value.." line.long 0x18 "INDIRECT_WRITE_XFER_START_REG,Indirect Write Transfer Start Address Register" hexmask.long 0x18 0.--31. 1. "ADDR_FLD,Start of Indirect Access: This is the start address from which the indirect access will commence its READ operation." line.long 0x1C "INDIRECT_WRITE_XFER_NUM_BYTES_REG,Indirect Write Transfer Number Bytes Register" hexmask.long 0x1C 0.--31. 1. "VALUE_FLD,Indirect Number of Bytes: This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x20 "INDIRECT_TRIGGER_ADDR_RANGE_REG,Indirect Trigger Address Range Register" hexmask.long 0x20 4.--31. 1. "IND_RANGE_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "IND_RANGE_WIDTH_FLD,This is the address offset of Indirect Trigger Address Register." group.long 0x8C++0xB line.long 0x0 "FLASH_COMMAND_CTRL_MEM_REG,Flash Command Control Memory Register" rbitfld.long 0x0 29.--31. "FLASH_COMMAND_CTRL_MEM_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 20.--28. 1. "MEM_BANK_ADDR_FLD,The address of the Memory Bank which data will be read from." newline rbitfld.long 0x0 19. "FLASH_COMMAND_CTRL_MEM_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 16.--18. "NB_OF_STIG_READ_BYTES_FLD,It defines the number of read bytes for the extended STIG." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "MEM_BANK_READ_DATA_FLD,Last requested data from the STIG Memory Bank." newline hexmask.long.byte 0x0 2.--7. 1. "FLASH_COMMAND_CTRL_MEM_RESV3_FLD,Reserved" newline rbitfld.long 0x0 1. "MEM_BANK_REQ_IN_PROGRESS_FLD,Memory Bank data request in progress." "0,1" newline bitfld.long 0x0 0. "TRIGGER_MEM_BANK_REQ_FLD,Trigger the Memory Bank data request." "0,1" line.long 0x4 "FLASH_CMD_CTRL_REG,Flash Command Control Register" hexmask.long.byte 0x4 24.--31. 1. "CMD_OPCODE_FLD,Command Opcode: The command opcode field should be setup before triggering the command. For example 0x20 maps to SubSector Erase. Writing to the execute field (bit 0) of this register launches the command. NOTE : Using this approach to.." newline bitfld.long 0x4 23. "ENB_READ_DATA_FLD,Read Data Enable: Set to 1 if the command specified in the command opcode field (bits 31:24) requires read data bytes to be received from the device." "0,1" newline bitfld.long 0x4 20.--22. "NUM_RD_DATA_BYTES_FLD,Number of Read Data Bytes: Up to 8 data bytes may be read using this command. Set to 0 for 1 byte and 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 19. "ENB_COMD_ADDR_FLD,Command Address Enable: Set to 1 if the command specified in bits 31:24 requires an address. This should be setup before triggering the command via writing a 1 to the execute field." "0,1" newline bitfld.long 0x4 18. "ENB_MODE_BIT_FLD,Mode Bit Enable: Set to 1 to ensure the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline bitfld.long 0x4 16.--17. "NUM_ADDR_BYTES_FLD,Number of Address Bytes: Set to the number of address bytes required (the address itself is programmed in the FLASH COMMAND ADDRESS REGISTERS). This should be setup before triggering the command via bit 0 of this register. 2'b00 : 1.." "0,1,2,3" newline bitfld.long 0x4 15. "ENB_WRITE_DATA_FLD,Write Data Enable: Set to 1 if the command specified in the command opcode field requires write data bytes to be sent to the device." "0,1" newline bitfld.long 0x4 12.--14. "NUM_WR_DATA_BYTES_FLD,Number of Write Data Bytes: Up to 8 Data bytes may be written using this command Set to 0 for 1 byte 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 7.--11. 1. "NUM_DUMMY_CYCLES_FLD,Number of Dummy cycles: Set to the number of dummy cycles required. This should be setup before triggering the command via the execute field of this register." newline hexmask.long.byte 0x4 3.--6. 1. "FLASH_CMD_CTRL_RESV1_FLD,Reserved" newline bitfld.long 0x4 2. "STIG_MEM_BANK_EN_FLD,STIG Memory Bank enable bit." "0,1" newline rbitfld.long 0x4 1. "CMD_EXEC_STATUS_FLD,Command execution in progress." "0,1" newline bitfld.long 0x4 0. "CMD_EXEC_FLD,Execute the command." "0,1" line.long 0x8 "FLASH_CMD_ADDR_REG,Flash Command Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,Command Address: This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the address used by the command specified in the opcode field (bits 31:24) of the Flash Command Control.." rgroup.long 0xA0++0x7 line.long 0x0 "FLASH_RD_DATA_LOWER_REG,Flash Command Read Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,This is the data that is returned by the flash device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." line.long 0x4 "FLASH_RD_DATA_UPPER_REG,Flash Command Read Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,This is the data that is returned by the FLASH device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." group.long 0xA8++0x13 line.long 0x0 "FLASH_WR_DATA_LOWER_REG,Flash Command Write Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,Command Write Data Lower Byte: This is the command write data lower byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x4 "FLASH_WR_DATA_UPPER_REG,Flash Command Write Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,Command Write Data Upper Byte: This is the command write data upper byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x8 "POLLING_FLASH_STATUS_REG,Polling Flash Status Register" hexmask.long.word 0x8 21.--31. 1. "DEVICE_STATUS_RSVD_FLD2,Reserved" newline hexmask.long.byte 0x8 16.--20. 1. "DEVICE_STATUS_NB_DUMMY,Number of dummy cycles for auto-polling" newline hexmask.long.byte 0x8 9.--15. 1. "DEVICE_STATUS_RSVD_FLD1,Reserved" newline rbitfld.long 0x8 8. "DEVICE_STATUS_VALID_FLD,Device Status Valid: This should be set when value in bits from 7 to 0 is valid." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "DEVICE_STATUS_FLD,Defines actual Status Register of Device" line.long 0xC "PHY_CONFIGURATION_REG,PHY Configuration Register" bitfld.long 0xC 31. "PHY_CONFIG_RESYNC_FLD,This bit is used for re-synchronisation delay lines to update them with values from TX DLL Delay and RX DLL Delay fields." "0,1" newline bitfld.long 0xC 30. "PHY_CONFIG_RESET_FLD,DLL Reset bit: This bit is used for reset of Delay Lines by software." "0,1" newline bitfld.long 0xC 29. "PHY_CONFIG_RX_DLL_BYPASS_FLD,RX DLL Bypass: This field determines id RX DLL is bypassed." "0,1" newline hexmask.long.byte 0xC 23.--28. 1. "PHY_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0xC 16.--22. 1. "PHY_CONFIG_TX_DLL_DELAY_FLD,TX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and spi_clk." newline hexmask.long.word 0xC 7.--15. 1. "PHY_CONFIG_RESV1_FLD,Reserved" newline hexmask.long.byte 0xC 0.--6. 1. "PHY_CONFIG_RX_DLL_DELAY_FLD,RX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and rx_dll_clk." line.long 0x10 "PHY_MASTER_CONTROL_REG,PHY DLL Master Control Register" hexmask.long.byte 0x10 25.--31. 1. "PHY_MASTER_CONTROL_RESV3_FLD,Reserved" newline bitfld.long 0x10 24. "PHY_MASTER_LOCK_MODE_FLD,Determines if the master delay line locks on a full cycle or half cycle of delay." "0,1" newline bitfld.long 0x10 23. "PHY_MASTER_BYPASS_MODE_FLD,Controls the bypass mode of the master and slave DLLs." "0,1" newline bitfld.long 0x10 20.--22. "PHY_MASTER_PHASE_DETECT_SELECTOR_FLD,Selects the number of delay elements to be inserted between the phase detect flip-flops." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 19. "PHY_MASTER_CONTROL_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x10 16.--18. "PHY_MASTER_NB_INDICATIONS_FLD,Holds the number of consecutive increment or decrement indications." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 7.--15. 1. "PHY_MASTER_CONTROL_RESV1_FLD,Reserved" newline hexmask.long.byte 0x10 0.--6. 1. "PHY_MASTER_INITIAL_DELAY_FLD,This value is the initial delay value for the DLL." rgroup.long 0xBC++0x7 line.long 0x0 "DLL_OBSERVABLE_LOWER_REG,DLL Observable Register Lower" hexmask.long.byte 0x0 24.--31. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_INC_FLD,Holds the state of the cumulative dll_lock_inc register." newline hexmask.long.byte 0x0 16.--23. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_DEC_FLD,Holds the state of the cumulative dll_lock_dec register." newline bitfld.long 0x0 15. "DLL_OBSERVABLE_LOWER_LOOPBACK_LOCK_FLD,This bit indicates that lock of loopback is done." "0,1" newline hexmask.long.byte 0x0 8.--14. 1. "DLL_OBSERVABLE_LOWER_LOCK_VALUE_FLD,Reports the DLL encoder value from the master DLL to the slave DLLs." newline hexmask.long.byte 0x0 3.--7. 1. "DLL_OBSERVABLE_LOWER_UNLOCK_COUNTER_FLD,Reports the number of increments or decrements required for the master DLL to complete the locking process." newline bitfld.long 0x0 1.--2. "DLL_OBSERVABLE_LOWER_LOCK_MODE_FLD,Defines the mode in which the DLL has achieved the lock." "0,1,2,3" newline bitfld.long 0x0 0. "DLL_OBSERVABLE_LOWER_DLL_LOCK_FLD,Indicates status of DLL." "0,1" line.long 0x4 "DLL_OBSERVABLE_UPPER_REG,DLL Observable Register Upper" hexmask.long.word 0x4 23.--31. 1. "DLL_OBSERVABLE_UPPER_RESV2_FLD,Reserved" newline hexmask.long.byte 0x4 16.--22. 1. "DLL_OBSERVABLE_UPPER_TX_DECODER_OUTPUT_FLD,Holds the encoded value for the TX delay line for this slice." newline hexmask.long.word 0x4 7.--15. 1. "DLL_OBSERVABLE_UPPER_RESV1_FLD,Reserved" newline hexmask.long.byte 0x4 0.--6. 1. "DLL_OBSERVABLE__UPPER_RX_DECODER_OUTPUT_FLD,Holds the encoded value for the RX delay line for this slice." group.long 0xE0++0x7 line.long 0x0 "OPCODE_EXT_LOWER_REG,Opcode Extension Register (Lower)" hexmask.long.byte 0x0 24.--31. 1. "EXT_READ_OPCODE_FLD,Supplement byte of any Read Opcode" newline hexmask.long.byte 0x0 16.--23. 1. "EXT_WRITE_OPCODE_FLD,Supplement byte of any Write Opcode" newline hexmask.long.byte 0x0 8.--15. 1. "EXT_POLL_OPCODE_FLD,Supplement byte of any Polling Opcode" newline hexmask.long.byte 0x0 0.--7. 1. "EXT_STIG_OPCODE_FLD,Supplement byte of any STIG Opcode" line.long 0x4 "OPCODE_EXT_UPPER_REG,Opcode Extension Register (Upper)" hexmask.long.byte 0x4 24.--31. 1. "WEL_OPCODE_FLD,First byte of any WEL Opcode" newline hexmask.long.byte 0x4 16.--23. 1. "EXT_WEL_OPCODE_FLD,Supplement byte of any WEL Opcode" newline hexmask.long.word 0x4 0.--15. 1. "OPCODE_EXT_UPPER_RESV1_FLD,Reserved" group.long 0xF0++0x3 line.long 0x0 "CUSTOM_CONF_REG,Custom Configuration Register" hexmask.long 0x0 5.--31. 1. "CUSTOM_CONF_RESV_FLD2,Reserved" newline bitfld.long 0x0 4. "PIPE_SMRT_ACC_FLD,PHY POP delay functionality" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "CUSTOM_CONF_RESV_FLD1,Reserved" rgroup.long 0xFC++0x3 line.long 0x0 "MODULE_ID_REG,Module ID Register" hexmask.long.byte 0x0 24.--31. 1. "FIX_PATCH_FLD,Fix/path number related to revision described by 3 LSBs of this register" newline hexmask.long.word 0x0 8.--23. 1. "MODULE_ID_FLD,Module/Revision ID number" newline hexmask.long.byte 0x0 3.--7. 1. "MODULE_ID_RESV_FLD,Reserved" newline bitfld.long 0x0 2. "HYPER_SUPPORT_FLD,HyperFlash Support" "0,1" newline bitfld.long 0x0 0.--1. "CONF_FLD,Configuration ID number: 0 : OCTAL + PHY Configuration 1 : OCTAL Configuration 2 : QUAD + PHY Configuration 3 : QUAD Configuration" "OCTAL + PHY Configuration,OCTAL Configuration,QUAD + PHY Configuration,QUAD Configuration" group.long 0x100++0x3 line.long 0x0 "HYPERFLASH_CONFIG_REG,HyperFlash Configuration Register" rbitfld.long 0x0 31. "HYPERFLASH_CONFIG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 30. "TARGET_HYPERFLASH_STIG_FLD,Identifies the target of final STIG HyperFlash transaction as follows: 1'b0 = Memory Space 1'b1 = Register Space" "Memory Space 1'b1 = Register Space,?" newline bitfld.long 0x0 29. "BURST_TYPE_HYPERFLASH_STIG_FLD,Identifies the burst type of final STIG HyperFlash transaction" "0,1" newline hexmask.long.word 0x0 20.--28. 1. "HYPERFLASH_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0x0 16.--19. 1. "HYPERFLASH_LAT_CODE_FLD,Identifies the latency code for HyperFlash" newline hexmask.long.word 0x0 1.--15. 1. "HYPERFLASH_CONFIG_RESV1_FLD,Reserved" newline bitfld.long 0x0 0. "HYPERFLASH_ENABLE_FLD,HyperFlash Mode enable bit" "0,1" group.long 0x110++0x3 line.long 0x0 "OSPI_MISC0,Misc configuration Register #0" newline bitfld.long 0x0 5. "CTL3,sample clocl polarity control for sclk_dlyd_i 0x1 - Invert sample clock sclk_dlyd_i 0x0 - sample clock sclk_dlyd_i not inverted" "sample clock sclk_dlyd_i not inverted,Invert sample clock sclk_dlyd_i" newline bitfld.long 0x0 4. "CTL2,DQS pad output enable When CTL0 is set 0x2 this bit should be enable to enable loopback path from internal sclk_out to DQS pad." "0,1" newline bitfld.long 0x0 2.--3. "CTL1,SCLK output logic control. 0x0 - orginal logic without any delay (Delay added by TX DLL) 0x1 - original logic (sclk_out) with dleay 1/4 cycle of SCLK (by ref_clk_2x fall edge) 0x2 - original logic (sclk_out) with delay 1/2 cycle of SCLk (by.." "orginal logic without any delay,original logic,original logic,original logic" newline bitfld.long 0x0 0.--1. "CTL0,loopback SCLK input logic control (sclk_dlyd). 0x0 - loopback from SCLK pad 0x1 - loopback from internal (sclk_out with delay selected by OSPI_MISC0.CTL1) 0x2 - loopback from DQS pad 0x3 - loopback from ref_clk_2x (for DFT test only)." "loopback from SCLK pad,loopback from internal,loopback from DQS pad,loopback from ref_clk_2x" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.long ($2+0x114)++0x3 line.long 0x0 "OSPI_MISC$1,Misc Configuration Register #1" hexmask.long 0x0 0.--31. 1. "CTL,Reserved for future" repeat.end group.long 0x200++0x3 line.long 0x0 "HYPERFLASH_STIG_CONFIG_REG,HyperFlash STIG Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_STIG_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of STIG HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_STIG_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_STIG_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_STIG_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_STIG_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_STIG_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_STIG_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_STIG_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_STIG_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_STIG_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_STIG_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_STIG_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_STIG_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_STIG_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 1" "0,1" group.long 0x210++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_REG,HyperFlash STIG Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 1" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN1_DATA_REG,HyperFlash STIG Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 1" group.long 0x220++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_REG,HyperFlash STIG Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 2" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN2_DATA_REG,HyperFlash STIG Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 2" group.long 0x230++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_REG,HyperFlash STIG Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 3" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN3_DATA_REG,HyperFlash STIG Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 3" group.long 0x240++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_REG,HyperFlash STIG Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 4" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN4_DATA_REG,HyperFlash STIG Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 4" group.long 0x250++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_REG,HyperFlash STIG Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 5" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN5_DATA_REG,HyperFlash STIG Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 5" group.long 0x260++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_REG,HyperFlash STIG Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 6" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN6_DATA_REG,HyperFlash STIG Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 6" group.long 0x270++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_REG,HyperFlash STIG Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 7" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN7_DATA_REG,HyperFlash STIG Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 7" group.long 0x300++0x3 line.long 0x0 "HYPERFLASH_WRITE_CONFIG_REG,HyperFlash Write Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_WRITE_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Write HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_WRITE_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_WRITE_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_WRITE_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_WRITE_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_WRITE_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_WRITE_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_WRITE_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_WRITE_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_WRITE_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_WRITE_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_WRITE_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_WRITE_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 1" "0,1" group.long 0x310++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_REG,HyperFlash Write Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 1" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN1_DATA_REG,HyperFlash Write Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 1" group.long 0x320++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_REG,HyperFlash Write Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 2" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN2_DATA_REG,HyperFlash Write Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 2" group.long 0x330++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_REG,HyperFlash Write Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 3" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN3_DATA_REG,HyperFlash Write Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 3" group.long 0x340++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_REG,HyperFlash Write Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 4" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN4_DATA_REG,HyperFlash Write Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 4" group.long 0x350++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_REG,HyperFlash Write Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 5" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN5_DATA_REG,HyperFlash Write Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 5" group.long 0x360++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_REG,HyperFlash Write Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 6" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN6_DATA_REG,HyperFlash Write Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 6" group.long 0x370++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_REG,HyperFlash Write Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 7" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN7_DATA_REG,HyperFlash Write Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 7" group.long 0x400++0x3 line.long 0x0 "HYPERFLASH_READ_CONFIG_REG,HyperFlash Read Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_READ_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_READ_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Read HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_READ_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_READ_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_READ_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_READ_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_READ_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_READ_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_READ_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_READ_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_READ_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_READ_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_READ_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_READ_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_READ_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_READ_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 1" "0,1" group.long 0x410++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_REG,HyperFlash Read Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 1" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN1_DATA_REG,HyperFlash Read Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 1" group.long 0x420++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_REG,HyperFlash Read Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 2" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN2_DATA_REG,HyperFlash Read Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 2" group.long 0x430++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_REG,HyperFlash Read Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 3" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN3_DATA_REG,HyperFlash Read Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 3" group.long 0x440++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_REG,HyperFlash Read Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 4" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN4_DATA_REG,HyperFlash Read Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 4" group.long 0x450++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_REG,HyperFlash Read Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 5" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN5_DATA_REG,HyperFlash Read Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 5" group.long 0x460++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_REG,HyperFlash Read Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 6" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN6_DATA_REG,HyperFlash Read Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 6" group.long 0x470++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_REG,HyperFlash Read Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 7" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN7_DATA_REG,HyperFlash Read Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 7" group.long 0x500++0x3 line.long 0x0 "HYPERFLASH_POLLING_CONFIG_REG,HyperFlash Polling Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_POLLING_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Polling HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_POLLING_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_POLLING_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_POLLING_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_POLLING_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_POLLING_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_POLLING_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_POLLING_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_POLLING_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_POLLING_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_POLLING_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_POLLING_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_POLLING_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 1" "0,1" group.long 0x510++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_REG,HyperFlash Polling Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 1" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN1_DATA_REG,HyperFlash Polling Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 1" group.long 0x520++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_REG,HyperFlash Polling Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 2" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN2_DATA_REG,HyperFlash Polling Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 2" group.long 0x530++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_REG,HyperFlash Polling Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 3" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN3_DATA_REG,HyperFlash Polling Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 3" group.long 0x540++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_REG,HyperFlash Polling Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 4" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN4_DATA_REG,HyperFlash Polling Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 4" group.long 0x550++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_REG,HyperFlash Polling Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 5" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN5_DATA_REG,HyperFlash Polling Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 5" group.long 0x560++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_REG,HyperFlash Polling Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 6" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN6_DATA_REG,HyperFlash Polling Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 6" group.long 0x570++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_REG,HyperFlash Polling Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 7" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN7_DATA_REG,HyperFlash Polling Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 7" group.long 0xA00++0x13 line.long 0x0 "ASF_INT_STATUS_REG,ASF Interrupt Status Register" hexmask.long 0x0 7.--31. 1. "ASF_INT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x0 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x0 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x0 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x0 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x0 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x0 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x0 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x4 "ASF_INT_RAW_STATUS_REG,ASF Interrupt Raw Status Register" hexmask.long 0x4 7.--31. 1. "ASF_INT_RAW_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x4 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x4 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x4 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x4 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x4 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x4 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x8 "ASF_INT_MASK_REG,The ASF interrupt mask register indicating which interrupt bits in the ASF Interrupt Status Register are masked" hexmask.long 0x8 7.--31. 1. "ASF_INT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x8 6. "ASF_INTEGRITY_ERR_MASK_FLD,Mask bit for integrity error interrupt" "0,1" newline bitfld.long 0x8 5. "ASF_PROTOCOL_ERR_MASK_FLD,Mask bit for protocol error interrupt" "0,1" newline bitfld.long 0x8 4. "ASF_TRANS_TO_ERR_MASK_FLD,Mask bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0x8 3. "ASF_CSR_ERR_MASK_FLD,Mask bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0x8 2. "ASF_DAP_ERR_MASK_FLD,Mask bit for data and address paths error interrupt" "0,1" newline bitfld.long 0x8 1. "ASF_SRAM_UNCORR_ERR_MASK_FLD,Mask bit for SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x8 0. "ASF_SRAM_CORR_ERR_MASK_FLD,Mask bit for SRAM correctable error interrupt" "0,1" line.long 0xC "ASF_INT_TEST_REG,The ASF interrupt test register emulate hardware even" hexmask.long 0xC 7.--31. 1. "ASF_INT_TEST_RESV1_FLD,Reserved" newline bitfld.long 0xC 6. "ASF_INTEGRITY_ERR_TEST_FLD,Test bit for integrity error interrupt" "0,1" newline bitfld.long 0xC 5. "ASF_PROTOCOL_ERR_TEST_FLD,Test bit for protocol error interrupt" "0,1" newline bitfld.long 0xC 4. "ASF_TRANS_TO_ERR_TEST_FLD,Test bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0xC 3. "ASF_CSR_ERR_TEST_FLD,Test bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0xC 2. "ASF_DAP_ERR_TEST_FLD,Test bit for data and address paths error interrupt" "0,1" newline bitfld.long 0xC 1. "ASF_SRAM_UNCORR_ERR_TEST_FLD,Test bit form SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0xC 0. "ASF_SRAM_CORR_ERR_TEST_FLD,Test bit for SRAM correctable error interupt" "0,1" line.long 0x10 "ASF_FATAL_NONFATAL_SELECT_REG,The fatal or non-fatal interrupt registers selects whether a fatal or non-fatal interrupt is triggered." hexmask.long 0x10 7.--31. 1. "ASF_FATAL_NONFATAL_SELECT_RESV1_FLD,Reserved" newline bitfld.long 0x10 6. "ASF_INTEGRITY_ERR_SEL_FLD,Enable integrity interrupt as fatal" "0,1" newline bitfld.long 0x10 5. "ASF_PROTOCOL_ERR_SEL_FLD,Enable protocol interrupt as fatal" "0,1" newline bitfld.long 0x10 4. "ASF_TRANS_TO_ERR_SEL_FLD,Enable transaction timeouts interrupt as fatal" "0,1" newline bitfld.long 0x10 3. "ASF_CSR_ERR_SEL_FLD,Enable configuration and status registers interrupts as fatal" "0,1" newline bitfld.long 0x10 2. "ASF_DAP_ERR_SEL_FLD,Enable data and address paths interrupt as fatal" "0,1" newline bitfld.long 0x10 1. "ASF_SRAM_UNCORR_ERR_SEL_FLD,Enable SRAM uncorrectable interrupt as fatal" "0,1" newline bitfld.long 0x10 0. "ASF_SRAM_CORR_ERR_SEL_FLD,Enable SRAM correctable interrupt as fatal" "0,1" rgroup.long 0xA20++0x7 line.long 0x0 "ASF_SRAM_CORR_FAULT_STATUS_REG,Status register for SRAM correctable fault' field{ desc = 'Last SRAM address that generated fault" line.long 0x4 "ASF_SRAM_UNCORR_FAULT_STATUS_REG,Status register for SRAM uncorrectable fault" hexmask.long.word 0x4 16.--31. 1. "ASF_SRAM_UNCORR_FAULT_STATUS_RESV1_FLD,Reserved" newline hexmask.long.word 0x4 0.--15. 1. "ASF_SRAM_UNCORR_FAULT_ADDR_FLD,Last SRAM address that generated" group.long 0xA28++0x3 line.long 0x0 "ASF_SRAM_FAULT_STATS_REG,Statics register for SRAM faults" hexmask.long.word 0x0 16.--31. 1. "ASF_SRAM_FAULT_UNCORR_STATS_FLS,Count of number of uncorrectable errors" newline hexmask.long.word 0x0 0.--15. 1. "ASF_SRAM_FAULT_CORR_STATS_FLD,Count of number of correctable errors" group.long 0xA30++0xB line.long 0x0 "ASF_TRANS_TO_CTRL_REG,Control register to configure the ASF transaction timeout monitors" bitfld.long 0x0 31. "ASF_TRANS_TO_EN_FLD,Enable transaction timeout monitoring" "0,1" newline hexmask.long.word 0x0 16.--30. 1. "ASF_TRANS_TO_CTRL_RESV1_FLD,Reserved" newline hexmask.long.word 0x0 0.--15. 1. "ASF_TRANS_TO_CTRL_FLD,Time value to use for transaction timeout monitor" line.long 0x4 "ASF_TRANS_TO_FAULT_MASK_REG,Control Register to mask out ASF transaction timeout faults from triggering interrupts" hexmask.long 0x4 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 0. "ASF_TRANS_TO_FAULT_MASK_FLD,Mask for AHB ASF transaction timeout fault source" "0,1" line.long 0x8 "ASF_TRANS_TO_FAULT_STATUS_REG,Status register for transaction timeouts fault" hexmask.long 0x8 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x8 0. "ASF_TRANS,Status bit for transaction timeouts faults" "0,1" group.long 0xA40++0x7 line.long 0x0 "ASF_PROTOCOL_FAULT_MASK_REG,Control register to mask out ASF Protocol faults from triggering interrupts" hexmask.long.word 0x0 19.--31. 1. "ASF_PROTOCOL_FAULT_MASK_RESV2_FLD,Reserved" newline bitfld.long 0x0 18. "ASF_PROTOCOL_FAULT_MASK_FLASH_CFG_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 17. "ASF_PROTOCOL_FAULD_MASK_CS_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 16. "ASF_PROTOCOL_FAULT_MASK_TRI_FLD,Mask bit for Tri state enable fault" "0,1" newline hexmask.long.word 0x0 4.--15. 1. "ASF_PROTOCOL_FAULT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x0 3. "ASF_PROTOCOL_FAULT_MASK_ECC_FLD,Mask bit for ECC failure" "0,1" newline bitfld.long 0x0 2. "ASF_PROTOCOL_MASK_TX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 1. "ASF_PROTOCOL_FAULT_MASK_RX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 0. "ASF_PROTOCOL_FAULT_MASK_POLL_FLD,Mask bit for Polling expiration error" "0,1" line.long 0x4 "ASF_PROTOCOL_FAULT_STATUS_REG,Status register for protocol faults" hexmask.long.word 0x4 19.--31. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV2_FLD,Reserved" newline bitfld.long 0x4 18. "ASF_PROTOCOL_FAULT_STATUS_FLASH_CFG_FLD,Flash Configuration failure" "0,1" newline bitfld.long 0x4 17. "ASF_PROTOCOL_FAULT_STATUS_CS_FLD,#CS fault" "0,1" newline bitfld.long 0x4 16. "ASF_PROTOCOL_FAULT_STATUS_TRI_FLD,Tri state enable fault" "0,1" newline hexmask.long.word 0x4 4.--15. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 3. "ASF_PROTOCOL_FAULT_STATUS_ECC,ECC failure" "0,1" newline bitfld.long 0x4 2. "ASF_PROTOCOL_FAULT_STATUS_TX_CRC,TX CRC chunk was broken" "0,1" newline bitfld.long 0x4 1. "ASF_PROTOCOL_FAULT_STATUS_RX_CRC_FLD,RX CRC data error" "0,1" newline bitfld.long 0x4 0. "ASF_PROTOCOL_FAULT_STATUS_POLL_FLD,Polling expiration error" "0,1" tree.end elif (CORENAME()=="CORTEXA55") tree "OSPI1" base ad:0x30020000 group.long 0x0++0x2B line.long 0x0 "CONFIG_REG,Octal-SPI Configuration Register" rbitfld.long 0x0 31. "IDLE_FLD,Serial interface and low level SPI pipeline is IDLE: This is a STATUS read-only bit. Note this is a retimed signal so there will be some inherent delay on the generation of this status signal." "0,1" newline bitfld.long 0x0 30. "DUAL_BYTE_OPCODE_EN_FLD,Dual-byte Opcode Mode enable bit This bit is to be set in case the target Flash Device supports dual byte opcode (i.e. Macronix MX25). It is applicable for Octal I/O Mode or Protocol only so should be set back to low if the device.." "0,1" newline bitfld.long 0x0 29. "CRC_ENABLE_FLD,CRC enable bit This bit is to be set in case the target Flash Device supports CRC (Macronix MX25). It is applicable for Octal DDR Protocol only so should be set back to low if the device is configured to work in another SPI Mode." "0,1" newline bitfld.long 0x0 28. "FLIPPER_ENABLE_FLD,Flip enable bit This bit is to be set in case the target Flash Device supports data slices swapped in order of D1 D0 D3 D2 etc. It is applicable for DTR-OPI Mode of Macronix MX25 devices." "0,1" newline rbitfld.long 0x0 26.--27. "CONFIG_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x0 25. "PIPELINE_PHY_FLD,Pipeline PHY Mode enable: This bit is relevant only for configuration with PHY Module. It should be asserted to '1' between consecutive PHY pipeline reads transfers and de-asserted to '0' otherwise." "0,1" newline bitfld.long 0x0 24. "ENABLE_DTR_PROTOCOL_FLD,Enable DTR Protocol: This bit should be set if device is configured to work in DTR protocol." "0,1" newline bitfld.long 0x0 23. "ENABLE_AHB_DECODER_FLD,Enable AHB Decoder: Value=0 : Active slave is selected based on Peripheral Chip Select Lines (bits [13:10]). Value=1 Active slave is selected based on actual AHB address (the partition for each device is calculated with respect to.." "Active slave is selected based on Peripheral..,?" newline hexmask.long.byte 0x0 19.--22. 1. "MSTR_BAUD_DIV_FLD,Master Mode Baud Rate Divisor: SPI baud rate = (master reference clock) baud_rate_divisor" newline bitfld.long 0x0 18. "ENTER_XIP_MODE_IMM_FLD,Enter XIP Mode immediately: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : Operate the device in XIP mode immediately Use this register when the.." "If XIP is enabled,Operate the device in XIP mode immediately Use.." newline bitfld.long 0x0 17. "ENTER_XIP_MODE_FLD,Enter XIP Mode on next READ: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : If XIP is disabled then setting to ?1? will inform the controller that the.." "If XIP is enabled,If XIP is disabled" newline bitfld.long 0x0 16. "ENB_AHB_ADDR_REMAP_FLD,Enable AHB Address Re-mapping: (Direct Access Mode Only) When set to 1 the incoming AHB address will be adapted and sent to the FLASH device as (address + N) where N is the value stored in the remap address register." "0,1" newline bitfld.long 0x0 15. "ENB_DMA_IF_FLD,Enable DMA Peripheral Interface: Set to 1 to enable the DMA handshaking logic. When enabled the controller will trigger DMA transfer requests via the DMA peripheral interface. Set to 0 to disable" "0,1" newline bitfld.long 0x0 14. "WR_PROT_FLASH_FLD,Write Protect Flash Pin: Set to drive the Write Protect pin of the FLASH device. This is resynchronized to the generated memory clock as necessary." "0,1" newline hexmask.long.byte 0x0 10.--13. 1. "PERIPH_CS_LINES_FLD,Peripheral Chip Select Lines: Peripheral chip select lines If pdec = 0 ss[3:0] are output thus: ss[3:0] n_ss_out[3:0] xxx0 1110 xx01 1101 x011 1011 0111 0111 1111 1111 (no peripheral selected) else ss[3:0] directly drives n_ss_out[3:0]" newline bitfld.long 0x0 9. "PERIPH_SEL_DEC_FLD,Peripheral select decode: 0 : only 1 of 4 selects n_ss_out[3:0] is active 1 : allow external 4-to-16 decode (n_ss_out = ss)" "only 1 of 4 selects n_ss_out[3:0] is active,allow external 4-to-16 decode" newline bitfld.long 0x0 8. "ENB_LEGACY_IP_MODE_FLD,Legacy IP Mode Enable: 0 : Use Direct Access Controller/Indirect Access Controller 1 : legacy Mode is enabled. In this mode any write to the controller via the AHB interface is serialized and sent to the FLASH device. Any valid.." "Use Direct Access Controller/Indirect Access..,legacy Mode is enabled" newline bitfld.long 0x0 7. "ENB_DIR_ACC_CTLR_FLD,Enable Direct Access Controller: 0 : disable the Direct Access Controller once current transfer of the data word (FF_W) is complete. 1 : enable the Direct Access Controller When the Direct Access Controller and Indirect Access.." "disable the Direct Access Controller once..,enable the Direct Access Controller When the.." newline bitfld.long 0x0 6. "RESET_CFG_FLD,RESET pin configuration: 0 = RESET feature on DQ3 pin of the device 1 = RESET feature on dedicated pin of the device (controlling of 5th bit influences on reset_out output)" "RESET feature on DQ3 pin of the device,RESET feature on dedicated pin of the device" newline bitfld.long 0x0 5. "RESET_PIN_FLD,Set to drive the RESET pin of the FLASH device and reset for de-activation of the RESET pin feature" "0,1" newline bitfld.long 0x0 4. "HOLD_PIN_FLD,Set to drive the HOLD pin of the FLASH device and reset for de-activation of the HOLD pin feature" "0,1" newline bitfld.long 0x0 3. "PHY_MODE_ENABLE_FLD,PHY mode enable: When enabled the controller is informed that PHY Module is to be used for handling SPI transfers. This bit is relevant only for configuration with PHY Module. Note: - When changing this bit field software should.." "0,1" newline bitfld.long 0x0 2. "SEL_CLK_PHASE_FLD,Select Clock Phase: Selects whether the clock is in an active or inactive phase outside the SPI word. 0 : the SPI clock is active outside the word 1 : the SPI clock is inactive outside the word" "the SPI clock is active outside the word,the SPI clock is inactive outside the word" newline bitfld.long 0x0 1. "SEL_CLK_POL_FLD,Clock polarity outside SPI word: 0 : the SPI clock is quiescent low 1 : the SPI clock is quiescent high" "the SPI clock is quiescent low,the SPI clock is quiescent high" newline bitfld.long 0x0 0. "ENB_SPI_FLD,Octal-SPI Enable: 0 : disable the Octal-SPI once current transfer of the data word (FF_W) is complete. 1 : enable the Octal-SPI when spi_enable = 0 all output enables are inactive and all pins are set to input mode." "disable the Octal-SPI,enable the Octal-SPI" line.long 0x4 "DEV_INSTR_RD_CONFIG_REG,Device Read Instruction Configuration Register" rbitfld.long 0x4 29.--31. "RD_INSTR_RESV5_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 24.--28. 1. "DUMMY_RD_CLK_CYCLES_FLD,Dummy Read Clock Cycles: Number of dummy clock cycles required by device for read instruction." newline rbitfld.long 0x4 21.--23. "RD_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "MODE_BIT_ENABLE_FLD,Mode Bit Enable: Set this field to 1 to ensure that the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline rbitfld.long 0x4 18.--19. "RD_INSTR_RESV3_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Quad Input/Output instructions" newline rbitfld.long 0x4 14.--15. "RD_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline bitfld.long 0x4 11. "PRED_DIS_FLD,Predicted Read Disable Bit: Disable generation of predicted read when doing read accesses using Direct Mode" "0,1" newline bitfld.long 0x4 10. "DDR_EN_FLD,DDR Enable: This is to inform that opcode from rd_opcode_non_xip_fld is compliant with one of the DDR READ Commands" "0,1" newline bitfld.long 0x4 8.--9. "INSTR_TYPE_FLD,Instruction Type: 0 : Use Standard SPI mode (instruction always shifted into the device on DQ0 only) 1 : Use DIO-SPI mode (Instructions Address and Data always sent on DQ0 and DQ1) 2 : Use QIO-SPI mode (Instructions Address and Data.." "Use Standard SPI mode,Use DIO-SPI mode,Use QIO-SPI mode,Use Octal-IO-SPI mode" newline hexmask.long.byte 0x4 0.--7. 1. "RD_OPCODE_NON_XIP_FLD,Read Opcode in non-XIP mode: Read Opcode to use when not in XIP mode" line.long 0x8 "DEV_INSTR_WR_CONFIG_REG,Device Write Instruction Configuration Register" rbitfld.long 0x8 29.--31. "WR_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 24.--28. 1. "DUMMY_WR_CLK_CYCLES_FLD,Dummy Write Clock Cycles: Number of dummy clock cycles required by device for write instruction." newline hexmask.long.byte 0x8 18.--23. 1. "WR_INSTR_RESV3_FLD,Reserved" newline bitfld.long 0x8 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Octal Input/Output instructions" newline rbitfld.long 0x8 14.--15. "WR_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x8 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline rbitfld.long 0x8 9.--11. "WR_INSTR_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 8. "WEL_DIS_FLD,WEL Disable: This is to turn off automatic issuing of WEL Command before write operation for DAC or INDAC" "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "WR_OPCODE_FLD,Write Opcode" line.long 0xC "DEV_DELAY_REG,Octal-SPI Device Delay Register: This register is used to introduce relative delays into the generation of the master output signals. All timings are defined in cycles of the SPI REFERENCE CLOCK/ext_clk. defined in this table as SPI master.." hexmask.long.byte 0xC 24.--31. 1. "D_NSS_FLD,Clock Delay for Chip Select Deassert: Delay in master reference clocks for the length that the master mode chip select outputs are de-asserted between transactions. The minimum delay is always SCLK period to ensure the chip select is never.." newline hexmask.long.byte 0xC 16.--23. 1. "D_BTWN_FLD,Clock Delay for Chip Select Deactivation: Delay in master reference clocks between one chip select being de-activated and the activation of another. This is used to ensure a quiet period between the selection of two different slaves and.." newline hexmask.long.byte 0xC 8.--15. 1. "D_AFTER_FLD,Clock Delay for Last Transaction Bit: Delay in master reference clocks between last bit of current transaction and deasserting the device chip select (n_ss_out). By default the chip select will be deasserted on the cycle following the.." newline hexmask.long.byte 0xC 0.--7. 1. "D_INIT_FLD,Clock Delay with n_ss_out: Delay in master reference clocks between setting n_ss_out low and first bit transfer." line.long 0x10 "RD_DATA_CAPTURE_REG,Read Data Capture Register" hexmask.long.word 0x10 20.--31. 1. "RD_DATA_RESV3_FLD,Reserved" newline hexmask.long.byte 0x10 16.--19. 1. "DDR_READ_DELAY_FLD,DDR read delay: Delay the transmitted data by the programmed number of ref_clk cycles.This field is only relevant when DDR Read Command is executed. Otherwise can be ignored." newline hexmask.long.byte 0x10 9.--15. 1. "RD_DATA_RESV2_FLD,Reserved" newline bitfld.long 0x10 8. "DQS_ENABLE_FLD,DQS enable bit: If enabled signal from DQS input is driven into RX DLL and is used for data capturing in PHY Mode rather than internally generated gated ref_clk.." "0,1" newline rbitfld.long 0x10 6.--7. "RD_DATA_RESV1_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x10 5. "SAMPLE_EDGE_SEL_FLD,Sample edge selection: Choose edge on which data outputs from flash memory will be sampled" "0,1" newline hexmask.long.byte 0x10 1.--4. 1. "DELAY_FLD,Read Delay: Delay the read data capturing logic by the programmed number of ref_clk cycles" newline bitfld.long 0x10 0. "BYPASS_FLD,Bypass the adapted loopback clock circuit" "0,1" line.long 0x14 "DEV_SIZE_CONFIG_REG,Device Size Configuration Register" rbitfld.long 0x14 29.--31. "DEV_SIZE_RESV_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 27.--28. "MEM_SIZE_ON_CS3_FLD,Size of Flash Device connected to CS[3] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 25.--26. "MEM_SIZE_ON_CS2_FLD,Size of Flash Device connected to CS[2] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 23.--24. "MEM_SIZE_ON_CS1_FLD,Size of Flash Device connected to CS[1] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 21.--22. "MEM_SIZE_ON_CS0_FLD,Size of Flash Device connected to CS[0] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline hexmask.long.byte 0x14 16.--20. 1. "BYTES_PER_SUBSECTOR_FLD,Number of bytes per Block. This is required by the controller for performing the write protection logic. The number of bytes per block must be a power of 2 number." newline hexmask.long.word 0x14 4.--15. 1. "BYTES_PER_DEVICE_PAGE_FLD,Number of bytes per device page. This is required by the controller for performing FLASH writes up to and across page boundaries." newline hexmask.long.byte 0x14 0.--3. 1. "NUM_ADDR_BYTES_FLD,Number of address bytes. A value of 0 indicates 1 byte." line.long 0x18 "SRAM_PARTITION_CFG_REG,SRAM Partition Configuration Register" hexmask.long.tbyte 0x18 8.--31. 1. "SRAM_PARTITION_RESV_FLD,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "ADDR_FLD,Indirect Read Partition Size: Defines the size of the indirect read partition in the SRAM in units of SRAM locations. By default half of the SRAM is reserved for indirect read operation and half for indirect write. The size of this register.." line.long 0x1C "IND_AHB_ADDR_TRIGGER_REG,Indirect AHB Address Trigger Register" hexmask.long 0x1C 0.--31. 1. "ADDR_FLD,This is the base address that will be used by the AHB controller. When the incoming AHB read access address matches a range of addresses from this trigger address to the trigger address + 15 then the AHB request will be completed by fetching.." line.long 0x20 "DMA_PERIPH_CONFIG_REG,DMA Peripheral Configuration Register" hexmask.long.tbyte 0x20 12.--31. 1. "DMA_PERIPH_RESV2_FLD,Reserved" newline hexmask.long.byte 0x20 8.--11. 1. "NUM_BURST_REQ_BYTES_FLD,Number of Burst Bytes: Number of bytes in a burst type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The actual.." newline hexmask.long.byte 0x20 4.--7. 1. "DMA_PERIPH_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "NUM_SINGLE_REQ_BYTES_FLD,Number of Single Bytes: Number of bytes in a single type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The.." line.long 0x24 "REMAP_ADDR_REG,Remap Address Register" hexmask.long 0x24 0.--31. 1. "VALUE_FLD,This register is used to remap an incoming AHB address to a different address used by the FLASH device." line.long 0x28 "MODE_BIT_CONFIG_REG,Mode Bit Configuration Register" hexmask.long.byte 0x28 24.--31. 1. "RX_CRC_DATA_LOW_FLD,RX CRC data (lower) The first CRC byte returned after RX data chunk." newline hexmask.long.byte 0x28 16.--23. 1. "RX_CRC_DATA_UP_FLD,RX CRC data (upper) The second CRC byte returned after RX data chunk." newline bitfld.long 0x28 15. "CRC_OUT_ENABLE_FLD,CRC# output enable bit When enabled the controller expects the Flash Device to toggle CRC data on both SPI clock edges in CRC->CRC# sequence and calculates CRC compliance accordingly." "0,1" newline hexmask.long.byte 0x28 11.--14. 1. "MODE_BIT_RESV1_FLD,Reserved" newline bitfld.long 0x28 8.--10. "CHUNK_SIZE_FLD,It defines size of chunk after which CRC data is expected to show up on the SPI interface for write and read data transfers." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x28 0.--7. 1. "MODE_FLD,These are the 8 mode bits that are sent to the device following the address bytes if mode bit transmission has been enabled." rgroup.long 0x2C++0x3 line.long 0x0 "SRAM_FILL_REG,SRAM Fill Register" hexmask.long.word 0x0 16.--31. 1. "SRAM_FILL_INDAC_WRITE_FLD,SRAM Fill Level (Indirect Write Partition): Identifies the current fill level of the SRAM Indirect Write partition" newline hexmask.long.word 0x0 0.--15. 1. "SRAM_FILL_INDAC_READ_FLD,SRAM Fill Level (Indirect Read Partition): Identifies the current fill level of the SRAM Indirect Read partition" group.long 0x30++0x17 line.long 0x0 "TX_THRESH_REG,TX Threshold Register" hexmask.long 0x0 5.--31. 1. "TX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x0 0.--4. 1. "LEVEL_FLD,Defines the level at which the small TX FIFO not full interrupt is generated" line.long 0x4 "RX_THRESH_REG,RX Threshold Register" hexmask.long 0x4 5.--31. 1. "RX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x4 0.--4. 1. "LEVEL_FLD,Defines the level at which the small RX FIFO not empty interrupt is generated" line.long 0x8 "WRITE_COMPLETION_CTRL_REG,Write Completion Control Register: This register defines how the controller will poll the device following a write transfer" hexmask.long.byte 0x8 24.--31. 1. "POLL_REP_DELAY_FLD,Defines additional delay for maintain Chip Select de-asserted during auto-polling phase" newline hexmask.long.byte 0x8 16.--23. 1. "POLL_COUNT_FLD,Defines the number of times the controller should expect to see a true result from the polling in successive reads of the device register." newline bitfld.long 0x8 15. "ENABLE_POLLING_EXP_FLD,Set to '1' for enabling auto-polling expiration." "0,1" newline bitfld.long 0x8 14. "DISABLE_POLLING_FLD,This switches off the automatic polling function" "0,1" newline bitfld.long 0x8 13. "POLLING_POLARITY_FLD,Defines the polling polarity. If '1' then the write transfer to the device will be complete if the polled bit is equal to '1'. If '0' then the write transfer to the device will be complete if the polled bit is equal to '0'." "0,1" newline rbitfld.long 0x8 12. "WR_COMP_CTRL_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x8 11. "POLLING_ADDR_EN_FLD,Enables address phase of auto-polling (Read Status) command." "0,1" newline bitfld.long 0x8 8.--10. "POLLING_BIT_INDEX_FLD,Defines the bit index that should be polled. A value of 010 means that bit 2 of the returned data will be polled for.A value of 111 means that bit 7 of the returned data will be polled for." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--7. 1. "OPCODE_FLD,Defines the opcode that should be issued by the controller when it is automatically polling for device program completion. This command is issued followed all device write operations. By default this will poll the standard device STATUS.." line.long 0xC "NO_OF_POLLS_BEF_EXP_REG,Polling Expiration Register" hexmask.long 0xC 0.--31. 1. "NO_OF_POLLS_BEF_EXP_FLD,Number of polls cycles before expiration" line.long 0x10 "IRQ_STATUS_REG,Interrupt Status Register: The status fields in this register are set when the described event occurs and the interrupt is enabled in the mask register. When any of these bit fields are set. the interrupt output is asserted high. The.." hexmask.long.word 0x10 21.--31. 1. "IRQ_STAT_RESV_FLD,Reserved" newline bitfld.long 0x10 20. "HYPER_INT_FLD,HyperFlash interrupt This interrupt informs the system that Flash Device reported interrupt on its INT# output" "0,1" newline bitfld.long 0x10 19. "ECC_FAIL_FLD,ECC failure This interrupt informs the system that Flash Device reported ECC error." "0,1" newline bitfld.long 0x10 18. "TX_CRC_CHUNK_BRK_FLD,TX CRC chunk was broken This interrupt informs the system that program page SPI transfer was discontinued somewhere inside the chunk." "0,1" newline bitfld.long 0x10 17. "RX_CRC_DATA_VAL_FLD,RX CRC data valid New RX CRC data was captured from Flash Device" "0,1" newline bitfld.long 0x10 16. "RX_CRC_DATA_ERR_FLD,RX CRC data error CRC data from Flash Device does not correspond to the one dynamically calculated by the controller." "0,1" newline rbitfld.long 0x10 15. "IRQ_STAT_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x10 14. "STIG_REQ_INT_FLD,The controller is ready for getting another STIG request." "0,1" newline bitfld.long 0x10 13. "POLL_EXP_INT_FLD,The maximum number of programmed polls cycles is expired" "0,1" newline bitfld.long 0x10 12. "INDRD_SRAM_FULL_FLD,Indirect Read Partition overflow: Indirect Read Partition of SRAM is full and unable to immediately complete indirect operation" "0,1" newline bitfld.long 0x10 11. "RX_FIFO_FULL_FLD,Small RX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 10. "RX_FIFO_NOT_EMPTY_FLD,Small RX FIFO not empty: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has less than RX THRESHOLD entries 1 : FIFO has >= THRESHOLD entries" "FIFO has less than RX THRESHOLD entries,FIFO has >= THRESHOLD entries" newline bitfld.long 0x10 9. "TX_FIFO_FULL_FLD,Small TX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 8. "TX_FIFO_NOT_FULL_FLD,Small TX FIFO not full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has >= THRESHOLD entries 1 : FIFO has less than THRESHOLD entries" "FIFO has >= THRESHOLD entries,FIFO has less than THRESHOLD entries" newline bitfld.long 0x10 7. "RECV_OVERFLOW_FLD,Receive Overflow: This should only occur in Legacy SPI mode. Set if an attempt is made to push the RX FIFO when it is full. This bit is reset only by a system reset and cleared only when this register is read. If a new push to the RX.." "no overflow has been detected,an overflow has occurred" newline bitfld.long 0x10 6. "INDIRECT_XFER_LEVEL_BREACH_FLD,Indirect Transfer Watermark Level Breached" "0,1" newline bitfld.long 0x10 5. "ILLEGAL_ACCESS_DET_FLD,Illegal AHB access has been detected. AHB wrapping bursts and the use of SPLIT/RETRY accesses will cause this error interrupt to trigger." "0,1" newline bitfld.long 0x10 4. "PROT_WR_ATTEMPT_FLD,Write to protected area was attempted and rejected." "0,1" newline bitfld.long 0x10 3. "INDIRECT_TRANSFER_REJECT_FLD,Indirect operation was requested but could not be accepted. Two indirect operations already in storage." "0,1" newline bitfld.long 0x10 2. "INDIRECT_OP_DONE_FLD,Indirect Operation Complete: Controller has completed last triggered indirect operation" "0,1" newline bitfld.long 0x10 1. "UNDERFLOW_DET_FLD,Underflow Detected: 0 : no underflow has been detected 1 : underflow is detected and an attempt to transfer data is made when the small TX FIFO is empty. This may occur when AHB write data is being supplied too slowly to keep up with.." "no underflow has been detected,underflow is detected and an attempt to transfer.." newline bitfld.long 0x10 0. "MODE_M_FAIL_FLD,Mode M Failure: Mode M failure indicates the voltage on pin n_ss_in is inconsistent with the SPI mode. Set =1 if n_ss_in is low in master mode (multi-master contention). These conditions will clear the spi_enable bit and disable the SPI." "no mode fault has been detected,a mode fault has occurred" line.long 0x14 "IRQ_MASK_REG,Interrupt Mask: 0 : the interrupt for the corresponding interrupt status register bit is disabled. 1 : the interrupt for the corresponding interrupt status register bit is enabled." hexmask.long.word 0x14 21.--31. 1. "IRQ_MASK_RESV_FLD,Reserved" newline bitfld.long 0x14 20. "HYPER_INT_MASK_FLD,HyperFlash interrupt Mask" "0,1" newline bitfld.long 0x14 19. "ECC_FAIL_MASK_FLD,ECC failure Mask" "0,1" newline bitfld.long 0x14 18. "TX_CRC_CHUNK_BRK_MASK_FLD,TX CRC chunk was broken Mask" "0,1" newline bitfld.long 0x14 17. "RX_CRC_DATA_VAL_MASK_FLD,RX CRC data valid Mask" "0,1" newline bitfld.long 0x14 16. "RX_CRC_DATA_ERR_MASK_FLD,RX CRC data error Mask" "0,1" newline rbitfld.long 0x14 15. "IRQ_MASK_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x14 14. "STIG_REQ_MASK_FLD,STIG request completion Mask" "0,1" newline bitfld.long 0x14 13. "POLL_EXP_INT_MASK_FLD,Polling expiration detected Mask" "0,1" newline bitfld.long 0x14 12. "INDRD_SRAM_FULL_MASK_FLD,Indirect Read Partition overflow mask" "0,1" newline bitfld.long 0x14 11. "RX_FIFO_FULL_MASK_FLD,Small RX FIFO full Mask" "0,1" newline bitfld.long 0x14 10. "RX_FIFO_NOT_EMPTY_MASK_FLD,Small RX FIFO not empty Mask" "0,1" newline bitfld.long 0x14 9. "TX_FIFO_FULL_MASK_FLD,Small TX FIFO full Mask" "0,1" newline bitfld.long 0x14 8. "TX_FIFO_NOT_FULL_MASK_FLD,Small TX FIFO not full Mask" "0,1" newline bitfld.long 0x14 7. "RECV_OVERFLOW_MASK_FLD,Receive Overflow Mask" "0,1" newline bitfld.long 0x14 6. "INDIRECT_XFER_LEVEL_BREACH_MASK_FLD,Transfer Watermark Breach Mask" "0,1" newline bitfld.long 0x14 5. "ILLEGAL_ACCESS_DET_MASK_FLD,Illegal Access Detected Mask" "0,1" newline bitfld.long 0x14 4. "PROT_WR_ATTEMPT_MASK_FLD,Protected Area Write Attempt Mask" "0,1" newline bitfld.long 0x14 3. "INDIRECT_TRANSFER_REJECT_MASK_FLD,Indirect Read Reject Mask" "0,1" newline bitfld.long 0x14 2. "INDIRECT_OP_DONE_MASK_FLD,Indirect Complete Mask" "0,1" newline bitfld.long 0x14 1. "UNDERFLOW_DET_MASK_FLD,Underflow Detected Mask" "0,1" newline bitfld.long 0x14 0. "MODE_M_FAIL_MASK_FLD,Mode M Failure Mask" "0,1" group.long 0x50++0xB line.long 0x0 "LOWER_WR_PROT_REG,Lower Write Protection Register" hexmask.long 0x0 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the lower block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x4 "UPPER_WR_PROT_REG,Upper Write Protection Register" hexmask.long 0x4 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the upper block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x8 "WR_PROT_CTRL_REG,Write Protection Control Register" hexmask.long 0x8 2.--31. 1. "WR_PROT_CTRL_RESV_FLD,Reserved" newline bitfld.long 0x8 1. "ENB_FLD,Write Protection Enable Bit: When set to 1 any AHB write access with an address within the protection region defined in the lower and upper write protection registers is rejected. An AHB error response is generated and an interrupt source.." "0,1" newline bitfld.long 0x8 0. "INV_FLD,Write Protection Inversion Bit: When set to 1 the protection region defined in the lower and upper write protection registers is inverted meaning it is the region that the system is permitted to write to. When set to 0 the protection region.." "0,1" group.long 0x60++0x23 line.long 0x0 "INDIRECT_READ_XFER_CTRL_REG,Indirect Read Transfer Control Register" hexmask.long.tbyte 0x0 8.--31. 1. "INDIR_RD_XFER_RESV_FLD,Reserved" newline rbitfld.long 0x0 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x0 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x0 4. "RD_QUEUED_FLD,Two indirect read operations have been queued" "0,1" newline bitfld.long 0x0 3. "SRAM_FULL_FLD,SRAM Full: SRAM full and unable to immediately complete an indirect operation. Write a 1 to this field to clear it.\'; indirect operation (status)" "0,1" newline rbitfld.long 0x0 2. "RD_STATUS_FLD,Indirect Read Status: Indirect read operation in progress (status)" "0,1" newline bitfld.long 0x0 1. "CANCEL_FLD,Cancel Indirect Read: Writing a 1 to this bit will cancel all ongoing indirect read operations." "0,1" newline bitfld.long 0x0 0. "START_FLD,Start Indirect Read: Writing a 1 to this bit will trigger an indirect read operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect read operation." "0,1" line.long 0x4 "INDIRECT_READ_XFER_WATERMARK_REG,Indirect Read Transfer Watermark Register" hexmask.long 0x4 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the minimum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level passes the watermark an interrupt is also generated. This field can be disabled by writing a value of all.." line.long 0x8 "INDIRECT_READ_XFER_START_REG,Indirect Read Transfer Start Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,This is the start address from which the indirect access will commence its READ operation." line.long 0xC "INDIRECT_READ_XFER_NUM_BYTES_REG,Indirect Read Transfer Number Bytes Register" hexmask.long 0xC 0.--31. 1. "VALUE_FLD,This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x10 "INDIRECT_WRITE_XFER_CTRL_REG,Indirect Write Transfer Control Register" hexmask.long.tbyte 0x10 8.--31. 1. "INDIR_WR_XFER_RESV2_FLD,Reserved" newline rbitfld.long 0x10 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x10 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x10 4. "WR_QUEUED_FLD,Two indirect write operations have been queued" "0,1" newline rbitfld.long 0x10 3. "INDIR_WR_XFER_RESV1_FLD,Reserved" "0,1" newline rbitfld.long 0x10 2. "WR_STATUS_FLD,Indirect Write Status: Indirect write operation in progress (status)" "0,1" newline bitfld.long 0x10 1. "CANCEL_FLD,Cancel Indirect Write: Writing a 1 to this bit will cancel all ongoing indirect write operations." "0,1" newline bitfld.long 0x10 0. "START_FLD,Start Indirect Write: Writing a 1 to this bit will trigger an indirect write operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect write operation." "0,1" line.long 0x14 "INDIRECT_WRITE_XFER_WATERMARK_REG,Indirect Write Transfer Watermark Register" hexmask.long 0x14 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the maximum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level falls below the watermark an interrupt is also generated. This field can be disabled by writing a value.." line.long 0x18 "INDIRECT_WRITE_XFER_START_REG,Indirect Write Transfer Start Address Register" hexmask.long 0x18 0.--31. 1. "ADDR_FLD,Start of Indirect Access: This is the start address from which the indirect access will commence its READ operation." line.long 0x1C "INDIRECT_WRITE_XFER_NUM_BYTES_REG,Indirect Write Transfer Number Bytes Register" hexmask.long 0x1C 0.--31. 1. "VALUE_FLD,Indirect Number of Bytes: This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x20 "INDIRECT_TRIGGER_ADDR_RANGE_REG,Indirect Trigger Address Range Register" hexmask.long 0x20 4.--31. 1. "IND_RANGE_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "IND_RANGE_WIDTH_FLD,This is the address offset of Indirect Trigger Address Register." group.long 0x8C++0xB line.long 0x0 "FLASH_COMMAND_CTRL_MEM_REG,Flash Command Control Memory Register" rbitfld.long 0x0 29.--31. "FLASH_COMMAND_CTRL_MEM_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 20.--28. 1. "MEM_BANK_ADDR_FLD,The address of the Memory Bank which data will be read from." newline rbitfld.long 0x0 19. "FLASH_COMMAND_CTRL_MEM_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 16.--18. "NB_OF_STIG_READ_BYTES_FLD,It defines the number of read bytes for the extended STIG." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "MEM_BANK_READ_DATA_FLD,Last requested data from the STIG Memory Bank." newline hexmask.long.byte 0x0 2.--7. 1. "FLASH_COMMAND_CTRL_MEM_RESV3_FLD,Reserved" newline rbitfld.long 0x0 1. "MEM_BANK_REQ_IN_PROGRESS_FLD,Memory Bank data request in progress." "0,1" newline bitfld.long 0x0 0. "TRIGGER_MEM_BANK_REQ_FLD,Trigger the Memory Bank data request." "0,1" line.long 0x4 "FLASH_CMD_CTRL_REG,Flash Command Control Register" hexmask.long.byte 0x4 24.--31. 1. "CMD_OPCODE_FLD,Command Opcode: The command opcode field should be setup before triggering the command. For example 0x20 maps to SubSector Erase. Writing to the execute field (bit 0) of this register launches the command. NOTE : Using this approach to.." newline bitfld.long 0x4 23. "ENB_READ_DATA_FLD,Read Data Enable: Set to 1 if the command specified in the command opcode field (bits 31:24) requires read data bytes to be received from the device." "0,1" newline bitfld.long 0x4 20.--22. "NUM_RD_DATA_BYTES_FLD,Number of Read Data Bytes: Up to 8 data bytes may be read using this command. Set to 0 for 1 byte and 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 19. "ENB_COMD_ADDR_FLD,Command Address Enable: Set to 1 if the command specified in bits 31:24 requires an address. This should be setup before triggering the command via writing a 1 to the execute field." "0,1" newline bitfld.long 0x4 18. "ENB_MODE_BIT_FLD,Mode Bit Enable: Set to 1 to ensure the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline bitfld.long 0x4 16.--17. "NUM_ADDR_BYTES_FLD,Number of Address Bytes: Set to the number of address bytes required (the address itself is programmed in the FLASH COMMAND ADDRESS REGISTERS). This should be setup before triggering the command via bit 0 of this register. 2'b00 : 1.." "0,1,2,3" newline bitfld.long 0x4 15. "ENB_WRITE_DATA_FLD,Write Data Enable: Set to 1 if the command specified in the command opcode field requires write data bytes to be sent to the device." "0,1" newline bitfld.long 0x4 12.--14. "NUM_WR_DATA_BYTES_FLD,Number of Write Data Bytes: Up to 8 Data bytes may be written using this command Set to 0 for 1 byte 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 7.--11. 1. "NUM_DUMMY_CYCLES_FLD,Number of Dummy cycles: Set to the number of dummy cycles required. This should be setup before triggering the command via the execute field of this register." newline hexmask.long.byte 0x4 3.--6. 1. "FLASH_CMD_CTRL_RESV1_FLD,Reserved" newline bitfld.long 0x4 2. "STIG_MEM_BANK_EN_FLD,STIG Memory Bank enable bit." "0,1" newline rbitfld.long 0x4 1. "CMD_EXEC_STATUS_FLD,Command execution in progress." "0,1" newline bitfld.long 0x4 0. "CMD_EXEC_FLD,Execute the command." "0,1" line.long 0x8 "FLASH_CMD_ADDR_REG,Flash Command Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,Command Address: This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the address used by the command specified in the opcode field (bits 31:24) of the Flash Command Control.." rgroup.long 0xA0++0x7 line.long 0x0 "FLASH_RD_DATA_LOWER_REG,Flash Command Read Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,This is the data that is returned by the flash device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." line.long 0x4 "FLASH_RD_DATA_UPPER_REG,Flash Command Read Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,This is the data that is returned by the FLASH device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." group.long 0xA8++0x13 line.long 0x0 "FLASH_WR_DATA_LOWER_REG,Flash Command Write Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,Command Write Data Lower Byte: This is the command write data lower byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x4 "FLASH_WR_DATA_UPPER_REG,Flash Command Write Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,Command Write Data Upper Byte: This is the command write data upper byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x8 "POLLING_FLASH_STATUS_REG,Polling Flash Status Register" hexmask.long.word 0x8 21.--31. 1. "DEVICE_STATUS_RSVD_FLD2,Reserved" newline hexmask.long.byte 0x8 16.--20. 1. "DEVICE_STATUS_NB_DUMMY,Number of dummy cycles for auto-polling" newline hexmask.long.byte 0x8 9.--15. 1. "DEVICE_STATUS_RSVD_FLD1,Reserved" newline rbitfld.long 0x8 8. "DEVICE_STATUS_VALID_FLD,Device Status Valid: This should be set when value in bits from 7 to 0 is valid." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "DEVICE_STATUS_FLD,Defines actual Status Register of Device" line.long 0xC "PHY_CONFIGURATION_REG,PHY Configuration Register" bitfld.long 0xC 31. "PHY_CONFIG_RESYNC_FLD,This bit is used for re-synchronisation delay lines to update them with values from TX DLL Delay and RX DLL Delay fields." "0,1" newline bitfld.long 0xC 30. "PHY_CONFIG_RESET_FLD,DLL Reset bit: This bit is used for reset of Delay Lines by software." "0,1" newline bitfld.long 0xC 29. "PHY_CONFIG_RX_DLL_BYPASS_FLD,RX DLL Bypass: This field determines id RX DLL is bypassed." "0,1" newline hexmask.long.byte 0xC 23.--28. 1. "PHY_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0xC 16.--22. 1. "PHY_CONFIG_TX_DLL_DELAY_FLD,TX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and spi_clk." newline hexmask.long.word 0xC 7.--15. 1. "PHY_CONFIG_RESV1_FLD,Reserved" newline hexmask.long.byte 0xC 0.--6. 1. "PHY_CONFIG_RX_DLL_DELAY_FLD,RX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and rx_dll_clk." line.long 0x10 "PHY_MASTER_CONTROL_REG,PHY DLL Master Control Register" hexmask.long.byte 0x10 25.--31. 1. "PHY_MASTER_CONTROL_RESV3_FLD,Reserved" newline bitfld.long 0x10 24. "PHY_MASTER_LOCK_MODE_FLD,Determines if the master delay line locks on a full cycle or half cycle of delay." "0,1" newline bitfld.long 0x10 23. "PHY_MASTER_BYPASS_MODE_FLD,Controls the bypass mode of the master and slave DLLs." "0,1" newline bitfld.long 0x10 20.--22. "PHY_MASTER_PHASE_DETECT_SELECTOR_FLD,Selects the number of delay elements to be inserted between the phase detect flip-flops." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 19. "PHY_MASTER_CONTROL_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x10 16.--18. "PHY_MASTER_NB_INDICATIONS_FLD,Holds the number of consecutive increment or decrement indications." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 7.--15. 1. "PHY_MASTER_CONTROL_RESV1_FLD,Reserved" newline hexmask.long.byte 0x10 0.--6. 1. "PHY_MASTER_INITIAL_DELAY_FLD,This value is the initial delay value for the DLL." rgroup.long 0xBC++0x7 line.long 0x0 "DLL_OBSERVABLE_LOWER_REG,DLL Observable Register Lower" hexmask.long.byte 0x0 24.--31. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_INC_FLD,Holds the state of the cumulative dll_lock_inc register." newline hexmask.long.byte 0x0 16.--23. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_DEC_FLD,Holds the state of the cumulative dll_lock_dec register." newline bitfld.long 0x0 15. "DLL_OBSERVABLE_LOWER_LOOPBACK_LOCK_FLD,This bit indicates that lock of loopback is done." "0,1" newline hexmask.long.byte 0x0 8.--14. 1. "DLL_OBSERVABLE_LOWER_LOCK_VALUE_FLD,Reports the DLL encoder value from the master DLL to the slave DLLs." newline hexmask.long.byte 0x0 3.--7. 1. "DLL_OBSERVABLE_LOWER_UNLOCK_COUNTER_FLD,Reports the number of increments or decrements required for the master DLL to complete the locking process." newline bitfld.long 0x0 1.--2. "DLL_OBSERVABLE_LOWER_LOCK_MODE_FLD,Defines the mode in which the DLL has achieved the lock." "0,1,2,3" newline bitfld.long 0x0 0. "DLL_OBSERVABLE_LOWER_DLL_LOCK_FLD,Indicates status of DLL." "0,1" line.long 0x4 "DLL_OBSERVABLE_UPPER_REG,DLL Observable Register Upper" hexmask.long.word 0x4 23.--31. 1. "DLL_OBSERVABLE_UPPER_RESV2_FLD,Reserved" newline hexmask.long.byte 0x4 16.--22. 1. "DLL_OBSERVABLE_UPPER_TX_DECODER_OUTPUT_FLD,Holds the encoded value for the TX delay line for this slice." newline hexmask.long.word 0x4 7.--15. 1. "DLL_OBSERVABLE_UPPER_RESV1_FLD,Reserved" newline hexmask.long.byte 0x4 0.--6. 1. "DLL_OBSERVABLE__UPPER_RX_DECODER_OUTPUT_FLD,Holds the encoded value for the RX delay line for this slice." group.long 0xE0++0x7 line.long 0x0 "OPCODE_EXT_LOWER_REG,Opcode Extension Register (Lower)" hexmask.long.byte 0x0 24.--31. 1. "EXT_READ_OPCODE_FLD,Supplement byte of any Read Opcode" newline hexmask.long.byte 0x0 16.--23. 1. "EXT_WRITE_OPCODE_FLD,Supplement byte of any Write Opcode" newline hexmask.long.byte 0x0 8.--15. 1. "EXT_POLL_OPCODE_FLD,Supplement byte of any Polling Opcode" newline hexmask.long.byte 0x0 0.--7. 1. "EXT_STIG_OPCODE_FLD,Supplement byte of any STIG Opcode" line.long 0x4 "OPCODE_EXT_UPPER_REG,Opcode Extension Register (Upper)" hexmask.long.byte 0x4 24.--31. 1. "WEL_OPCODE_FLD,First byte of any WEL Opcode" newline hexmask.long.byte 0x4 16.--23. 1. "EXT_WEL_OPCODE_FLD,Supplement byte of any WEL Opcode" newline hexmask.long.word 0x4 0.--15. 1. "OPCODE_EXT_UPPER_RESV1_FLD,Reserved" group.long 0xF0++0x3 line.long 0x0 "CUSTOM_CONF_REG,Custom Configuration Register" hexmask.long 0x0 5.--31. 1. "CUSTOM_CONF_RESV_FLD2,Reserved" newline bitfld.long 0x0 4. "PIPE_SMRT_ACC_FLD,PHY POP delay functionality" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "CUSTOM_CONF_RESV_FLD1,Reserved" rgroup.long 0xFC++0x3 line.long 0x0 "MODULE_ID_REG,Module ID Register" hexmask.long.byte 0x0 24.--31. 1. "FIX_PATCH_FLD,Fix/path number related to revision described by 3 LSBs of this register" newline hexmask.long.word 0x0 8.--23. 1. "MODULE_ID_FLD,Module/Revision ID number" newline hexmask.long.byte 0x0 3.--7. 1. "MODULE_ID_RESV_FLD,Reserved" newline bitfld.long 0x0 2. "HYPER_SUPPORT_FLD,HyperFlash Support" "0,1" newline bitfld.long 0x0 0.--1. "CONF_FLD,Configuration ID number: 0 : OCTAL + PHY Configuration 1 : OCTAL Configuration 2 : QUAD + PHY Configuration 3 : QUAD Configuration" "OCTAL + PHY Configuration,OCTAL Configuration,QUAD + PHY Configuration,QUAD Configuration" group.long 0x100++0x3 line.long 0x0 "HYPERFLASH_CONFIG_REG,HyperFlash Configuration Register" rbitfld.long 0x0 31. "HYPERFLASH_CONFIG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 30. "TARGET_HYPERFLASH_STIG_FLD,Identifies the target of final STIG HyperFlash transaction as follows: 1'b0 = Memory Space 1'b1 = Register Space" "Memory Space 1'b1 = Register Space,?" newline bitfld.long 0x0 29. "BURST_TYPE_HYPERFLASH_STIG_FLD,Identifies the burst type of final STIG HyperFlash transaction" "0,1" newline hexmask.long.word 0x0 20.--28. 1. "HYPERFLASH_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0x0 16.--19. 1. "HYPERFLASH_LAT_CODE_FLD,Identifies the latency code for HyperFlash" newline hexmask.long.word 0x0 1.--15. 1. "HYPERFLASH_CONFIG_RESV1_FLD,Reserved" newline bitfld.long 0x0 0. "HYPERFLASH_ENABLE_FLD,HyperFlash Mode enable bit" "0,1" group.long 0x110++0x3 line.long 0x0 "OSPI_MISC0,Misc configuration Register #0" newline bitfld.long 0x0 5. "CTL3,sample clocl polarity control for sclk_dlyd_i 0x1 - Invert sample clock sclk_dlyd_i 0x0 - sample clock sclk_dlyd_i not inverted" "sample clock sclk_dlyd_i not inverted,Invert sample clock sclk_dlyd_i" newline bitfld.long 0x0 4. "CTL2,DQS pad output enable When CTL0 is set 0x2 this bit should be enable to enable loopback path from internal sclk_out to DQS pad." "0,1" newline bitfld.long 0x0 2.--3. "CTL1,SCLK output logic control. 0x0 - orginal logic without any delay (Delay added by TX DLL) 0x1 - original logic (sclk_out) with dleay 1/4 cycle of SCLK (by ref_clk_2x fall edge) 0x2 - original logic (sclk_out) with delay 1/2 cycle of SCLk (by.." "orginal logic without any delay,original logic,original logic,original logic" newline bitfld.long 0x0 0.--1. "CTL0,loopback SCLK input logic control (sclk_dlyd). 0x0 - loopback from SCLK pad 0x1 - loopback from internal (sclk_out with delay selected by OSPI_MISC0.CTL1) 0x2 - loopback from DQS pad 0x3 - loopback from ref_clk_2x (for DFT test only)." "loopback from SCLK pad,loopback from internal,loopback from DQS pad,loopback from ref_clk_2x" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.long ($2+0x114)++0x3 line.long 0x0 "OSPI_MISC$1,Misc Configuration Register #1" hexmask.long 0x0 0.--31. 1. "CTL,Reserved for future" repeat.end group.long 0x200++0x3 line.long 0x0 "HYPERFLASH_STIG_CONFIG_REG,HyperFlash STIG Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_STIG_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of STIG HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_STIG_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_STIG_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_STIG_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_STIG_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_STIG_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_STIG_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_STIG_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_STIG_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_STIG_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_STIG_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_STIG_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_STIG_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_STIG_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 1" "0,1" group.long 0x210++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_REG,HyperFlash STIG Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 1" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN1_DATA_REG,HyperFlash STIG Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 1" group.long 0x220++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_REG,HyperFlash STIG Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 2" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN2_DATA_REG,HyperFlash STIG Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 2" group.long 0x230++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_REG,HyperFlash STIG Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 3" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN3_DATA_REG,HyperFlash STIG Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 3" group.long 0x240++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_REG,HyperFlash STIG Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 4" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN4_DATA_REG,HyperFlash STIG Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 4" group.long 0x250++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_REG,HyperFlash STIG Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 5" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN5_DATA_REG,HyperFlash STIG Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 5" group.long 0x260++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_REG,HyperFlash STIG Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 6" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN6_DATA_REG,HyperFlash STIG Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 6" group.long 0x270++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_REG,HyperFlash STIG Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 7" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN7_DATA_REG,HyperFlash STIG Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 7" group.long 0x300++0x3 line.long 0x0 "HYPERFLASH_WRITE_CONFIG_REG,HyperFlash Write Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_WRITE_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Write HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_WRITE_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_WRITE_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_WRITE_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_WRITE_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_WRITE_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_WRITE_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_WRITE_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_WRITE_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_WRITE_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_WRITE_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_WRITE_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_WRITE_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 1" "0,1" group.long 0x310++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_REG,HyperFlash Write Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 1" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN1_DATA_REG,HyperFlash Write Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 1" group.long 0x320++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_REG,HyperFlash Write Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 2" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN2_DATA_REG,HyperFlash Write Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 2" group.long 0x330++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_REG,HyperFlash Write Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 3" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN3_DATA_REG,HyperFlash Write Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 3" group.long 0x340++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_REG,HyperFlash Write Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 4" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN4_DATA_REG,HyperFlash Write Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 4" group.long 0x350++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_REG,HyperFlash Write Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 5" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN5_DATA_REG,HyperFlash Write Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 5" group.long 0x360++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_REG,HyperFlash Write Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 6" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN6_DATA_REG,HyperFlash Write Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 6" group.long 0x370++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_REG,HyperFlash Write Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 7" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN7_DATA_REG,HyperFlash Write Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 7" group.long 0x400++0x3 line.long 0x0 "HYPERFLASH_READ_CONFIG_REG,HyperFlash Read Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_READ_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_READ_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Read HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_READ_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_READ_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_READ_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_READ_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_READ_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_READ_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_READ_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_READ_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_READ_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_READ_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_READ_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_READ_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_READ_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_READ_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 1" "0,1" group.long 0x410++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_REG,HyperFlash Read Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 1" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN1_DATA_REG,HyperFlash Read Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 1" group.long 0x420++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_REG,HyperFlash Read Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 2" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN2_DATA_REG,HyperFlash Read Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 2" group.long 0x430++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_REG,HyperFlash Read Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 3" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN3_DATA_REG,HyperFlash Read Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 3" group.long 0x440++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_REG,HyperFlash Read Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 4" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN4_DATA_REG,HyperFlash Read Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 4" group.long 0x450++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_REG,HyperFlash Read Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 5" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN5_DATA_REG,HyperFlash Read Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 5" group.long 0x460++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_REG,HyperFlash Read Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 6" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN6_DATA_REG,HyperFlash Read Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 6" group.long 0x470++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_REG,HyperFlash Read Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 7" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN7_DATA_REG,HyperFlash Read Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 7" group.long 0x500++0x3 line.long 0x0 "HYPERFLASH_POLLING_CONFIG_REG,HyperFlash Polling Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_POLLING_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Polling HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_POLLING_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_POLLING_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_POLLING_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_POLLING_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_POLLING_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_POLLING_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_POLLING_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_POLLING_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_POLLING_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_POLLING_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_POLLING_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_POLLING_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 1" "0,1" group.long 0x510++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_REG,HyperFlash Polling Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 1" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN1_DATA_REG,HyperFlash Polling Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 1" group.long 0x520++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_REG,HyperFlash Polling Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 2" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN2_DATA_REG,HyperFlash Polling Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 2" group.long 0x530++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_REG,HyperFlash Polling Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 3" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN3_DATA_REG,HyperFlash Polling Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 3" group.long 0x540++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_REG,HyperFlash Polling Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 4" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN4_DATA_REG,HyperFlash Polling Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 4" group.long 0x550++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_REG,HyperFlash Polling Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 5" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN5_DATA_REG,HyperFlash Polling Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 5" group.long 0x560++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_REG,HyperFlash Polling Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 6" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN6_DATA_REG,HyperFlash Polling Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 6" group.long 0x570++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_REG,HyperFlash Polling Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 7" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN7_DATA_REG,HyperFlash Polling Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 7" group.long 0xA00++0x13 line.long 0x0 "ASF_INT_STATUS_REG,ASF Interrupt Status Register" hexmask.long 0x0 7.--31. 1. "ASF_INT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x0 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x0 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x0 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x0 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x0 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x0 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x0 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x4 "ASF_INT_RAW_STATUS_REG,ASF Interrupt Raw Status Register" hexmask.long 0x4 7.--31. 1. "ASF_INT_RAW_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x4 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x4 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x4 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x4 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x4 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x4 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x8 "ASF_INT_MASK_REG,The ASF interrupt mask register indicating which interrupt bits in the ASF Interrupt Status Register are masked" hexmask.long 0x8 7.--31. 1. "ASF_INT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x8 6. "ASF_INTEGRITY_ERR_MASK_FLD,Mask bit for integrity error interrupt" "0,1" newline bitfld.long 0x8 5. "ASF_PROTOCOL_ERR_MASK_FLD,Mask bit for protocol error interrupt" "0,1" newline bitfld.long 0x8 4. "ASF_TRANS_TO_ERR_MASK_FLD,Mask bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0x8 3. "ASF_CSR_ERR_MASK_FLD,Mask bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0x8 2. "ASF_DAP_ERR_MASK_FLD,Mask bit for data and address paths error interrupt" "0,1" newline bitfld.long 0x8 1. "ASF_SRAM_UNCORR_ERR_MASK_FLD,Mask bit for SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x8 0. "ASF_SRAM_CORR_ERR_MASK_FLD,Mask bit for SRAM correctable error interrupt" "0,1" line.long 0xC "ASF_INT_TEST_REG,The ASF interrupt test register emulate hardware even" hexmask.long 0xC 7.--31. 1. "ASF_INT_TEST_RESV1_FLD,Reserved" newline bitfld.long 0xC 6. "ASF_INTEGRITY_ERR_TEST_FLD,Test bit for integrity error interrupt" "0,1" newline bitfld.long 0xC 5. "ASF_PROTOCOL_ERR_TEST_FLD,Test bit for protocol error interrupt" "0,1" newline bitfld.long 0xC 4. "ASF_TRANS_TO_ERR_TEST_FLD,Test bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0xC 3. "ASF_CSR_ERR_TEST_FLD,Test bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0xC 2. "ASF_DAP_ERR_TEST_FLD,Test bit for data and address paths error interrupt" "0,1" newline bitfld.long 0xC 1. "ASF_SRAM_UNCORR_ERR_TEST_FLD,Test bit form SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0xC 0. "ASF_SRAM_CORR_ERR_TEST_FLD,Test bit for SRAM correctable error interupt" "0,1" line.long 0x10 "ASF_FATAL_NONFATAL_SELECT_REG,The fatal or non-fatal interrupt registers selects whether a fatal or non-fatal interrupt is triggered." hexmask.long 0x10 7.--31. 1. "ASF_FATAL_NONFATAL_SELECT_RESV1_FLD,Reserved" newline bitfld.long 0x10 6. "ASF_INTEGRITY_ERR_SEL_FLD,Enable integrity interrupt as fatal" "0,1" newline bitfld.long 0x10 5. "ASF_PROTOCOL_ERR_SEL_FLD,Enable protocol interrupt as fatal" "0,1" newline bitfld.long 0x10 4. "ASF_TRANS_TO_ERR_SEL_FLD,Enable transaction timeouts interrupt as fatal" "0,1" newline bitfld.long 0x10 3. "ASF_CSR_ERR_SEL_FLD,Enable configuration and status registers interrupts as fatal" "0,1" newline bitfld.long 0x10 2. "ASF_DAP_ERR_SEL_FLD,Enable data and address paths interrupt as fatal" "0,1" newline bitfld.long 0x10 1. "ASF_SRAM_UNCORR_ERR_SEL_FLD,Enable SRAM uncorrectable interrupt as fatal" "0,1" newline bitfld.long 0x10 0. "ASF_SRAM_CORR_ERR_SEL_FLD,Enable SRAM correctable interrupt as fatal" "0,1" rgroup.long 0xA20++0x7 line.long 0x0 "ASF_SRAM_CORR_FAULT_STATUS_REG,Status register for SRAM correctable fault' field{ desc = 'Last SRAM address that generated fault" line.long 0x4 "ASF_SRAM_UNCORR_FAULT_STATUS_REG,Status register for SRAM uncorrectable fault" hexmask.long.word 0x4 16.--31. 1. "ASF_SRAM_UNCORR_FAULT_STATUS_RESV1_FLD,Reserved" newline hexmask.long.word 0x4 0.--15. 1. "ASF_SRAM_UNCORR_FAULT_ADDR_FLD,Last SRAM address that generated" group.long 0xA28++0x3 line.long 0x0 "ASF_SRAM_FAULT_STATS_REG,Statics register for SRAM faults" hexmask.long.word 0x0 16.--31. 1. "ASF_SRAM_FAULT_UNCORR_STATS_FLS,Count of number of uncorrectable errors" newline hexmask.long.word 0x0 0.--15. 1. "ASF_SRAM_FAULT_CORR_STATS_FLD,Count of number of correctable errors" group.long 0xA30++0xB line.long 0x0 "ASF_TRANS_TO_CTRL_REG,Control register to configure the ASF transaction timeout monitors" bitfld.long 0x0 31. "ASF_TRANS_TO_EN_FLD,Enable transaction timeout monitoring" "0,1" newline hexmask.long.word 0x0 16.--30. 1. "ASF_TRANS_TO_CTRL_RESV1_FLD,Reserved" newline hexmask.long.word 0x0 0.--15. 1. "ASF_TRANS_TO_CTRL_FLD,Time value to use for transaction timeout monitor" line.long 0x4 "ASF_TRANS_TO_FAULT_MASK_REG,Control Register to mask out ASF transaction timeout faults from triggering interrupts" hexmask.long 0x4 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 0. "ASF_TRANS_TO_FAULT_MASK_FLD,Mask for AHB ASF transaction timeout fault source" "0,1" line.long 0x8 "ASF_TRANS_TO_FAULT_STATUS_REG,Status register for transaction timeouts fault" hexmask.long 0x8 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x8 0. "ASF_TRANS,Status bit for transaction timeouts faults" "0,1" group.long 0xA40++0x7 line.long 0x0 "ASF_PROTOCOL_FAULT_MASK_REG,Control register to mask out ASF Protocol faults from triggering interrupts" hexmask.long.word 0x0 19.--31. 1. "ASF_PROTOCOL_FAULT_MASK_RESV2_FLD,Reserved" newline bitfld.long 0x0 18. "ASF_PROTOCOL_FAULT_MASK_FLASH_CFG_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 17. "ASF_PROTOCOL_FAULD_MASK_CS_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 16. "ASF_PROTOCOL_FAULT_MASK_TRI_FLD,Mask bit for Tri state enable fault" "0,1" newline hexmask.long.word 0x0 4.--15. 1. "ASF_PROTOCOL_FAULT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x0 3. "ASF_PROTOCOL_FAULT_MASK_ECC_FLD,Mask bit for ECC failure" "0,1" newline bitfld.long 0x0 2. "ASF_PROTOCOL_MASK_TX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 1. "ASF_PROTOCOL_FAULT_MASK_RX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 0. "ASF_PROTOCOL_FAULT_MASK_POLL_FLD,Mask bit for Polling expiration error" "0,1" line.long 0x4 "ASF_PROTOCOL_FAULT_STATUS_REG,Status register for protocol faults" hexmask.long.word 0x4 19.--31. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV2_FLD,Reserved" newline bitfld.long 0x4 18. "ASF_PROTOCOL_FAULT_STATUS_FLASH_CFG_FLD,Flash Configuration failure" "0,1" newline bitfld.long 0x4 17. "ASF_PROTOCOL_FAULT_STATUS_CS_FLD,#CS fault" "0,1" newline bitfld.long 0x4 16. "ASF_PROTOCOL_FAULT_STATUS_TRI_FLD,Tri state enable fault" "0,1" newline hexmask.long.word 0x4 4.--15. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 3. "ASF_PROTOCOL_FAULT_STATUS_ECC,ECC failure" "0,1" newline bitfld.long 0x4 2. "ASF_PROTOCOL_FAULT_STATUS_TX_CRC,TX CRC chunk was broken" "0,1" newline bitfld.long 0x4 1. "ASF_PROTOCOL_FAULT_STATUS_RX_CRC_FLD,RX CRC data error" "0,1" newline bitfld.long 0x4 0. "ASF_PROTOCOL_FAULT_STATUS_POLL_FLD,Polling expiration error" "0,1" tree.end tree "OSPI2" base ad:0x306D0000 group.long 0x0++0x2B line.long 0x0 "CONFIG_REG,Octal-SPI Configuration Register" rbitfld.long 0x0 31. "IDLE_FLD,Serial interface and low level SPI pipeline is IDLE: This is a STATUS read-only bit. Note this is a retimed signal so there will be some inherent delay on the generation of this status signal." "0,1" newline bitfld.long 0x0 30. "DUAL_BYTE_OPCODE_EN_FLD,Dual-byte Opcode Mode enable bit This bit is to be set in case the target Flash Device supports dual byte opcode (i.e. Macronix MX25). It is applicable for Octal I/O Mode or Protocol only so should be set back to low if the device.." "0,1" newline bitfld.long 0x0 29. "CRC_ENABLE_FLD,CRC enable bit This bit is to be set in case the target Flash Device supports CRC (Macronix MX25). It is applicable for Octal DDR Protocol only so should be set back to low if the device is configured to work in another SPI Mode." "0,1" newline bitfld.long 0x0 28. "FLIPPER_ENABLE_FLD,Flip enable bit This bit is to be set in case the target Flash Device supports data slices swapped in order of D1 D0 D3 D2 etc. It is applicable for DTR-OPI Mode of Macronix MX25 devices." "0,1" newline rbitfld.long 0x0 26.--27. "CONFIG_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x0 25. "PIPELINE_PHY_FLD,Pipeline PHY Mode enable: This bit is relevant only for configuration with PHY Module. It should be asserted to '1' between consecutive PHY pipeline reads transfers and de-asserted to '0' otherwise." "0,1" newline bitfld.long 0x0 24. "ENABLE_DTR_PROTOCOL_FLD,Enable DTR Protocol: This bit should be set if device is configured to work in DTR protocol." "0,1" newline bitfld.long 0x0 23. "ENABLE_AHB_DECODER_FLD,Enable AHB Decoder: Value=0 : Active slave is selected based on Peripheral Chip Select Lines (bits [13:10]). Value=1 Active slave is selected based on actual AHB address (the partition for each device is calculated with respect to.." "Active slave is selected based on Peripheral..,?" newline hexmask.long.byte 0x0 19.--22. 1. "MSTR_BAUD_DIV_FLD,Master Mode Baud Rate Divisor: SPI baud rate = (master reference clock) baud_rate_divisor" newline bitfld.long 0x0 18. "ENTER_XIP_MODE_IMM_FLD,Enter XIP Mode immediately: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : Operate the device in XIP mode immediately Use this register when the.." "If XIP is enabled,Operate the device in XIP mode immediately Use.." newline bitfld.long 0x0 17. "ENTER_XIP_MODE_FLD,Enter XIP Mode on next READ: Value=0 : If XIP is enabled then setting to 0 will cause the controller to exit XIP mode on the next READ instruction. Value=1 : If XIP is disabled then setting to ?1? will inform the controller that the.." "If XIP is enabled,If XIP is disabled" newline bitfld.long 0x0 16. "ENB_AHB_ADDR_REMAP_FLD,Enable AHB Address Re-mapping: (Direct Access Mode Only) When set to 1 the incoming AHB address will be adapted and sent to the FLASH device as (address + N) where N is the value stored in the remap address register." "0,1" newline bitfld.long 0x0 15. "ENB_DMA_IF_FLD,Enable DMA Peripheral Interface: Set to 1 to enable the DMA handshaking logic. When enabled the controller will trigger DMA transfer requests via the DMA peripheral interface. Set to 0 to disable" "0,1" newline bitfld.long 0x0 14. "WR_PROT_FLASH_FLD,Write Protect Flash Pin: Set to drive the Write Protect pin of the FLASH device. This is resynchronized to the generated memory clock as necessary." "0,1" newline hexmask.long.byte 0x0 10.--13. 1. "PERIPH_CS_LINES_FLD,Peripheral Chip Select Lines: Peripheral chip select lines If pdec = 0 ss[3:0] are output thus: ss[3:0] n_ss_out[3:0] xxx0 1110 xx01 1101 x011 1011 0111 0111 1111 1111 (no peripheral selected) else ss[3:0] directly drives n_ss_out[3:0]" newline bitfld.long 0x0 9. "PERIPH_SEL_DEC_FLD,Peripheral select decode: 0 : only 1 of 4 selects n_ss_out[3:0] is active 1 : allow external 4-to-16 decode (n_ss_out = ss)" "only 1 of 4 selects n_ss_out[3:0] is active,allow external 4-to-16 decode" newline bitfld.long 0x0 8. "ENB_LEGACY_IP_MODE_FLD,Legacy IP Mode Enable: 0 : Use Direct Access Controller/Indirect Access Controller 1 : legacy Mode is enabled. In this mode any write to the controller via the AHB interface is serialized and sent to the FLASH device. Any valid.." "Use Direct Access Controller/Indirect Access..,legacy Mode is enabled" newline bitfld.long 0x0 7. "ENB_DIR_ACC_CTLR_FLD,Enable Direct Access Controller: 0 : disable the Direct Access Controller once current transfer of the data word (FF_W) is complete. 1 : enable the Direct Access Controller When the Direct Access Controller and Indirect Access.." "disable the Direct Access Controller once..,enable the Direct Access Controller When the.." newline bitfld.long 0x0 6. "RESET_CFG_FLD,RESET pin configuration: 0 = RESET feature on DQ3 pin of the device 1 = RESET feature on dedicated pin of the device (controlling of 5th bit influences on reset_out output)" "RESET feature on DQ3 pin of the device,RESET feature on dedicated pin of the device" newline bitfld.long 0x0 5. "RESET_PIN_FLD,Set to drive the RESET pin of the FLASH device and reset for de-activation of the RESET pin feature" "0,1" newline bitfld.long 0x0 4. "HOLD_PIN_FLD,Set to drive the HOLD pin of the FLASH device and reset for de-activation of the HOLD pin feature" "0,1" newline bitfld.long 0x0 3. "PHY_MODE_ENABLE_FLD,PHY mode enable: When enabled the controller is informed that PHY Module is to be used for handling SPI transfers. This bit is relevant only for configuration with PHY Module. Note: - When changing this bit field software should.." "0,1" newline bitfld.long 0x0 2. "SEL_CLK_PHASE_FLD,Select Clock Phase: Selects whether the clock is in an active or inactive phase outside the SPI word. 0 : the SPI clock is active outside the word 1 : the SPI clock is inactive outside the word" "the SPI clock is active outside the word,the SPI clock is inactive outside the word" newline bitfld.long 0x0 1. "SEL_CLK_POL_FLD,Clock polarity outside SPI word: 0 : the SPI clock is quiescent low 1 : the SPI clock is quiescent high" "the SPI clock is quiescent low,the SPI clock is quiescent high" newline bitfld.long 0x0 0. "ENB_SPI_FLD,Octal-SPI Enable: 0 : disable the Octal-SPI once current transfer of the data word (FF_W) is complete. 1 : enable the Octal-SPI when spi_enable = 0 all output enables are inactive and all pins are set to input mode." "disable the Octal-SPI,enable the Octal-SPI" line.long 0x4 "DEV_INSTR_RD_CONFIG_REG,Device Read Instruction Configuration Register" rbitfld.long 0x4 29.--31. "RD_INSTR_RESV5_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 24.--28. 1. "DUMMY_RD_CLK_CYCLES_FLD,Dummy Read Clock Cycles: Number of dummy clock cycles required by device for read instruction." newline rbitfld.long 0x4 21.--23. "RD_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "MODE_BIT_ENABLE_FLD,Mode Bit Enable: Set this field to 1 to ensure that the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline rbitfld.long 0x4 18.--19. "RD_INSTR_RESV3_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Quad Input/Output instructions" newline rbitfld.long 0x4 14.--15. "RD_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x4 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline bitfld.long 0x4 11. "PRED_DIS_FLD,Predicted Read Disable Bit: Disable generation of predicted read when doing read accesses using Direct Mode" "0,1" newline bitfld.long 0x4 10. "DDR_EN_FLD,DDR Enable: This is to inform that opcode from rd_opcode_non_xip_fld is compliant with one of the DDR READ Commands" "0,1" newline bitfld.long 0x4 8.--9. "INSTR_TYPE_FLD,Instruction Type: 0 : Use Standard SPI mode (instruction always shifted into the device on DQ0 only) 1 : Use DIO-SPI mode (Instructions Address and Data always sent on DQ0 and DQ1) 2 : Use QIO-SPI mode (Instructions Address and Data.." "Use Standard SPI mode,Use DIO-SPI mode,Use QIO-SPI mode,Use Octal-IO-SPI mode" newline hexmask.long.byte 0x4 0.--7. 1. "RD_OPCODE_NON_XIP_FLD,Read Opcode in non-XIP mode: Read Opcode to use when not in XIP mode" line.long 0x8 "DEV_INSTR_WR_CONFIG_REG,Device Write Instruction Configuration Register" rbitfld.long 0x8 29.--31. "WR_INSTR_RESV4_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 24.--28. 1. "DUMMY_WR_CLK_CYCLES_FLD,Dummy Write Clock Cycles: Number of dummy clock cycles required by device for write instruction." newline hexmask.long.byte 0x8 18.--23. 1. "WR_INSTR_RESV3_FLD,Reserved" newline bitfld.long 0x8 16.--17. "DATA_XFER_TYPE_EXT_MODE_FLD,Data Transfer Type for Standard SPI modes: 0 : SIO mode data is shifted to the device on DQ0 only and from the device on DQ1 only 1 : Used for Dual Input/Output instructions. For data transfers DQ0 and DQ1 are used as both.." "SIO mode data is shifted to the device on DQ0..,Used for Dual Input/Output instructions,Used for Quad Input/Output instructions,Used for Octal Input/Output instructions" newline rbitfld.long 0x8 14.--15. "WR_INSTR_RESV2_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x8 12.--13. "ADDR_XFER_TYPE_STD_MODE_FLD,Address Transfer Type for Standard SPI modes: 0 : Addresses can be shifted to the device on DQ0 only 1 : Addresses can be shifted to the device on DQ0 and DQ1 only 2 : Addresses can be shifted to the device on DQ0 DQ1 DQ2.." "Addresses can be shifted to the device on DQ0 only,Addresses can be shifted to the device on DQ0..,Addresses can be shifted to the device on DQ0,Addresses can be shifted to the device on DQ[7:0]" newline rbitfld.long 0x8 9.--11. "WR_INSTR_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 8. "WEL_DIS_FLD,WEL Disable: This is to turn off automatic issuing of WEL Command before write operation for DAC or INDAC" "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "WR_OPCODE_FLD,Write Opcode" line.long 0xC "DEV_DELAY_REG,Octal-SPI Device Delay Register: This register is used to introduce relative delays into the generation of the master output signals. All timings are defined in cycles of the SPI REFERENCE CLOCK/ext_clk. defined in this table as SPI master.." hexmask.long.byte 0xC 24.--31. 1. "D_NSS_FLD,Clock Delay for Chip Select Deassert: Delay in master reference clocks for the length that the master mode chip select outputs are de-asserted between transactions. The minimum delay is always SCLK period to ensure the chip select is never.." newline hexmask.long.byte 0xC 16.--23. 1. "D_BTWN_FLD,Clock Delay for Chip Select Deactivation: Delay in master reference clocks between one chip select being de-activated and the activation of another. This is used to ensure a quiet period between the selection of two different slaves and.." newline hexmask.long.byte 0xC 8.--15. 1. "D_AFTER_FLD,Clock Delay for Last Transaction Bit: Delay in master reference clocks between last bit of current transaction and deasserting the device chip select (n_ss_out). By default the chip select will be deasserted on the cycle following the.." newline hexmask.long.byte 0xC 0.--7. 1. "D_INIT_FLD,Clock Delay with n_ss_out: Delay in master reference clocks between setting n_ss_out low and first bit transfer." line.long 0x10 "RD_DATA_CAPTURE_REG,Read Data Capture Register" hexmask.long.word 0x10 20.--31. 1. "RD_DATA_RESV3_FLD,Reserved" newline hexmask.long.byte 0x10 16.--19. 1. "DDR_READ_DELAY_FLD,DDR read delay: Delay the transmitted data by the programmed number of ref_clk cycles.This field is only relevant when DDR Read Command is executed. Otherwise can be ignored." newline hexmask.long.byte 0x10 9.--15. 1. "RD_DATA_RESV2_FLD,Reserved" newline bitfld.long 0x10 8. "DQS_ENABLE_FLD,DQS enable bit: If enabled signal from DQS input is driven into RX DLL and is used for data capturing in PHY Mode rather than internally generated gated ref_clk.." "0,1" newline rbitfld.long 0x10 6.--7. "RD_DATA_RESV1_FLD,Reserved" "0,1,2,3" newline bitfld.long 0x10 5. "SAMPLE_EDGE_SEL_FLD,Sample edge selection: Choose edge on which data outputs from flash memory will be sampled" "0,1" newline hexmask.long.byte 0x10 1.--4. 1. "DELAY_FLD,Read Delay: Delay the read data capturing logic by the programmed number of ref_clk cycles" newline bitfld.long 0x10 0. "BYPASS_FLD,Bypass the adapted loopback clock circuit" "0,1" line.long 0x14 "DEV_SIZE_CONFIG_REG,Device Size Configuration Register" rbitfld.long 0x14 29.--31. "DEV_SIZE_RESV_FLD,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 27.--28. "MEM_SIZE_ON_CS3_FLD,Size of Flash Device connected to CS[3] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 25.--26. "MEM_SIZE_ON_CS2_FLD,Size of Flash Device connected to CS[2] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 23.--24. "MEM_SIZE_ON_CS1_FLD,Size of Flash Device connected to CS[1] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline bitfld.long 0x14 21.--22. "MEM_SIZE_ON_CS0_FLD,Size of Flash Device connected to CS[0] pin: Value=00 : size of 512Mb. Value=01 : size of 1Gb. Value=10 : size of 2Gb. Value=11 : size of 4Gb." "size of 512Mb,size of 1Gb,?,?" newline hexmask.long.byte 0x14 16.--20. 1. "BYTES_PER_SUBSECTOR_FLD,Number of bytes per Block. This is required by the controller for performing the write protection logic. The number of bytes per block must be a power of 2 number." newline hexmask.long.word 0x14 4.--15. 1. "BYTES_PER_DEVICE_PAGE_FLD,Number of bytes per device page. This is required by the controller for performing FLASH writes up to and across page boundaries." newline hexmask.long.byte 0x14 0.--3. 1. "NUM_ADDR_BYTES_FLD,Number of address bytes. A value of 0 indicates 1 byte." line.long 0x18 "SRAM_PARTITION_CFG_REG,SRAM Partition Configuration Register" hexmask.long.tbyte 0x18 8.--31. 1. "SRAM_PARTITION_RESV_FLD,Reserved" newline hexmask.long.byte 0x18 0.--7. 1. "ADDR_FLD,Indirect Read Partition Size: Defines the size of the indirect read partition in the SRAM in units of SRAM locations. By default half of the SRAM is reserved for indirect read operation and half for indirect write. The size of this register.." line.long 0x1C "IND_AHB_ADDR_TRIGGER_REG,Indirect AHB Address Trigger Register" hexmask.long 0x1C 0.--31. 1. "ADDR_FLD,This is the base address that will be used by the AHB controller. When the incoming AHB read access address matches a range of addresses from this trigger address to the trigger address + 15 then the AHB request will be completed by fetching.." line.long 0x20 "DMA_PERIPH_CONFIG_REG,DMA Peripheral Configuration Register" hexmask.long.tbyte 0x20 12.--31. 1. "DMA_PERIPH_RESV2_FLD,Reserved" newline hexmask.long.byte 0x20 8.--11. 1. "NUM_BURST_REQ_BYTES_FLD,Number of Burst Bytes: Number of bytes in a burst type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The actual.." newline hexmask.long.byte 0x20 4.--7. 1. "DMA_PERIPH_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "NUM_SINGLE_REQ_BYTES_FLD,Number of Single Bytes: Number of bytes in a single type request on the DMA peripheral request. A programmed value of 0 represents a single byte. This should be setup before starting the indirect read or write operation. The.." line.long 0x24 "REMAP_ADDR_REG,Remap Address Register" hexmask.long 0x24 0.--31. 1. "VALUE_FLD,This register is used to remap an incoming AHB address to a different address used by the FLASH device." line.long 0x28 "MODE_BIT_CONFIG_REG,Mode Bit Configuration Register" hexmask.long.byte 0x28 24.--31. 1. "RX_CRC_DATA_LOW_FLD,RX CRC data (lower) The first CRC byte returned after RX data chunk." newline hexmask.long.byte 0x28 16.--23. 1. "RX_CRC_DATA_UP_FLD,RX CRC data (upper) The second CRC byte returned after RX data chunk." newline bitfld.long 0x28 15. "CRC_OUT_ENABLE_FLD,CRC# output enable bit When enabled the controller expects the Flash Device to toggle CRC data on both SPI clock edges in CRC->CRC# sequence and calculates CRC compliance accordingly." "0,1" newline hexmask.long.byte 0x28 11.--14. 1. "MODE_BIT_RESV1_FLD,Reserved" newline bitfld.long 0x28 8.--10. "CHUNK_SIZE_FLD,It defines size of chunk after which CRC data is expected to show up on the SPI interface for write and read data transfers." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x28 0.--7. 1. "MODE_FLD,These are the 8 mode bits that are sent to the device following the address bytes if mode bit transmission has been enabled." rgroup.long 0x2C++0x3 line.long 0x0 "SRAM_FILL_REG,SRAM Fill Register" hexmask.long.word 0x0 16.--31. 1. "SRAM_FILL_INDAC_WRITE_FLD,SRAM Fill Level (Indirect Write Partition): Identifies the current fill level of the SRAM Indirect Write partition" newline hexmask.long.word 0x0 0.--15. 1. "SRAM_FILL_INDAC_READ_FLD,SRAM Fill Level (Indirect Read Partition): Identifies the current fill level of the SRAM Indirect Read partition" group.long 0x30++0x17 line.long 0x0 "TX_THRESH_REG,TX Threshold Register" hexmask.long 0x0 5.--31. 1. "TX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x0 0.--4. 1. "LEVEL_FLD,Defines the level at which the small TX FIFO not full interrupt is generated" line.long 0x4 "RX_THRESH_REG,RX Threshold Register" hexmask.long 0x4 5.--31. 1. "RX_THRESH_RESV_FLD,Reserved" newline hexmask.long.byte 0x4 0.--4. 1. "LEVEL_FLD,Defines the level at which the small RX FIFO not empty interrupt is generated" line.long 0x8 "WRITE_COMPLETION_CTRL_REG,Write Completion Control Register: This register defines how the controller will poll the device following a write transfer" hexmask.long.byte 0x8 24.--31. 1. "POLL_REP_DELAY_FLD,Defines additional delay for maintain Chip Select de-asserted during auto-polling phase" newline hexmask.long.byte 0x8 16.--23. 1. "POLL_COUNT_FLD,Defines the number of times the controller should expect to see a true result from the polling in successive reads of the device register." newline bitfld.long 0x8 15. "ENABLE_POLLING_EXP_FLD,Set to '1' for enabling auto-polling expiration." "0,1" newline bitfld.long 0x8 14. "DISABLE_POLLING_FLD,This switches off the automatic polling function" "0,1" newline bitfld.long 0x8 13. "POLLING_POLARITY_FLD,Defines the polling polarity. If '1' then the write transfer to the device will be complete if the polled bit is equal to '1'. If '0' then the write transfer to the device will be complete if the polled bit is equal to '0'." "0,1" newline rbitfld.long 0x8 12. "WR_COMP_CTRL_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x8 11. "POLLING_ADDR_EN_FLD,Enables address phase of auto-polling (Read Status) command." "0,1" newline bitfld.long 0x8 8.--10. "POLLING_BIT_INDEX_FLD,Defines the bit index that should be polled. A value of 010 means that bit 2 of the returned data will be polled for.A value of 111 means that bit 7 of the returned data will be polled for." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--7. 1. "OPCODE_FLD,Defines the opcode that should be issued by the controller when it is automatically polling for device program completion. This command is issued followed all device write operations. By default this will poll the standard device STATUS.." line.long 0xC "NO_OF_POLLS_BEF_EXP_REG,Polling Expiration Register" hexmask.long 0xC 0.--31. 1. "NO_OF_POLLS_BEF_EXP_FLD,Number of polls cycles before expiration" line.long 0x10 "IRQ_STATUS_REG,Interrupt Status Register: The status fields in this register are set when the described event occurs and the interrupt is enabled in the mask register. When any of these bit fields are set. the interrupt output is asserted high. The.." hexmask.long.word 0x10 21.--31. 1. "IRQ_STAT_RESV_FLD,Reserved" newline bitfld.long 0x10 20. "HYPER_INT_FLD,HyperFlash interrupt This interrupt informs the system that Flash Device reported interrupt on its INT# output" "0,1" newline bitfld.long 0x10 19. "ECC_FAIL_FLD,ECC failure This interrupt informs the system that Flash Device reported ECC error." "0,1" newline bitfld.long 0x10 18. "TX_CRC_CHUNK_BRK_FLD,TX CRC chunk was broken This interrupt informs the system that program page SPI transfer was discontinued somewhere inside the chunk." "0,1" newline bitfld.long 0x10 17. "RX_CRC_DATA_VAL_FLD,RX CRC data valid New RX CRC data was captured from Flash Device" "0,1" newline bitfld.long 0x10 16. "RX_CRC_DATA_ERR_FLD,RX CRC data error CRC data from Flash Device does not correspond to the one dynamically calculated by the controller." "0,1" newline rbitfld.long 0x10 15. "IRQ_STAT_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x10 14. "STIG_REQ_INT_FLD,The controller is ready for getting another STIG request." "0,1" newline bitfld.long 0x10 13. "POLL_EXP_INT_FLD,The maximum number of programmed polls cycles is expired" "0,1" newline bitfld.long 0x10 12. "INDRD_SRAM_FULL_FLD,Indirect Read Partition overflow: Indirect Read Partition of SRAM is full and unable to immediately complete indirect operation" "0,1" newline bitfld.long 0x10 11. "RX_FIFO_FULL_FLD,Small RX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 10. "RX_FIFO_NOT_EMPTY_FLD,Small RX FIFO not empty: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has less than RX THRESHOLD entries 1 : FIFO has >= THRESHOLD entries" "FIFO has less than RX THRESHOLD entries,FIFO has >= THRESHOLD entries" newline bitfld.long 0x10 9. "TX_FIFO_FULL_FLD,Small TX FIFO full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO is not full 1 : FIFO is full" "FIFO is not full,FIFO is full" newline bitfld.long 0x10 8. "TX_FIFO_NOT_FULL_FLD,Small TX FIFO not full: Current FIFO status can be ignored in non-SPI legacy mode 0 : FIFO has >= THRESHOLD entries 1 : FIFO has less than THRESHOLD entries" "FIFO has >= THRESHOLD entries,FIFO has less than THRESHOLD entries" newline bitfld.long 0x10 7. "RECV_OVERFLOW_FLD,Receive Overflow: This should only occur in Legacy SPI mode. Set if an attempt is made to push the RX FIFO when it is full. This bit is reset only by a system reset and cleared only when this register is read. If a new push to the RX.." "no overflow has been detected,an overflow has occurred" newline bitfld.long 0x10 6. "INDIRECT_XFER_LEVEL_BREACH_FLD,Indirect Transfer Watermark Level Breached" "0,1" newline bitfld.long 0x10 5. "ILLEGAL_ACCESS_DET_FLD,Illegal AHB access has been detected. AHB wrapping bursts and the use of SPLIT/RETRY accesses will cause this error interrupt to trigger." "0,1" newline bitfld.long 0x10 4. "PROT_WR_ATTEMPT_FLD,Write to protected area was attempted and rejected." "0,1" newline bitfld.long 0x10 3. "INDIRECT_TRANSFER_REJECT_FLD,Indirect operation was requested but could not be accepted. Two indirect operations already in storage." "0,1" newline bitfld.long 0x10 2. "INDIRECT_OP_DONE_FLD,Indirect Operation Complete: Controller has completed last triggered indirect operation" "0,1" newline bitfld.long 0x10 1. "UNDERFLOW_DET_FLD,Underflow Detected: 0 : no underflow has been detected 1 : underflow is detected and an attempt to transfer data is made when the small TX FIFO is empty. This may occur when AHB write data is being supplied too slowly to keep up with.." "no underflow has been detected,underflow is detected and an attempt to transfer.." newline bitfld.long 0x10 0. "MODE_M_FAIL_FLD,Mode M Failure: Mode M failure indicates the voltage on pin n_ss_in is inconsistent with the SPI mode. Set =1 if n_ss_in is low in master mode (multi-master contention). These conditions will clear the spi_enable bit and disable the SPI." "no mode fault has been detected,a mode fault has occurred" line.long 0x14 "IRQ_MASK_REG,Interrupt Mask: 0 : the interrupt for the corresponding interrupt status register bit is disabled. 1 : the interrupt for the corresponding interrupt status register bit is enabled." hexmask.long.word 0x14 21.--31. 1. "IRQ_MASK_RESV_FLD,Reserved" newline bitfld.long 0x14 20. "HYPER_INT_MASK_FLD,HyperFlash interrupt Mask" "0,1" newline bitfld.long 0x14 19. "ECC_FAIL_MASK_FLD,ECC failure Mask" "0,1" newline bitfld.long 0x14 18. "TX_CRC_CHUNK_BRK_MASK_FLD,TX CRC chunk was broken Mask" "0,1" newline bitfld.long 0x14 17. "RX_CRC_DATA_VAL_MASK_FLD,RX CRC data valid Mask" "0,1" newline bitfld.long 0x14 16. "RX_CRC_DATA_ERR_MASK_FLD,RX CRC data error Mask" "0,1" newline rbitfld.long 0x14 15. "IRQ_MASK_RESV1_FLD,Reserved" "0,1" newline bitfld.long 0x14 14. "STIG_REQ_MASK_FLD,STIG request completion Mask" "0,1" newline bitfld.long 0x14 13. "POLL_EXP_INT_MASK_FLD,Polling expiration detected Mask" "0,1" newline bitfld.long 0x14 12. "INDRD_SRAM_FULL_MASK_FLD,Indirect Read Partition overflow mask" "0,1" newline bitfld.long 0x14 11. "RX_FIFO_FULL_MASK_FLD,Small RX FIFO full Mask" "0,1" newline bitfld.long 0x14 10. "RX_FIFO_NOT_EMPTY_MASK_FLD,Small RX FIFO not empty Mask" "0,1" newline bitfld.long 0x14 9. "TX_FIFO_FULL_MASK_FLD,Small TX FIFO full Mask" "0,1" newline bitfld.long 0x14 8. "TX_FIFO_NOT_FULL_MASK_FLD,Small TX FIFO not full Mask" "0,1" newline bitfld.long 0x14 7. "RECV_OVERFLOW_MASK_FLD,Receive Overflow Mask" "0,1" newline bitfld.long 0x14 6. "INDIRECT_XFER_LEVEL_BREACH_MASK_FLD,Transfer Watermark Breach Mask" "0,1" newline bitfld.long 0x14 5. "ILLEGAL_ACCESS_DET_MASK_FLD,Illegal Access Detected Mask" "0,1" newline bitfld.long 0x14 4. "PROT_WR_ATTEMPT_MASK_FLD,Protected Area Write Attempt Mask" "0,1" newline bitfld.long 0x14 3. "INDIRECT_TRANSFER_REJECT_MASK_FLD,Indirect Read Reject Mask" "0,1" newline bitfld.long 0x14 2. "INDIRECT_OP_DONE_MASK_FLD,Indirect Complete Mask" "0,1" newline bitfld.long 0x14 1. "UNDERFLOW_DET_MASK_FLD,Underflow Detected Mask" "0,1" newline bitfld.long 0x14 0. "MODE_M_FAIL_MASK_FLD,Mode M Failure Mask" "0,1" group.long 0x50++0xB line.long 0x0 "LOWER_WR_PROT_REG,Lower Write Protection Register" hexmask.long 0x0 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the lower block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x4 "UPPER_WR_PROT_REG,Upper Write Protection Register" hexmask.long 0x4 0.--31. 1. "SUBSECTOR_FLD,The block number that defines the upper block in the range of blocks that is to be locked from writing. The definition of a block in terms of number of bytes is programmable via the Device Size Configuration register." line.long 0x8 "WR_PROT_CTRL_REG,Write Protection Control Register" hexmask.long 0x8 2.--31. 1. "WR_PROT_CTRL_RESV_FLD,Reserved" newline bitfld.long 0x8 1. "ENB_FLD,Write Protection Enable Bit: When set to 1 any AHB write access with an address within the protection region defined in the lower and upper write protection registers is rejected. An AHB error response is generated and an interrupt source.." "0,1" newline bitfld.long 0x8 0. "INV_FLD,Write Protection Inversion Bit: When set to 1 the protection region defined in the lower and upper write protection registers is inverted meaning it is the region that the system is permitted to write to. When set to 0 the protection region.." "0,1" group.long 0x60++0x23 line.long 0x0 "INDIRECT_READ_XFER_CTRL_REG,Indirect Read Transfer Control Register" hexmask.long.tbyte 0x0 8.--31. 1. "INDIR_RD_XFER_RESV_FLD,Reserved" newline rbitfld.long 0x0 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x0 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x0 4. "RD_QUEUED_FLD,Two indirect read operations have been queued" "0,1" newline bitfld.long 0x0 3. "SRAM_FULL_FLD,SRAM Full: SRAM full and unable to immediately complete an indirect operation. Write a 1 to this field to clear it.\'; indirect operation (status)" "0,1" newline rbitfld.long 0x0 2. "RD_STATUS_FLD,Indirect Read Status: Indirect read operation in progress (status)" "0,1" newline bitfld.long 0x0 1. "CANCEL_FLD,Cancel Indirect Read: Writing a 1 to this bit will cancel all ongoing indirect read operations." "0,1" newline bitfld.long 0x0 0. "START_FLD,Start Indirect Read: Writing a 1 to this bit will trigger an indirect read operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect read operation." "0,1" line.long 0x4 "INDIRECT_READ_XFER_WATERMARK_REG,Indirect Read Transfer Watermark Register" hexmask.long 0x4 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the minimum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level passes the watermark an interrupt is also generated. This field can be disabled by writing a value of all.." line.long 0x8 "INDIRECT_READ_XFER_START_REG,Indirect Read Transfer Start Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,This is the start address from which the indirect access will commence its READ operation." line.long 0xC "INDIRECT_READ_XFER_NUM_BYTES_REG,Indirect Read Transfer Number Bytes Register" hexmask.long 0xC 0.--31. 1. "VALUE_FLD,This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x10 "INDIRECT_WRITE_XFER_CTRL_REG,Indirect Write Transfer Control Register" hexmask.long.tbyte 0x10 8.--31. 1. "INDIR_WR_XFER_RESV2_FLD,Reserved" newline rbitfld.long 0x10 6.--7. "NUM_IND_OPS_DONE_FLD,This field contains the number of indirect operations which have been completed. This is used in conjunction with the indirect completion status field (bit 5). It is incremented by hardware when an indirect operation has completed." "0,1,2,3" newline bitfld.long 0x10 5. "IND_OPS_DONE_STATUS_FLD,Indirect Completion Status: This field is set to 1 when an indirect operation has completed. Write a 1 to this field to clear it." "0,1" newline rbitfld.long 0x10 4. "WR_QUEUED_FLD,Two indirect write operations have been queued" "0,1" newline rbitfld.long 0x10 3. "INDIR_WR_XFER_RESV1_FLD,Reserved" "0,1" newline rbitfld.long 0x10 2. "WR_STATUS_FLD,Indirect Write Status: Indirect write operation in progress (status)" "0,1" newline bitfld.long 0x10 1. "CANCEL_FLD,Cancel Indirect Write: Writing a 1 to this bit will cancel all ongoing indirect write operations." "0,1" newline bitfld.long 0x10 0. "START_FLD,Start Indirect Write: Writing a 1 to this bit will trigger an indirect write operation. The assumption is that the indirect start address and the indirect number of bytes register is setup before triggering the indirect write operation." "0,1" line.long 0x14 "INDIRECT_WRITE_XFER_WATERMARK_REG,Indirect Write Transfer Watermark Register" hexmask.long 0x14 0.--31. 1. "LEVEL_FLD,Watermark Value: This represents the maximum fill level of the SRAM before a DMA peripheral access is permitted. When the SRAM fill level falls below the watermark an interrupt is also generated. This field can be disabled by writing a value.." line.long 0x18 "INDIRECT_WRITE_XFER_START_REG,Indirect Write Transfer Start Address Register" hexmask.long 0x18 0.--31. 1. "ADDR_FLD,Start of Indirect Access: This is the start address from which the indirect access will commence its READ operation." line.long 0x1C "INDIRECT_WRITE_XFER_NUM_BYTES_REG,Indirect Write Transfer Number Bytes Register" hexmask.long 0x1C 0.--31. 1. "VALUE_FLD,Indirect Number of Bytes: This is the number of bytes that the indirect access will consume. This can be bigger than the configured size of SRAM." line.long 0x20 "INDIRECT_TRIGGER_ADDR_RANGE_REG,Indirect Trigger Address Range Register" hexmask.long 0x20 4.--31. 1. "IND_RANGE_RESV1_FLD,Reserved" newline hexmask.long.byte 0x20 0.--3. 1. "IND_RANGE_WIDTH_FLD,This is the address offset of Indirect Trigger Address Register." group.long 0x8C++0xB line.long 0x0 "FLASH_COMMAND_CTRL_MEM_REG,Flash Command Control Memory Register" rbitfld.long 0x0 29.--31. "FLASH_COMMAND_CTRL_MEM_RESV1_FLD,Reserved" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 20.--28. 1. "MEM_BANK_ADDR_FLD,The address of the Memory Bank which data will be read from." newline rbitfld.long 0x0 19. "FLASH_COMMAND_CTRL_MEM_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 16.--18. "NB_OF_STIG_READ_BYTES_FLD,It defines the number of read bytes for the extended STIG." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "MEM_BANK_READ_DATA_FLD,Last requested data from the STIG Memory Bank." newline hexmask.long.byte 0x0 2.--7. 1. "FLASH_COMMAND_CTRL_MEM_RESV3_FLD,Reserved" newline rbitfld.long 0x0 1. "MEM_BANK_REQ_IN_PROGRESS_FLD,Memory Bank data request in progress." "0,1" newline bitfld.long 0x0 0. "TRIGGER_MEM_BANK_REQ_FLD,Trigger the Memory Bank data request." "0,1" line.long 0x4 "FLASH_CMD_CTRL_REG,Flash Command Control Register" hexmask.long.byte 0x4 24.--31. 1. "CMD_OPCODE_FLD,Command Opcode: The command opcode field should be setup before triggering the command. For example 0x20 maps to SubSector Erase. Writing to the execute field (bit 0) of this register launches the command. NOTE : Using this approach to.." newline bitfld.long 0x4 23. "ENB_READ_DATA_FLD,Read Data Enable: Set to 1 if the command specified in the command opcode field (bits 31:24) requires read data bytes to be received from the device." "0,1" newline bitfld.long 0x4 20.--22. "NUM_RD_DATA_BYTES_FLD,Number of Read Data Bytes: Up to 8 data bytes may be read using this command. Set to 0 for 1 byte and 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 19. "ENB_COMD_ADDR_FLD,Command Address Enable: Set to 1 if the command specified in bits 31:24 requires an address. This should be setup before triggering the command via writing a 1 to the execute field." "0,1" newline bitfld.long 0x4 18. "ENB_MODE_BIT_FLD,Mode Bit Enable: Set to 1 to ensure the mode bits as defined in the Mode Bit Configuration register are sent following the address bytes." "0,1" newline bitfld.long 0x4 16.--17. "NUM_ADDR_BYTES_FLD,Number of Address Bytes: Set to the number of address bytes required (the address itself is programmed in the FLASH COMMAND ADDRESS REGISTERS). This should be setup before triggering the command via bit 0 of this register. 2'b00 : 1.." "0,1,2,3" newline bitfld.long 0x4 15. "ENB_WRITE_DATA_FLD,Write Data Enable: Set to 1 if the command specified in the command opcode field requires write data bytes to be sent to the device." "0,1" newline bitfld.long 0x4 12.--14. "NUM_WR_DATA_BYTES_FLD,Number of Write Data Bytes: Up to 8 Data bytes may be written using this command Set to 0 for 1 byte 7 for 8 bytes." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 7.--11. 1. "NUM_DUMMY_CYCLES_FLD,Number of Dummy cycles: Set to the number of dummy cycles required. This should be setup before triggering the command via the execute field of this register." newline hexmask.long.byte 0x4 3.--6. 1. "FLASH_CMD_CTRL_RESV1_FLD,Reserved" newline bitfld.long 0x4 2. "STIG_MEM_BANK_EN_FLD,STIG Memory Bank enable bit." "0,1" newline rbitfld.long 0x4 1. "CMD_EXEC_STATUS_FLD,Command execution in progress." "0,1" newline bitfld.long 0x4 0. "CMD_EXEC_FLD,Execute the command." "0,1" line.long 0x8 "FLASH_CMD_ADDR_REG,Flash Command Address Register" hexmask.long 0x8 0.--31. 1. "ADDR_FLD,Command Address: This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the address used by the command specified in the opcode field (bits 31:24) of the Flash Command Control.." rgroup.long 0xA0++0x7 line.long 0x0 "FLASH_RD_DATA_LOWER_REG,Flash Command Read Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,This is the data that is returned by the flash device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." line.long 0x4 "FLASH_RD_DATA_UPPER_REG,Flash Command Read Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,This is the data that is returned by the FLASH device for any status or configuration read operation carried out by triggering the event in the control register. The register will be valid when the polling bit in the control register is low." group.long 0xA8++0x13 line.long 0x0 "FLASH_WR_DATA_LOWER_REG,Flash Command Write Data Register (Lower)" hexmask.long 0x0 0.--31. 1. "DATA_FLD,Command Write Data Lower Byte: This is the command write data lower byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x4 "FLASH_WR_DATA_UPPER_REG,Flash Command Write Data Register (Upper)" hexmask.long 0x4 0.--31. 1. "DATA_FLD,Command Write Data Upper Byte: This is the command write data upper byte. This should be setup before triggering the command with execute field (bit 0) of the Flash Command Control register. It is the data that is to be written to the flash for.." line.long 0x8 "POLLING_FLASH_STATUS_REG,Polling Flash Status Register" hexmask.long.word 0x8 21.--31. 1. "DEVICE_STATUS_RSVD_FLD2,Reserved" newline hexmask.long.byte 0x8 16.--20. 1. "DEVICE_STATUS_NB_DUMMY,Number of dummy cycles for auto-polling" newline hexmask.long.byte 0x8 9.--15. 1. "DEVICE_STATUS_RSVD_FLD1,Reserved" newline rbitfld.long 0x8 8. "DEVICE_STATUS_VALID_FLD,Device Status Valid: This should be set when value in bits from 7 to 0 is valid." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "DEVICE_STATUS_FLD,Defines actual Status Register of Device" line.long 0xC "PHY_CONFIGURATION_REG,PHY Configuration Register" bitfld.long 0xC 31. "PHY_CONFIG_RESYNC_FLD,This bit is used for re-synchronisation delay lines to update them with values from TX DLL Delay and RX DLL Delay fields." "0,1" newline bitfld.long 0xC 30. "PHY_CONFIG_RESET_FLD,DLL Reset bit: This bit is used for reset of Delay Lines by software." "0,1" newline bitfld.long 0xC 29. "PHY_CONFIG_RX_DLL_BYPASS_FLD,RX DLL Bypass: This field determines id RX DLL is bypassed." "0,1" newline hexmask.long.byte 0xC 23.--28. 1. "PHY_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0xC 16.--22. 1. "PHY_CONFIG_TX_DLL_DELAY_FLD,TX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and spi_clk." newline hexmask.long.word 0xC 7.--15. 1. "PHY_CONFIG_RESV1_FLD,Reserved" newline hexmask.long.byte 0xC 0.--6. 1. "PHY_CONFIG_RX_DLL_DELAY_FLD,RX DLL Delay: This field determines the number of delay elements to insert on data path between ref_clk and rx_dll_clk." line.long 0x10 "PHY_MASTER_CONTROL_REG,PHY DLL Master Control Register" hexmask.long.byte 0x10 25.--31. 1. "PHY_MASTER_CONTROL_RESV3_FLD,Reserved" newline bitfld.long 0x10 24. "PHY_MASTER_LOCK_MODE_FLD,Determines if the master delay line locks on a full cycle or half cycle of delay." "0,1" newline bitfld.long 0x10 23. "PHY_MASTER_BYPASS_MODE_FLD,Controls the bypass mode of the master and slave DLLs." "0,1" newline bitfld.long 0x10 20.--22. "PHY_MASTER_PHASE_DETECT_SELECTOR_FLD,Selects the number of delay elements to be inserted between the phase detect flip-flops." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x10 19. "PHY_MASTER_CONTROL_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x10 16.--18. "PHY_MASTER_NB_INDICATIONS_FLD,Holds the number of consecutive increment or decrement indications." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x10 7.--15. 1. "PHY_MASTER_CONTROL_RESV1_FLD,Reserved" newline hexmask.long.byte 0x10 0.--6. 1. "PHY_MASTER_INITIAL_DELAY_FLD,This value is the initial delay value for the DLL." rgroup.long 0xBC++0x7 line.long 0x0 "DLL_OBSERVABLE_LOWER_REG,DLL Observable Register Lower" hexmask.long.byte 0x0 24.--31. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_INC_FLD,Holds the state of the cumulative dll_lock_inc register." newline hexmask.long.byte 0x0 16.--23. 1. "DLL_OBSERVABLE_LOWER_DLL_LOCK_DEC_FLD,Holds the state of the cumulative dll_lock_dec register." newline bitfld.long 0x0 15. "DLL_OBSERVABLE_LOWER_LOOPBACK_LOCK_FLD,This bit indicates that lock of loopback is done." "0,1" newline hexmask.long.byte 0x0 8.--14. 1. "DLL_OBSERVABLE_LOWER_LOCK_VALUE_FLD,Reports the DLL encoder value from the master DLL to the slave DLLs." newline hexmask.long.byte 0x0 3.--7. 1. "DLL_OBSERVABLE_LOWER_UNLOCK_COUNTER_FLD,Reports the number of increments or decrements required for the master DLL to complete the locking process." newline bitfld.long 0x0 1.--2. "DLL_OBSERVABLE_LOWER_LOCK_MODE_FLD,Defines the mode in which the DLL has achieved the lock." "0,1,2,3" newline bitfld.long 0x0 0. "DLL_OBSERVABLE_LOWER_DLL_LOCK_FLD,Indicates status of DLL." "0,1" line.long 0x4 "DLL_OBSERVABLE_UPPER_REG,DLL Observable Register Upper" hexmask.long.word 0x4 23.--31. 1. "DLL_OBSERVABLE_UPPER_RESV2_FLD,Reserved" newline hexmask.long.byte 0x4 16.--22. 1. "DLL_OBSERVABLE_UPPER_TX_DECODER_OUTPUT_FLD,Holds the encoded value for the TX delay line for this slice." newline hexmask.long.word 0x4 7.--15. 1. "DLL_OBSERVABLE_UPPER_RESV1_FLD,Reserved" newline hexmask.long.byte 0x4 0.--6. 1. "DLL_OBSERVABLE__UPPER_RX_DECODER_OUTPUT_FLD,Holds the encoded value for the RX delay line for this slice." group.long 0xE0++0x7 line.long 0x0 "OPCODE_EXT_LOWER_REG,Opcode Extension Register (Lower)" hexmask.long.byte 0x0 24.--31. 1. "EXT_READ_OPCODE_FLD,Supplement byte of any Read Opcode" newline hexmask.long.byte 0x0 16.--23. 1. "EXT_WRITE_OPCODE_FLD,Supplement byte of any Write Opcode" newline hexmask.long.byte 0x0 8.--15. 1. "EXT_POLL_OPCODE_FLD,Supplement byte of any Polling Opcode" newline hexmask.long.byte 0x0 0.--7. 1. "EXT_STIG_OPCODE_FLD,Supplement byte of any STIG Opcode" line.long 0x4 "OPCODE_EXT_UPPER_REG,Opcode Extension Register (Upper)" hexmask.long.byte 0x4 24.--31. 1. "WEL_OPCODE_FLD,First byte of any WEL Opcode" newline hexmask.long.byte 0x4 16.--23. 1. "EXT_WEL_OPCODE_FLD,Supplement byte of any WEL Opcode" newline hexmask.long.word 0x4 0.--15. 1. "OPCODE_EXT_UPPER_RESV1_FLD,Reserved" group.long 0xF0++0x3 line.long 0x0 "CUSTOM_CONF_REG,Custom Configuration Register" hexmask.long 0x0 5.--31. 1. "CUSTOM_CONF_RESV_FLD2,Reserved" newline bitfld.long 0x0 4. "PIPE_SMRT_ACC_FLD,PHY POP delay functionality" "0,1" newline hexmask.long.byte 0x0 0.--3. 1. "CUSTOM_CONF_RESV_FLD1,Reserved" rgroup.long 0xFC++0x3 line.long 0x0 "MODULE_ID_REG,Module ID Register" hexmask.long.byte 0x0 24.--31. 1. "FIX_PATCH_FLD,Fix/path number related to revision described by 3 LSBs of this register" newline hexmask.long.word 0x0 8.--23. 1. "MODULE_ID_FLD,Module/Revision ID number" newline hexmask.long.byte 0x0 3.--7. 1. "MODULE_ID_RESV_FLD,Reserved" newline bitfld.long 0x0 2. "HYPER_SUPPORT_FLD,HyperFlash Support" "0,1" newline bitfld.long 0x0 0.--1. "CONF_FLD,Configuration ID number: 0 : OCTAL + PHY Configuration 1 : OCTAL Configuration 2 : QUAD + PHY Configuration 3 : QUAD Configuration" "OCTAL + PHY Configuration,OCTAL Configuration,QUAD + PHY Configuration,QUAD Configuration" group.long 0x100++0x3 line.long 0x0 "HYPERFLASH_CONFIG_REG,HyperFlash Configuration Register" rbitfld.long 0x0 31. "HYPERFLASH_CONFIG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 30. "TARGET_HYPERFLASH_STIG_FLD,Identifies the target of final STIG HyperFlash transaction as follows: 1'b0 = Memory Space 1'b1 = Register Space" "Memory Space 1'b1 = Register Space,?" newline bitfld.long 0x0 29. "BURST_TYPE_HYPERFLASH_STIG_FLD,Identifies the burst type of final STIG HyperFlash transaction" "0,1" newline hexmask.long.word 0x0 20.--28. 1. "HYPERFLASH_CONFIG_RESV2_FLD,Reserved" newline hexmask.long.byte 0x0 16.--19. 1. "HYPERFLASH_LAT_CODE_FLD,Identifies the latency code for HyperFlash" newline hexmask.long.word 0x0 1.--15. 1. "HYPERFLASH_CONFIG_RESV1_FLD,Reserved" newline bitfld.long 0x0 0. "HYPERFLASH_ENABLE_FLD,HyperFlash Mode enable bit" "0,1" group.long 0x110++0x3 line.long 0x0 "OSPI_MISC0,Misc configuration Register #0" newline bitfld.long 0x0 5. "CTL3,sample clocl polarity control for sclk_dlyd_i 0x1 - Invert sample clock sclk_dlyd_i 0x0 - sample clock sclk_dlyd_i not inverted" "sample clock sclk_dlyd_i not inverted,Invert sample clock sclk_dlyd_i" newline bitfld.long 0x0 4. "CTL2,DQS pad output enable When CTL0 is set 0x2 this bit should be enable to enable loopback path from internal sclk_out to DQS pad." "0,1" newline bitfld.long 0x0 2.--3. "CTL1,SCLK output logic control. 0x0 - orginal logic without any delay (Delay added by TX DLL) 0x1 - original logic (sclk_out) with dleay 1/4 cycle of SCLK (by ref_clk_2x fall edge) 0x2 - original logic (sclk_out) with delay 1/2 cycle of SCLk (by.." "orginal logic without any delay,original logic,original logic,original logic" newline bitfld.long 0x0 0.--1. "CTL0,loopback SCLK input logic control (sclk_dlyd). 0x0 - loopback from SCLK pad 0x1 - loopback from internal (sclk_out with delay selected by OSPI_MISC0.CTL1) 0x2 - loopback from DQS pad 0x3 - loopback from ref_clk_2x (for DFT test only)." "loopback from SCLK pad,loopback from internal,loopback from DQS pad,loopback from ref_clk_2x" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.long ($2+0x114)++0x3 line.long 0x0 "OSPI_MISC$1,Misc Configuration Register #1" hexmask.long 0x0 0.--31. 1. "CTL,Reserved for future" repeat.end group.long 0x200++0x3 line.long 0x0 "HYPERFLASH_STIG_CONFIG_REG,HyperFlash STIG Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_STIG_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of STIG HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_STIG_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_STIG_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_STIG_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_STIG_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_STIG_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_STIG_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_STIG_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_STIG_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_STIG_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_STIG_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_STIG_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_STIG_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_STIG_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_STIG_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of STIG HyperFlash pre-transaction 1" "0,1" group.long 0x210++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_REG,HyperFlash STIG Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 1" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN1_DATA_REG,HyperFlash STIG Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 1" group.long 0x220++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_REG,HyperFlash STIG Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 2" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN2_DATA_REG,HyperFlash STIG Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 2" group.long 0x230++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_REG,HyperFlash STIG Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 3" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN3_DATA_REG,HyperFlash STIG Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 3" group.long 0x240++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_REG,HyperFlash STIG Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 4" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN4_DATA_REG,HyperFlash STIG Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 4" group.long 0x250++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_REG,HyperFlash STIG Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 5" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN5_DATA_REG,HyperFlash STIG Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 5" group.long 0x260++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_REG,HyperFlash STIG Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 6" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN6_DATA_REG,HyperFlash STIG Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 6" group.long 0x270++0x7 line.long 0x0 "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_REG,HyperFlash STIG Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash STIG Pre-transaction 7" line.long 0x4 "HYPERFLASH_STIG_PRE_TRAN7_DATA_REG,HyperFlash STIG Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_STIG_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash STIG Pre-transaction 7" group.long 0x300++0x3 line.long 0x0 "HYPERFLASH_WRITE_CONFIG_REG,HyperFlash Write Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_WRITE_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Write HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_WRITE_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_WRITE_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_WRITE_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_WRITE_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_WRITE_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_WRITE_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_WRITE_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_WRITE_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_WRITE_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_WRITE_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_WRITE_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_WRITE_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_WRITE_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Write HyperFlash pre-transaction 1" "0,1" group.long 0x310++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_REG,HyperFlash Write Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 1" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN1_DATA_REG,HyperFlash Write Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 1" group.long 0x320++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_REG,HyperFlash Write Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 2" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN2_DATA_REG,HyperFlash Write Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 2" group.long 0x330++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_REG,HyperFlash Write Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 3" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN3_DATA_REG,HyperFlash Write Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 3" group.long 0x340++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_REG,HyperFlash Write Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 4" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN4_DATA_REG,HyperFlash Write Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 4" group.long 0x350++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_REG,HyperFlash Write Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 5" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN5_DATA_REG,HyperFlash Write Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 5" group.long 0x360++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_REG,HyperFlash Write Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 6" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN6_DATA_REG,HyperFlash Write Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 6" group.long 0x370++0x7 line.long 0x0 "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_REG,HyperFlash Write Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Write Pre-transaction 7" line.long 0x4 "HYPERFLASH_WRITE_PRE_TRAN7_DATA_REG,HyperFlash Write Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_WRITE_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Write Pre-transaction 7" group.long 0x400++0x3 line.long 0x0 "HYPERFLASH_READ_CONFIG_REG,HyperFlash Read Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_READ_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_READ_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Read HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_READ_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_READ_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_READ_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_READ_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_READ_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_READ_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_READ_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_READ_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_READ_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_READ_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_READ_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_READ_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_READ_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_READ_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Read HyperFlash pre-transaction 1" "0,1" group.long 0x410++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_REG,HyperFlash Read Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 1" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN1_DATA_REG,HyperFlash Read Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 1" group.long 0x420++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_REG,HyperFlash Read Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 2" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN2_DATA_REG,HyperFlash Read Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 2" group.long 0x430++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_REG,HyperFlash Read Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 3" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN3_DATA_REG,HyperFlash Read Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 3" group.long 0x440++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_REG,HyperFlash Read Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 4" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN4_DATA_REG,HyperFlash Read Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 4" group.long 0x450++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_REG,HyperFlash Read Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 5" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN5_DATA_REG,HyperFlash Read Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 5" group.long 0x460++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_REG,HyperFlash Read Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 6" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN6_DATA_REG,HyperFlash Read Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 6" group.long 0x470++0x7 line.long 0x0 "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_REG,HyperFlash Read Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Read Pre-transaction 7" line.long 0x4 "HYPERFLASH_READ_PRE_TRAN7_DATA_REG,HyperFlash Read Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_READ_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Read Pre-transaction 7" group.long 0x500++0x3 line.long 0x0 "HYPERFLASH_POLLING_CONFIG_REG,HyperFlash Polling Configuration Register" hexmask.long.byte 0x0 27.--31. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV0_FLD,Reserved" newline bitfld.long 0x0 24.--26. "HYPERFLASH_POLLING_CONFIG_NB_PRE_TRAN_FLD,Identifies the number of Polling HyperFlash pre-transactions" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 13.--23. 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV1_FLD,Reserved" newline bitfld.long 0x0 12. "HYPERFLASH_POLLING_PRE_TRAN_DATA7_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 7" "0,1" newline rbitfld.long 0x0 11. "HYPERFLASH_POLLING_CONFIG_REG_RESV2_FLD,Reserved" "0,1" newline bitfld.long 0x0 10. "HYPERFLASH_POLLING_PRE_TRAN_DATA6_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 6" "0,1" newline rbitfld.long 0x0 9. "HYPERFLASH_POLLING_CONFIG_REG_RESV3_FLD,Reserved" "0,1" newline bitfld.long 0x0 8. "HYPERFLASH_POLLING_PRE_TRAN_DATA5_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 5" "0,1" newline rbitfld.long 0x0 7. "HYPERFLASH_POLLING_CONFIG_REG_RESV4_FLD,Reserved" "0,1" newline bitfld.long 0x0 6. "HYPERFLASH_POLLING_PRE_TRAN_DATA4_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 4" "0,1" newline rbitfld.long 0x0 5. "HYPERFLASH_POLLING_CONFIG_REG_RESV5_FLD,Reserved" "0,1" newline bitfld.long 0x0 4. "HYPERFLASH_POLLING_PRE_TRAN_DATA3_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 3" "0,1" newline rbitfld.long 0x0 3. "HYPERFLASH_POLLING_CONFIG_REG_RESV6_FLD,Reserved" "0,1" newline bitfld.long 0x0 2. "HYPERFLASH_POLLING_PRE_TRAN_DATA2_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 2" "0,1" newline rbitfld.long 0x0 1. "HYPERFLASH_POLLING_CONFIG_REG_RESV7_FLD,Reserved" "0,1" newline bitfld.long 0x0 0. "HYPERFLASH_POLLING_PRE_TRAN_DATA1_SIZE_FLD,Identifies data size of Polling HyperFlash pre-transaction 1" "0,1" group.long 0x510++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_REG,HyperFlash Polling Pre-transaction 1 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 1" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN1_DATA_REG,HyperFlash Polling Pre-transaction 1 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN1_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 1" group.long 0x520++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_REG,HyperFlash Polling Pre-transaction 2 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 2" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN2_DATA_REG,HyperFlash Polling Pre-transaction 2 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN2_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 2" group.long 0x530++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_REG,HyperFlash Polling Pre-transaction 3 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 3" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN3_DATA_REG,HyperFlash Polling Pre-transaction 3 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN3_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 3" group.long 0x540++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_REG,HyperFlash Polling Pre-transaction 4 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 4" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN4_DATA_REG,HyperFlash Polling Pre-transaction 4 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN4_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 4" group.long 0x550++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_REG,HyperFlash Polling Pre-transaction 5 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 5" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN5_DATA_REG,HyperFlash Polling Pre-transaction 5 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN5_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 5" group.long 0x560++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_REG,HyperFlash Polling Pre-transaction 6 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 6" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN6_DATA_REG,HyperFlash Polling Pre-transaction 6 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN6_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 6" group.long 0x570++0x7 line.long 0x0 "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_REG,HyperFlash Polling Pre-transaction 7 Address Register" hexmask.long 0x0 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_ADDRESS_FLD,Identifies Address of HyperFlash Polling Pre-transaction 7" line.long 0x4 "HYPERFLASH_POLLING_PRE_TRAN7_DATA_REG,HyperFlash Polling Pre-transaction 7 Data Register" hexmask.long 0x4 0.--31. 1. "HYPERFLASH_POLLING_PRE_TRAN7_DATA_FLD,Identifies Data of HyperFlash Polling Pre-transaction 7" group.long 0xA00++0x13 line.long 0x0 "ASF_INT_STATUS_REG,ASF Interrupt Status Register" hexmask.long 0x0 7.--31. 1. "ASF_INT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x0 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x0 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x0 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x0 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x0 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x0 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x0 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x4 "ASF_INT_RAW_STATUS_REG,ASF Interrupt Raw Status Register" hexmask.long 0x4 7.--31. 1. "ASF_INT_RAW_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 6. "ASF_INTEGRITY_ERR_FLD,Integrity error interrupt" "0,1" newline bitfld.long 0x4 5. "ASF_PROTOCOL_ERR_FLD,Protocol error interrupt" "0,1" newline bitfld.long 0x4 4. "ASF_TRANS_TO_ERR_FLD,Transaction timeouts interrupt" "0,1" newline bitfld.long 0x4 3. "ASF_CSR_ERR_FLD,Configuration and status registers error interrupt" "0,1" newline bitfld.long 0x4 2. "ASF_DAP_ERR_FLD,Data and address paths error interrupt" "0,1" newline bitfld.long 0x4 1. "ASF_SRAM_UNCORR_ERR_FLD,SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x4 0. "ASF_SRAM_CORR_ERR_FLD,SRAM correctable error interrupt" "0,1" line.long 0x8 "ASF_INT_MASK_REG,The ASF interrupt mask register indicating which interrupt bits in the ASF Interrupt Status Register are masked" hexmask.long 0x8 7.--31. 1. "ASF_INT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x8 6. "ASF_INTEGRITY_ERR_MASK_FLD,Mask bit for integrity error interrupt" "0,1" newline bitfld.long 0x8 5. "ASF_PROTOCOL_ERR_MASK_FLD,Mask bit for protocol error interrupt" "0,1" newline bitfld.long 0x8 4. "ASF_TRANS_TO_ERR_MASK_FLD,Mask bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0x8 3. "ASF_CSR_ERR_MASK_FLD,Mask bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0x8 2. "ASF_DAP_ERR_MASK_FLD,Mask bit for data and address paths error interrupt" "0,1" newline bitfld.long 0x8 1. "ASF_SRAM_UNCORR_ERR_MASK_FLD,Mask bit for SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0x8 0. "ASF_SRAM_CORR_ERR_MASK_FLD,Mask bit for SRAM correctable error interrupt" "0,1" line.long 0xC "ASF_INT_TEST_REG,The ASF interrupt test register emulate hardware even" hexmask.long 0xC 7.--31. 1. "ASF_INT_TEST_RESV1_FLD,Reserved" newline bitfld.long 0xC 6. "ASF_INTEGRITY_ERR_TEST_FLD,Test bit for integrity error interrupt" "0,1" newline bitfld.long 0xC 5. "ASF_PROTOCOL_ERR_TEST_FLD,Test bit for protocol error interrupt" "0,1" newline bitfld.long 0xC 4. "ASF_TRANS_TO_ERR_TEST_FLD,Test bit for transaction timeouts interrupt" "0,1" newline bitfld.long 0xC 3. "ASF_CSR_ERR_TEST_FLD,Test bit for configuration and status registers error interrupt" "0,1" newline bitfld.long 0xC 2. "ASF_DAP_ERR_TEST_FLD,Test bit for data and address paths error interrupt" "0,1" newline bitfld.long 0xC 1. "ASF_SRAM_UNCORR_ERR_TEST_FLD,Test bit form SRAM uncorrectable error interrupt" "0,1" newline bitfld.long 0xC 0. "ASF_SRAM_CORR_ERR_TEST_FLD,Test bit for SRAM correctable error interupt" "0,1" line.long 0x10 "ASF_FATAL_NONFATAL_SELECT_REG,The fatal or non-fatal interrupt registers selects whether a fatal or non-fatal interrupt is triggered." hexmask.long 0x10 7.--31. 1. "ASF_FATAL_NONFATAL_SELECT_RESV1_FLD,Reserved" newline bitfld.long 0x10 6. "ASF_INTEGRITY_ERR_SEL_FLD,Enable integrity interrupt as fatal" "0,1" newline bitfld.long 0x10 5. "ASF_PROTOCOL_ERR_SEL_FLD,Enable protocol interrupt as fatal" "0,1" newline bitfld.long 0x10 4. "ASF_TRANS_TO_ERR_SEL_FLD,Enable transaction timeouts interrupt as fatal" "0,1" newline bitfld.long 0x10 3. "ASF_CSR_ERR_SEL_FLD,Enable configuration and status registers interrupts as fatal" "0,1" newline bitfld.long 0x10 2. "ASF_DAP_ERR_SEL_FLD,Enable data and address paths interrupt as fatal" "0,1" newline bitfld.long 0x10 1. "ASF_SRAM_UNCORR_ERR_SEL_FLD,Enable SRAM uncorrectable interrupt as fatal" "0,1" newline bitfld.long 0x10 0. "ASF_SRAM_CORR_ERR_SEL_FLD,Enable SRAM correctable interrupt as fatal" "0,1" rgroup.long 0xA20++0x7 line.long 0x0 "ASF_SRAM_CORR_FAULT_STATUS_REG,Status register for SRAM correctable fault' field{ desc = 'Last SRAM address that generated fault" line.long 0x4 "ASF_SRAM_UNCORR_FAULT_STATUS_REG,Status register for SRAM uncorrectable fault" hexmask.long.word 0x4 16.--31. 1. "ASF_SRAM_UNCORR_FAULT_STATUS_RESV1_FLD,Reserved" newline hexmask.long.word 0x4 0.--15. 1. "ASF_SRAM_UNCORR_FAULT_ADDR_FLD,Last SRAM address that generated" group.long 0xA28++0x3 line.long 0x0 "ASF_SRAM_FAULT_STATS_REG,Statics register for SRAM faults" hexmask.long.word 0x0 16.--31. 1. "ASF_SRAM_FAULT_UNCORR_STATS_FLS,Count of number of uncorrectable errors" newline hexmask.long.word 0x0 0.--15. 1. "ASF_SRAM_FAULT_CORR_STATS_FLD,Count of number of correctable errors" group.long 0xA30++0xB line.long 0x0 "ASF_TRANS_TO_CTRL_REG,Control register to configure the ASF transaction timeout monitors" bitfld.long 0x0 31. "ASF_TRANS_TO_EN_FLD,Enable transaction timeout monitoring" "0,1" newline hexmask.long.word 0x0 16.--30. 1. "ASF_TRANS_TO_CTRL_RESV1_FLD,Reserved" newline hexmask.long.word 0x0 0.--15. 1. "ASF_TRANS_TO_CTRL_FLD,Time value to use for transaction timeout monitor" line.long 0x4 "ASF_TRANS_TO_FAULT_MASK_REG,Control Register to mask out ASF transaction timeout faults from triggering interrupts" hexmask.long 0x4 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 0. "ASF_TRANS_TO_FAULT_MASK_FLD,Mask for AHB ASF transaction timeout fault source" "0,1" line.long 0x8 "ASF_TRANS_TO_FAULT_STATUS_REG,Status register for transaction timeouts fault" hexmask.long 0x8 1.--31. 1. "ASF_TRANS_TO_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x8 0. "ASF_TRANS,Status bit for transaction timeouts faults" "0,1" group.long 0xA40++0x7 line.long 0x0 "ASF_PROTOCOL_FAULT_MASK_REG,Control register to mask out ASF Protocol faults from triggering interrupts" hexmask.long.word 0x0 19.--31. 1. "ASF_PROTOCOL_FAULT_MASK_RESV2_FLD,Reserved" newline bitfld.long 0x0 18. "ASF_PROTOCOL_FAULT_MASK_FLASH_CFG_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 17. "ASF_PROTOCOL_FAULD_MASK_CS_FLD,Mask bit for Tri state enable fault" "0,1" newline bitfld.long 0x0 16. "ASF_PROTOCOL_FAULT_MASK_TRI_FLD,Mask bit for Tri state enable fault" "0,1" newline hexmask.long.word 0x0 4.--15. 1. "ASF_PROTOCOL_FAULT_MASK_RESV1_FLD,Reserved" newline bitfld.long 0x0 3. "ASF_PROTOCOL_FAULT_MASK_ECC_FLD,Mask bit for ECC failure" "0,1" newline bitfld.long 0x0 2. "ASF_PROTOCOL_MASK_TX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 1. "ASF_PROTOCOL_FAULT_MASK_RX_CRC_FLD,Mask bit for Polling expiration error" "0,1" newline bitfld.long 0x0 0. "ASF_PROTOCOL_FAULT_MASK_POLL_FLD,Mask bit for Polling expiration error" "0,1" line.long 0x4 "ASF_PROTOCOL_FAULT_STATUS_REG,Status register for protocol faults" hexmask.long.word 0x4 19.--31. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV2_FLD,Reserved" newline bitfld.long 0x4 18. "ASF_PROTOCOL_FAULT_STATUS_FLASH_CFG_FLD,Flash Configuration failure" "0,1" newline bitfld.long 0x4 17. "ASF_PROTOCOL_FAULT_STATUS_CS_FLD,#CS fault" "0,1" newline bitfld.long 0x4 16. "ASF_PROTOCOL_FAULT_STATUS_TRI_FLD,Tri state enable fault" "0,1" newline hexmask.long.word 0x4 4.--15. 1. "ASF_PROTOCOL_FAULT_STATUS_RESV1_FLD,Reserved" newline bitfld.long 0x4 3. "ASF_PROTOCOL_FAULT_STATUS_ECC,ECC failure" "0,1" newline bitfld.long 0x4 2. "ASF_PROTOCOL_FAULT_STATUS_TX_CRC,TX CRC chunk was broken" "0,1" newline bitfld.long 0x4 1. "ASF_PROTOCOL_FAULT_STATUS_RX_CRC_FLD,RX CRC data error" "0,1" newline bitfld.long 0x4 0. "ASF_PROTOCOL_FAULT_STATUS_POLL_FLD,Polling expiration error" "0,1" tree.end endif tree.end tree "PCIE1 (PCI Express 1)" sif (CORENAME()=="CORTEXR5F") tree "PCIE1_PF0" base ad:0xF1000000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF01" base ad:0xF1020000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF23" base ad:0xF1040000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_PF1" base ad:0xF1060000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF45" base ad:0xF1080000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF67" base ad:0xF10A0000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_ATU_DMA" base ad:0xF10C0000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_NCR" base ad:0xF10E0000 group.long 0x0++0x7 line.long 0x0 "INTR_0,INTR_0" bitfld.long 0x0 31. "INTR_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs." "0,1" newline bitfld.long 0x0 30. "INTR_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x0 29. "INTR_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x0 28. "INTR_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x0 27. "INTR_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x0 26. "INTR_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x0 25. "INTR_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x0 23.--24. "INTR_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x0 21.--22. "INTR_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X is.." "0,1,2,3" newline bitfld.long 0x0 19.--20. "INTR_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x0 17.--18. "INTR_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x0 15.--16. "INTR_HP_MSI,INTR_HP_MSI" "0,1,2,3" newline bitfld.long 0x0 14. "INTR_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x0 13. "INTR_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x0 11.--12. "INTR_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status" "0,1,2,3" newline bitfld.long 0x0 10. "INTR_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x0 9. "INTR_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context is.." "0,1" newline bitfld.long 0x0 8. "INTR_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following calculation.." "0,1" newline bitfld.long 0x0 7. "INTR_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x0 6. "INTR_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x0 5. "INTR_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x0 4. "INTR_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x0 3. "INTR_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x0 2. "INTR_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x0 1. "INTR_PERSTN,INTR_PERSTN This interrupt status bit indicates PERST# pad input fall edge detected." "0,1" newline bitfld.long 0x0 0. "INTR_WAKEN,INTR_WAKEN This interrupt status bit indicates WAKE# pad input fall edge detected." "0,1" line.long 0x4 "INTR_1,INTR_1" bitfld.long 0x4 31. "INTR_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x4 30. "INTR_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x4 26.--29. 1. "INTR_INT_D_A,INTR_INT_D_A These Interrupt status bit indicates wheter Legacy A~D transmitted or received by controller. bit 29 : INT_D .. bit26 : INT_A" newline bitfld.long 0x4 24.--25. "INTR_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 22.--23. "INTR_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 20.--21. "INTR_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 18.--19. "INTR_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x4 16.--17. "INTR_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x4 8.--15. 1. "INTR_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x4 0.--7. 1. "INTR_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x8)++0x3 line.long 0x0 "INTR_$1,INTR_2" hexmask.long 0x0 0.--31. 1. "INTR_MSI_PF0,INTR_MSI_PF0" repeat.end group.long 0x30++0xB line.long 0x0 "INTR_12,INTR_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTR_RADM_TRGT1_ATU_CBUF_ERR,INTR_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTR_RADM_TRGT1_ATU_SLOC_MATCH,INTR_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTR_RADM_CORRECTABLE_ERR,INTR_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTR_RADM_NONFATAL_ERR,INTR_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTR_RADM_FATAL_ERR,INTR_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTR_CFG_AER_RC_ERR_MSI,INTR_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTR_CFG_SYS_ERR_RC,INTR_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTR_MSTR_AWMISC_INFO_EP,INTR_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "INTEN_0,INTEN_0" bitfld.long 0x4 31. "INTEN_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs.This output is not used in RC mode." "0,1" newline bitfld.long 0x4 30. "INTEN_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x4 29. "INTEN_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x4 28. "INTEN_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x4 27. "INTEN_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x4 26. "INTEN_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x4 25. "INTEN_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x4 23.--24. "INTEN_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x4 21.--22. "INTEN_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X.." "0,1,2,3" newline bitfld.long 0x4 19.--20. "INTEN_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x4 17.--18. "INTEN_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x4 15.--16. "INTEN_HP_MSI,The controller asserts hp_msi (as a one-cycle pulse) when the logical AND of the following conditions transitions from false to true: MSI or MSI-X is enabled. Hot-Plug interrupts are enabled in the Slot Control register. Any bit in the Slot.." "0,1,2,3" newline bitfld.long 0x4 14. "INTEN_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x4 13. "INTEN_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x4 11.--12. "INTEN_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status register is set to 1. Any bit in the Slot Status register transitions from 0 to 1 and the associated.." "0,1,2,3" newline bitfld.long 0x4 10. "INTEN_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x4 9. "INTEN_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context.." "0,1" newline bitfld.long 0x4 8. "INTEN_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following.." "0,1" newline bitfld.long 0x4 7. "INTEN_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x4 6. "INTEN_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x4 5. "INTEN_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x4 4. "INTEN_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x4 3. "INTEN_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x4 2. "INTEN_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x4 1. "INTEN_PERSTN,INTEN_PERSTN Interrupt Enable bit for INTR_PERSTN." "0,1" newline bitfld.long 0x4 0. "INTEN_WAKEN,INTEN_WAKEN Interrupt Enable bit for INTR_WAKEN." "0,1" line.long 0x8 "INTEN_1,INTEN_1" bitfld.long 0x8 31. "INTEN_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x8 30. "INTEN_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x8 26.--29. 1. "INTEN_INT_D_A,INTEN_INT_D_A Interrupt Enable bit for INTR_D_A. Bit 29 : INT_D ... Bit26 : INT_A." newline bitfld.long 0x8 24.--25. "INTEN_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 22.--23. "INTEN_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 20.--21. "INTEN_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 18.--19. "INTEN_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x8 16.--17. "INTEN_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x8 8.--15. 1. "INTEN_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x8 0.--7. 1. "INTEN_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x3C)++0x3 line.long 0x0 "INTEN_$1,INTEN_2" hexmask.long 0x0 0.--31. 1. "INTEN_MSI_PF0,INTEN_MSI_PF0" repeat.end group.long 0x64++0x13 line.long 0x0 "INTEN_12,INTEN_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTEN_RADM_TRGT1_ATU_CBUF_ERR,INTEN_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTEN_RADM_TRGT1_ATU_SLOC_MATCH,INTEN_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTEN_RADM_CORRECTABLE_ERR,INTEN_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTEN_RADM_NONFATAL_ERR,INTEN_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTEN_RADM_FATAL_ERR,INTEN_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTEN_CFG_AER_RC_ERR_MSI,INTEN_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTEN_CFG_SYS_ERR_RC,INTEN_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTEN_MSTR_AWMISC_INFO_EP,INTEN_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "CTRL_0,CTRL_0" bitfld.long 0x4 31. "APP_FLR_VF_DONE_7,Indicates that FLR a virtual function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's transmit.." "0,1" newline bitfld.long 0x4 30. "APP_FLR_VF_DONE_6,Similar to above." "0,1" newline bitfld.long 0x4 29. "APP_FLR_VF_DONE_5,Similar to above." "0,1" newline bitfld.long 0x4 28. "APP_FLR_VF_DONE_4,Similar to above." "0,1" newline bitfld.long 0x4 27. "APP_FLR_VF_DONE_3,Similar to above." "0,1" newline bitfld.long 0x4 26. "APP_FLR_VF_DONE_2,Similar to above." "0,1" newline bitfld.long 0x4 25. "APP_FLR_VF_DONE_1,Similar to above." "0,1" newline bitfld.long 0x4 24. "APP_FLR_VF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 23. "APP_FLR_PF_DONE_1,Indicates that FLR a physical function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's.." "0,1" newline bitfld.long 0x4 22. "APP_FLR_PF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 21. "OUTBAND_PWRUP_CMD_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits.." "0,1" newline bitfld.long 0x4 20. "OUTBAND_PWRUP_CMD_0,Similar to above." "0,1" newline bitfld.long 0x4 19. "APP_REQ_EXIT_L1,Application request to Exit L1. Request from your application to exit L1.It is only effective when L1 is enabled." "0,1" newline bitfld.long 0x4 18. "APP_REQ_ENTR_L1,Application request to Enter L1 ASPM state. The app_req_entr_l1 signal is for use by applications that need to control L1 entry instead of using the L1 entry timer as defined in the PCI Express Specification. It is only effective when L1.." "0,1" newline bitfld.long 0x4 17. "APP_UNLOCK_MSG,Request from your application to generate an Unlock message. You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the previous.." "0,1" newline bitfld.long 0x4 16. "APP_PM_XMT_PME_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits a.." "0,1" newline bitfld.long 0x4 15. "APP_PM_XMT_PME_0,Similar to above." "0,1" newline bitfld.long 0x4 14. "APP_PM_XMT_TURNOFF,Request from your application to generate a PM_Turn_Off message.You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the.." "0,1" newline bitfld.long 0x4 13. "APP_INIT_RST,Request from your application to send a hot reset to the upstream port.The hot reset request is sent when a single cycle pulse is applied to this pin. In an upstream port you should set this input to '0'." "0,1" newline bitfld.long 0x4 12. "APP_HDR_VALID,One-clock-cycle pulse indicating that the data app_hdr_log app_err_bus app_err_func_num and app_tlp_prfx_log is valid." "0,1" newline bitfld.long 0x4 11. "APP_L1SUB_DISABLE,The application can set this input to 1'b1 to prevent entry to L1 Sub-states. This pin is used to gate the L1 sub-state enable bits from the L1 PM Substates Control 1 Register." "0,1" newline bitfld.long 0x4 10. "APP_CLK_PM_EN,Clock PM feature enabled by application. Used to inhibit the programming of the Clock PM in Link Control Register. For more details see 'L1 with Clock PM (L1 with REFCLK removal/PLL Off)'." "0,1" newline bitfld.long 0x4 9. "APP_XFER_PENDING,Indicates that your application has transfers pending and prevents the controller from entering L1. If the entry into L1 is already in progress assertion of app_xfer_pending causes an exit from L1. This is a level signal used to inform.." "0,1" newline bitfld.long 0x4 8. "APP_READY_ENTR_L23,Application Ready to Enter L23. Indication from your application that it is ready to enter the L23 state. The app_ready_entr_l23 signal is provided for applications that must control L23 entry (in case certain tasks must be performed.." "0,1" newline bitfld.long 0x4 7. "APP_CLK_REQ_N,Indicates that the application logic is ready to have reference clock removed. In designs which support reference clock removal through either L1 PM Sub-states or L1 CPM the application should set this signal to 1'b when it is ready to.." "0,1" newline bitfld.long 0x4 6. "APP_HOLD_PHY_RST,Set this signal to one before the de-assertion of power on reset to hold the PHY in reset. This can be used to configure your PHY. Synopsys PHYs can be configured through the PHY Viewport if desired. Please tie this port to zero if your.." "0,1" newline bitfld.long 0x4 5. "APP_SRIS_MODE,SRIS operating mode: 0b: non-SRIS mode 1b: SRIS mode" "0,1" newline bitfld.long 0x4 4. "APP_DBI_RO_WR_DISABLE,DBI Read-only Write Disable 0: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is read-write. 1: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is forced to 0 and is read-only." "MISC_CONTROL_1_OFF,MISC_CONTROL_1_OFF" newline bitfld.long 0x4 3. "DEVICE_TYPE,Device/port type. Indicates the specific type of this PCI Express function. It is also used to set the 'Device/Port Type' field of the 'PCI Express Capabilities Register'. The controller uses this input to determine the operating mode of the.." "PCI Express endpoint,Legacy PCI Express endpoint" newline bitfld.long 0x4 2. "APP_LTSSM_ENABLE,To do otherwise (that is de-assert it outside of the Detect LTSSM state) causes the controller to be reset and the LTSSM moves immediately back to the Detect state. This transition is outside of the PCIe Specification and it might cause.." "0,1" newline bitfld.long 0x4 0. "APP_ERR_VFUNC_ACTIVE,Indicates the function number in app_err_vfunc_num is valid." "0,1" line.long 0x8 "CTRL_1,CTRL_1" bitfld.long 0x8 29.--31. "APP_ERR_VFUNC_NUM,The number of the virtual function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Uncorrected Internal errors (app_err_bus[9]) are not recorded for virtual functions.The PCIe SR-IOV.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "SLV_ARMISC_INFO_ATU_BYPASS,AXI Slave Read Request Internal ATU Bypass. When set it indicates that this request should not be processed by the internal address translation unit" "0,1" newline hexmask.long.word 0x8 15.--27. 1. "APP_ERR_BUS,The type of error that your application detected. The controller combines the values the app_err_bus bits with the internally-detected error signals to set the corresponding bit in the Uncorrectable or Correctable Error Status Registers:.." newline bitfld.long 0x8 14. "APP_REQ_RETRY_EN,Provides a capability to defer incoming configuration requests until initialization is complete. When app_req_retry_en is asserted the controller completes incoming configuration requests with a configuration request retry status. Other.." "0,1" newline hexmask.long.byte 0x8 6.--13. 1. "APP_VF_REQ_RETRY_EN,Provides a per Virtual Function (VF) capability to defer incoming configuration requests until initialization is complete. When app_vf_req_retry_en is asserted for a certain VF the controller completes incoming configuration requests.." newline bitfld.long 0x8 4.--5. "APP_PF_REQ_RETRY_EN,Provides a per Physical Function (PF) capability to defer incoming configuration requests until initialization is complete. When app_pf_req_retry_en is asserted for a certain PF the controller completes incoming configuration.." "0,1,2,3" newline bitfld.long 0x8 2.--3. "APP_RAS_DES_TBA_CTRL,Controls the start/end of time based analysis. You must only set the pins to the required value for the duration of one clock cycle. This signal must be 2'b00 while the TIMER_START field in TIME_BASED_ANALYSIS_CONTROL_REG register is.." "No action,Start,End,Reserved" newline bitfld.long 0x8 1. "APP_RAS_DES_SD_HOLD_LTSSM,Hold and release LTSSM. For as long as this signal is '1' thecontroller stays in the current LTSSM." "0,1" newline bitfld.long 0x8 0. "SYS_AUX_PWR_DET,Auxiliary Power Detected. Used to report to the host software that auxiliary power (Vaux) is present." "0,1" line.long 0xC "CTRL_2,CTRL_2" bitfld.long 0xC 30.--31. "SYS_PWR_FAULT_DET,Power Fault Detected. Indicates the power controller detected a power fault at this slot. There is a separate sys_pwr_fault_det input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0xC 28.--29. "SYS_MRL_SENSOR_STATE,MRL Sensor State. Indicates the state of the manually-operated retention latch (MRL) sensor: 0: MRL is closed 1: MRL is open There is a separate sys_mrl_sensor_state input bit for each function in your controller configuration." "MRL is closed,MRL is open,?,?" newline bitfld.long 0xC 26.--27. "SYS_PRE_DET_STATE,Presence Detect State. Indicates whether or not a card is present in the slot: 0: Slot is empty 1: Card is present in the slot" "Slot is empty,Card is present in the slot,?,?" newline bitfld.long 0xC 24.--25. "SYS_ATTEN_BUTTON_PRESSED,Attention Button Pressed. Indicates that the system attention button was pressed sets the Attention Button Pressed bit in the Slot Status Register." "0,1,2,3" newline bitfld.long 0xC 23. "TX_LANE_FLIP_EN,Performs manual lane reversal for transmit lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases tx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 22. "RX_LANE_FLIP_EN,Performs manual lane reversal for receive lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases rx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 21. "DBG_PBA,MSIX PBA RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the PBA. You can also use the MSIX_RAM_CTRL_DBG_PBA field in MSIX_RAM_CTRL_OFF to activate debug mode. Debug mode turns off the.." "0,1" newline bitfld.long 0xC 20. "DBG_TABLE,MSIX Table RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the Table." "0,1" newline bitfld.long 0xC 19. "APP_ERR_FUNC_NUM,The number of the function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Correctable and Uncorrected Internal errors (app_err_bus[10:9]) are not function specific and are recorded for all.." "0,1" newline bitfld.long 0xC 18. "APP_ERR_ADVISORY,Indicates that your application error is an advisory error. Your application should assert app_err_advisory under either of the following conditions: The controller is configured to mask completion timeout errors your application is.." "0,1" newline bitfld.long 0xC 17. "WAKEN_DO_OVRDVAL,WAKEN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 16. "WAKEN_DO_OVRDEN,WAKEN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 15. "WAKEN_OE_OVRDVAL,WAKEN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 14. "WAKEN_OE_OVRDEN,WAKEN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 13. "WAKEN_IN_OVRDVAL,WAKEN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 12. "WAKEN_IN_OVRDEN,WAKEN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 11. "PERSTN_DO_OVRDVAL,PERSTN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 10. "PERSTN_DO_OVRDEN,PERSTN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 9. "PERSTN_OE_OVRDVAL,PERSTN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 8. "PERSTN_OE_OVRDEN,PERSTN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 7. "PERSTN_IN_OVRDVAL,PERSTN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 6. "PERSTN_IN_OVRDEN,PERSTN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 5. "CLKREQN_DO_OVRDVAL,CLKREQN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 4. "CLKREQN_DO_OVRDEN,CLKREQN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 3. "CLKREQN_OE_OVRDVAL,CLKREQN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 2. "CLKREQN_OE_OVRDEN,CLKREQN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 1. "CLKREQN_IN_OVRDVAL,CLKREQN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 0. "CLKREQN_IN_OVRDEN,CLKREQN_IN_OVRDEN" "0,1" line.long 0x10 "CTRL_3,CTRL_3" bitfld.long 0x10 30.--31. "SYS_MRL_SENSOR_CHGED,MRL Sensor Changed. Indicates that the state of MRL sensor has changed. There is a separate sys_mrl_sensor_chged input bit for each function in your controller configuration." "0,1,2,3" newline hexmask.long.word 0x10 20.--29. 1. "VEN_MSG_LEN,The Length field for the vendor-defined Message TLP (indicates length of data payload in dwords).Should be set to 0x0." newline hexmask.long.byte 0x10 15.--19. 1. "VEN_MSG_TYPE,The Type field for the vendor-defined Message TLP." newline bitfld.long 0x10 12.--14. "VEN_MSG_VFUNC_NUM,Number of the virtual function accessing the VMI interface. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msg_vfunc_num=0 refers.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 9.--11. "VEN_MSG_TC,The Traffic Class field for the vendor-defined Message TLP." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 7.--8. "VEN_MSG_ATTR,The Attributes field for the vendor-defined Message TLP. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x10 5.--6. "VEN_MSG_FMT,The Format field for the vendor-defined Message TLP. Should be set to 0x1." "0,1,2,3" newline bitfld.long 0x10 4. "VEN_MSG_VFUNC_ACTIVE,Indicates that a VF is accessing the VMI. - 0: No VF is active and ven_msg_vfunc_num is invalid. A PF is valid and identified by ven_msg_func_num. - 1: A VF is active and is identified by ven_msg_vfunc_num." "No VF is active and ven_msg_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x10 3. "VEN_MSG_FUNC_NUM,Function Number for the vendor-defined Message TLP. Function numbering starts at '0'." "0,1" newline bitfld.long 0x10 2. "VEN_MSG_EP,The Poisoned TLP (EP) bit for the vendor-defined Message TLP." "0,1" newline bitfld.long 0x10 1. "VEN_MSG_TD,The TLP Digest (TD) bit for the vendor-defined Message TLP valid when ven_msg_req is asserted." "0,1" newline bitfld.long 0x10 0. "VEN_MSG_REQ,Request from your application to send a vendor-defined Message. Once asserted ven_msg_req must remain asserted until the controller asserts ven_msg_grant." "0,1" repeat 16. (list 0x4 0x5 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF 0x10 0x11 0x13 0x14 0x15 0x17 )(list 0x0 0x4 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x3C 0x40 0x44 0x4C ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end repeat 12. (list 0x19 0x1A 0x1B 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 0x25 )(list 0x54 0x58 0x5C 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C 0x80 0x84 ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end group.long 0x80++0x7 line.long 0x0 "CTRL_6,CTRL_6" bitfld.long 0x0 31. "MSI_FNSEL,MSI_FNSEL" "0,1" newline bitfld.long 0x0 30. "VEN_MSI_OVRDEN,VEN_MSI_OVRDEN" "0,1" newline bitfld.long 0x0 29. "VEN_MSI_REQ,Request from your application to send an MSI when MSI is enabled.When MSI-X is enabled instead of MSI assertion of ven_msi_req causes the controller to generate an MSI-X message. Once asserted ven_msi_req must remain asserted until the.." "0,1" newline hexmask.long.byte 0x0 24.--28. 1. "VEN_MSI_VECTOR,Used to modulate the lower five bits of the MSI Data register when multiple message mode is enabled." newline bitfld.long 0x0 21.--23. "VEN_MSI_TC,Traffic Class of the MSI request valid when ven_msi_req is asserted." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "VEN_MSI_VFUNC_ACTIVE,Indicates that the MSI request is coming from a VF. - 0: No VF is active and ven_msi_vfunc_num is invalid. A PF is valid and identified by ven_msi_func_num. - 1: A VF is active and is identified by ven_msi_vfunc_num." "No VF is active and ven_msi_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x0 17.--19. "VEN_MSI_VFUNC_NUM,Identifies the VF which is making the MSI request. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msi_vfunc_num=0 refers to the.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "VEN_MSI_FUNC_NUM,The function number of the MSI request. Function numbering starts at '0'." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "VEN_MSG_CODE,The Message Code for the vendor-defined Message TLP." newline hexmask.long.byte 0x0 0.--7. 1. "VEN_MSG_TAG,Tag for the vendor-defined Message TLP." line.long 0x4 "CTRL_7,CTRL_7" hexmask.long.byte 0x4 24.--31. 1. "SLV_AWMISC_INFO_P_TAG,AXI Slave Write Request Tag. Sets the TAG number for output posted requests. It is expected that your application normally sets this to '0' except when generating ATS invalidate requests." newline bitfld.long 0x4 23. "SLV_WMISC_INFO_EP,AXI Slave Write Data transaction related misc information. This is an optional signal that your application can use to poison write requests.When asserted the controller sets the Poisoned TLP (EP) bit in the TLP header of the current.." "0,1" newline bitfld.long 0x4 22. "PTM_AUTO_UPDATE_SIGNAL,Indicates that the controller should update the PTM Requester Context and Clock automatically every 10ms." "0,1" newline bitfld.long 0x4 21. "PTM_MANUAL_UPDATE_PULSE,Indicates that the controller should update the PTM Requester Context and Clock now." "0,1" newline bitfld.long 0x4 20. "PTM_EXTERNAL_MASTER_STROBE,PTM External Master Time Strobe." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "APP_VF_FRS_READY,Defers FRS messaging for a VF when set to '0'." newline bitfld.long 0x4 10.--11. "APP_PF_FRS_READY,Defers FRS messaging when set to '0'." "0,1,2,3" newline bitfld.long 0x4 9. "APP_DRS_READY,Defers DRS messaging when set to '0'." "0,1" newline bitfld.long 0x4 7.--8. "SYS_EML_INTERLOCK_ENGAGED,System Electromechanical Interlock Engaged. Indicates whether the system electromechanical interlock is engaged and controls the state of the Electromechanical Interlock Status bit in the Slot Status register." "0,1,2,3" newline bitfld.long 0x4 5.--6. "SYS_CMD_CPLED_INT,Command completed Interrupt. Indicates that the Hot-Plug controller completed a command. There is a separate sys_cmd_cpled_int input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 3.--4. "SYS_PRE_DET_CHGED,Presence Detect Changed. Indicates that the state of card present detector has changed. There is a separate sys_pre_det_chged input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 0.--2. "DIAG_CTRL_BUS,Diagnostic Control Bus - x01: Insert LCRC error by inverting the LSB of LCRC - x10: Insert ECRC error by inverting the LSB of ECRC The rising edge of these two signals ([1:0]) enable the controller to assert an LCRC or ECRC to the packet.." "?,Insert LCRC error by inverting the LSB of LCRC,?,?,?,?,?,?" group.long 0xB0++0x3 line.long 0x0 "CTRL_18,CTRL_18" bitfld.long 0x0 31. "SLV_USER_SEL,SLV_USER_SEL" "0,1" newline bitfld.long 0x0 29.--30. "MSTR_ARUSER_OVRDVAL_33_32,MSTR_ARUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 27.--28. "MSTR_AWUSER_OVRDVAL_33_32,MSTR_AWUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 26. "APP_LTR_MSG_FUNC_NUM,APP_LTR_MSG_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "APP_LTR_MSG_REQ,APP_LTR_MSG_REQ" "0,1" newline bitfld.long 0x0 24. "APP_PM_VF_XMT_PME_7,APP_PM_VF_XMT_PME_7" "0,1" newline bitfld.long 0x0 23. "APP_PM_VF_XMT_PME_6,APP_PM_VF_XMT_PME_6" "0,1" newline bitfld.long 0x0 22. "APP_PM_VF_XMT_PME_5,APP_PM_VF_XMT_PME_5" "0,1" newline bitfld.long 0x0 21. "APP_PM_VF_XMT_PME_4,APP_PM_VF_XMT_PME_4" "0,1" newline bitfld.long 0x0 20. "APP_PM_VF_XMT_PME_3,APP_PM_VF_XMT_PME_3" "0,1" newline bitfld.long 0x0 19. "APP_PM_VF_XMT_PME_2,APP_PM_VF_XMT_PME_2" "0,1" newline bitfld.long 0x0 18. "APP_PM_VF_XMT_PME_1,APP_PM_VF_XMT_PME_1" "0,1" newline bitfld.long 0x0 17. "APP_PM_VF_XMT_PME_0,APP_PM_VF_XMT_PME_0" "0,1" newline hexmask.long.word 0x0 4.--16. 1. "MSTR_RMISC_INFO,MSTR_RMISC_INFO" newline bitfld.long 0x0 2.--3. "MSTR_RMISC_INFO_CPL_STAT,MSTR_RMISC_INFO_CPL_STAT" "0,1,2,3" newline bitfld.long 0x0 0.--1. "MSTR_BMISC_INFO_CPL_STAT,MSTR_BMISC_INFO_CPL_STAT" "0,1,2,3" group.long 0xC0++0x3 line.long 0x0 "CTRL_22,CTRL_22" bitfld.long 0x0 31. "SLV_WMISC_INFO_SILENTDROP,SLV_WMISC_INFO_SILENTDROP" "0,1" newline bitfld.long 0x0 28.--30. "SLV_AWMISC_INFO_VFUNC_NUM,SLV_AWMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 27. "SLV_AWMISC_INFO_VFUNC_ACTIVE,SLV_AWMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 26. "SLV_AWMISC_INFO_FUNC_NUM,SLV_AWMISC_INFO_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "SLV_AWMISC_INFO_ATU_BYPASS,SLV_AWMISC_INFO_ATU_BYPASS" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_AWMISC_INFO,SLV_AWMISC_INFO" group.long 0xC8++0x3 line.long 0x0 "CTRL_24,CTRL_24" bitfld.long 0x0 30.--31. "MSTR_USER_SEL,MSTR_USER_SEL" "0,1,2,3" newline bitfld.long 0x0 27.--29. "SLV_ARMISC_INFO_VFUNC_NUM,SLV_ARMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "SLV_ARMISC_INFO_VFUNC_ACTIVE,SLV_ARMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 25. "SLV_ARMISC_INFO_FUNC_NUM,SLV_ARMISC_INFO_FUNC_NUM" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_ARMISC_INFO,SLV_ARMISC_INFO" group.long 0xD8++0x3 line.long 0x0 "CTRL_28,CTRL_28" hexmask.long.word 0x0 21.--31. 1. "RSVD0,RSVD0" newline hexmask.long.byte 0x0 13.--20. 1. "APP_BUS_NUM,APP_BUS_NUM" newline hexmask.long.byte 0x0 8.--12. 1. "APP_DEV_NUM,APP_DEV_NUM" newline bitfld.long 0x0 7. "APP_MSI_CTRL_EN,APP_MSI_CTRL_EN" "0,1" newline hexmask.long.byte 0x0 0.--6. 1. "STS_DEBUG_SEL,STS_DEBUG_SEL" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end rgroup.long 0x200++0x3 line.long 0x0 "STS_0,STS_0" bitfld.long 0x0 29.--31. "PM_L1SUB_STATE,Power management L1 sub-states FSM state" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "PM_MASTER_STATE,Power management master FSM state." newline bitfld.long 0x0 22.--23. "PM_PME_EN,PME Enable bit in the PMCSR. There is 1 bit of pm_pme_en for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 16.--21. 1. "PM_DSTATE,The current power management D-state of the function: - 000b: D0 - 001b: D1 - 010b: D2 - 011b: D3 - 100b: Uninitialized - Other values: Not applicable" newline bitfld.long 0x0 13.--15. "PM_CURNT_STATE,Indicates the current power state. The pm_curnt_state output is intended for debugging purposes not for system operation." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 12. "PM_L1_ENTRY_STARTED,L1 entry process is in progress." "0,1" newline bitfld.long 0x0 11. "PM_LINKST_IN_L1SUB,Power management is in L1 substate. Indicates when the link has entered L1 substates." "0,1" newline bitfld.long 0x0 10. "PM_LINKST_L2_EXIT,Power management is exiting L2 state. Not applicable for downstream port." "0,1" newline bitfld.long 0x0 9. "PM_LINKST_IN_L2,Power management is in L2 state." "0,1" newline bitfld.long 0x0 8. "PM_LINKST_IN_L1,Power management is in L1 state." "0,1" newline bitfld.long 0x0 7. "PM_LINKST_IN_L0S,Power management is in L0s state. Indicates in L0_STALL state when M-PCIe" "0,1" newline hexmask.long.byte 0x0 1.--6. 1. "SMLH_LTSSM_STATE,Current state of the LTSSM. Encoding is defined as follows: 6'h00: S_DETECT_QUIET 6'h01: S_DETECT_ACT 6'h02: S_POLL_ACTIVE 6'h03: S_POLL_COMPLIANCE 6'h04: S_POLL_CONFIG 6'h05: S_PRE_DETECT_QUIET 6'h06: S_DETECT_WAIT 6'h07:.." newline bitfld.long 0x0 0. "RADM_IDLE,RADM activity status signal. The controller creates the en_radm_clk_g output by gating this signal with the output of the RADM_CLK_GATING_EN field in the CLOCK_GATING_CTRL_OFF register. For debug purposes only." "0,1" group.long 0x204++0xB line.long 0x0 "STS_1,STS_1" bitfld.long 0x0 30.--31. "TRGT_TIMEOUT_CPL_ATTR,The Attributes value of the timed out completion. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x0 27.--29. "TRGT_TIMEOUT_CPL_TC,The TC of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "TRGT_TIMEOUT_CPL_VFUNC_ACTIVE,Indicates that the timeout is coming from a VF (Virtual function). - 0: No VF is active and trgt_timeout_cpl_vfunc_num is invalid. A PF is valid and identified by trgt_timeout_cpl_func_num - 1: A VF is active and is.." "No VF is active and trgt_timeout_cpl_vfunc_num..,A VF is active and is identified by.." newline bitfld.long 0x0 23.--25. "TRGT_TIMEOUT_CPL_VFUNC_NUM,Indicates which virtual function (VF) timed out. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example trgt_timeout_cpl_ vfunc_num=0.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 22. "TRGT_TIMEOUT_CPL_FUNC_NUM,TRGT_TIMEOUT_CPL_FUNC_NUM" "0,1" newline hexmask.long.byte 0x0 14.--21. 1. "CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline rbitfld.long 0x0 12.--13. "CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline rbitfld.long 0x0 10.--11. "CFG_EML_CONTROL,Electromechanical Interlock Control. The state of the Electromechanical Interlock Control bit in the Slot Control register." "0,1,2,3" newline rbitfld.long 0x0 9. "CFG_L1SUB_EN,Indicates that any of the L1 Substates are enabled in the L1 Substates Control 1 Register. Could be used by your application in a downstream port to determine when not to drive CLKREQ# such as when L1" "0,1" newline rbitfld.long 0x0 7.--8. "AUX_PM_EN,AUX_PM_EN" "0,1,2,3" newline rbitfld.long 0x0 5.--6. "PM_STATUS,PME Status bit from the PMCSR. There is 1 bit of pm_status for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 0.--4. 1. "PM_SLAVE_STATE,Power management slave FSM state." line.long 0x4 "STS_2,STS_2" bitfld.long 0x4 30.--31. "RADM_TIMEOUT_CPL_ATTR,The Attributes field of the timed out completion" "0,1,2,3" newline rbitfld.long 0x4 29. "RADM_QOVERFLOW,Pulse indicating that one or more of the P/NP/CPL receive queues have overflowed. There is a 1-bit indication for each configured virtual channel. You can connect this output to your internal error reporting mechanism." "?,bit indication for each configured virtual channel" newline rbitfld.long 0x4 28. "RADM_Q_NOT_EMPTY,Level indicating that the receive queues contain TLP header/data." "0,1" newline bitfld.long 0x4 27. "RADM_TIMEOUT_FUNC_NUM,The function Number of the timed out completion. Function numbering starts at '0'." "0,1" newline rbitfld.long 0x4 26. "RADM_XFER_PENDING,Receive request pending status. Indicates Receive TLP requests are pending that is requests sent to the RTRGT1 or RTRGT0 interfaces are awaiting a response from your application." "0,1" newline rbitfld.long 0x4 25. "EDMA_XFER_PENDING,eDMA transfer pending status. Indicates eDMA Write or Read Channel transfers are pending that is DMA Write or Read Channels have not finished transferring data." "0,1" newline rbitfld.long 0x4 24. "BRDG_DBI_XFER_PENDING,AXI Slave DBI transfer pending status. Indicates AXI DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline rbitfld.long 0x4 22.--23. "CFG_INT_DISABLE,When high a functions ability to generate INTx messages is Disabled" "0,1,2,3" newline rbitfld.long 0x4 20.--21. "CFG_CMD_CPLED_INT_EN,Slot Control Command Completed Interrupt Enable." "0,1,2,3" newline hexmask.long.byte 0x4 12.--19. 1. "TRGT_TIMEOUT_LOOKUP_ID,The target completion LUT lookup ID of the timed out completion" newline hexmask.long.word 0x4 0.--11. 1. "TRGT_TIMEOUT_CPL_LEN,The Length of the timed out completion." line.long 0x8 "STS_3,STS_3" rbitfld.long 0x8 30.--31. "CFG_PWR_CTRLER_CTRL,Controls the system power controller (from bit 10 of the Slot Control register) per function: - 0: Power On - 1: Power Off" "Power On,Power Off,?,?" newline rbitfld.long 0x8 28.--29. "CFG_END2END_TLP_PFX_BLCK,The value of the End-End TLP Prefix Blocking field in the Device Control 2 register." "0,1,2,3" newline hexmask.long.byte 0x8 23.--27. 1. "CFG_PBUS_DEV_NUM,The device number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are five bits of cfg_pbus_dev_num ([4:0]) regardless of the number of.." newline hexmask.long.word 0x8 11.--22. 1. "RADM_TIMEOUT_CPL_LEN,Length (in bytes) of the timed out completion. For a split completion it indicates the number of bytes remaining to be delivered when the completion timed out." newline hexmask.long.byte 0x8 3.--10. 1. "RADM_TIMEOUT_CPL_TAG,The Tag field of the timed out completion." newline bitfld.long 0x8 0.--2. "RADM_TIMEOUT_VFUNC_NUM,Indicates which virtual function (VF) had a completion timeout. The PCIe SR-IOV specification starts numbering VFs at '1'. To ease timing during synthesis the PCIe controller starts numbering VFs at '0' ." "0,1,2,3,4,5,6,7" rgroup.long 0x210++0xB line.long 0x0 "STS_4,STS_4" bitfld.long 0x0 31. "SMLH_LTSSM_STATE_RCVRY_EQ,This status signal is asserted during all Recovery Equalization states." "0,1" newline bitfld.long 0x0 29.--30. "CFG_DLL_STATE_CHGED_EN,Slot Control DLL State Change Enable" "0,1,2,3" newline bitfld.long 0x0 27.--28. "CFG_HP_SLOT_CTRL_ACCESS,Slot Control Accessed." "0,1,2,3" newline bitfld.long 0x0 25.--26. "CFG_RELAX_ORDER_EN,Contents of the 'Enable Relaxed Ordering' field(PCIE_CAP_EN_REL_ORDER) in the 'Device Control and Status' register (DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline bitfld.long 0x0 23.--24. "CFG_NO_SNOOP_EN,Contents of the 'Enable No Snoop' field (PCIE_CAP_EN_NO_SNOOP)in the 'Device Control and Status' register(DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline hexmask.long.byte 0x0 15.--22. 1. "PM_VF_STATUS,PME Status bit from the VF PMCSR. There is 1 bit of pm_status for each configured function. Each bit field corresponds to one of the NVF virtual functions." newline bitfld.long 0x0 13.--14. "CFG_VF_EN,Identifies those physical functions that have virtual functions enabled." "0,1,2,3" newline bitfld.long 0x0 11.--12. "CFG_ATTEN_BUTTON_PRESSED_EN,Slot Control Attention Button Pressed Enable." "0,1,2,3" newline bitfld.long 0x0 9.--10. "CFG_PWR_FAULT_DET_EN,Slot Control Power Fault Detect Enable." "0,1,2,3" newline bitfld.long 0x0 7.--8. "CFG_MRL_SENSOR_CHGED_EN,Slot Control MRL Sensor Changed Enable." "0,1,2,3" newline bitfld.long 0x0 5.--6. "CFG_PRE_DET_CHGED_EN,Slot Control Presence Detect Changed Enable." "0,1,2,3" newline bitfld.long 0x0 4. "PTM_REQ_RESPONSE_TIMEOUT,PTM Requester Response Timeout. Single-cycle pulse indicating 100us timeout occurred while waiting for a PTM Response or PTM ResponseD message." "0,1" newline bitfld.long 0x0 3. "PTM_TRIGGER_ALLOWED,Indicates that a PTM Requester manual update trigger is allowed." "0,1" newline bitfld.long 0x0 2. "PTM_UPDATING,Indicates that a PTM update is in progress." "0,1" newline bitfld.long 0x0 1. "PTM_RESPONDER_RDY_TO_VALIDATE,PTM Responder Ready to Validate." "0,1" newline bitfld.long 0x0 0. "PTM_CONTEXT_VALID,O Context Valid." "0,1" line.long 0x4 "STS_5,STS_5" bitfld.long 0x4 30.--31. "CFG_HP_INT_EN,Slot Control Hot Plug Interrupt Enable." "0,1,2,3" newline bitfld.long 0x4 28.--29. "CFG_CRS_SW_VIS_EN,Indicates the value of the CRS Software Visibility enable bit in the Root Control register. Applicable only for RC devices." "0,1,2,3" newline bitfld.long 0x4 26.--27. "CFG_PM_NO_SOFT_RST,This is the value of the No Soft Reset bit in the Power Management Control and Status Register." "0,1,2,3" newline hexmask.long.word 0x4 16.--25. 1. "FRSQ_INT_MSG_NUM,FRSQ Interrupt Message Number." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_PBUS_NUM,The primary bus number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are eight bits of cfg_pbus_num ([7:0]) regardless of the number of.." newline bitfld.long 0x4 6.--7. "CFG_RCB,The value of the RCB bit in the Link Control register. There is 1 bit of cfg_rcb assigned to each configured function." "0,1,2,3" newline hexmask.long.byte 0x4 0.--5. 1. "CFG_MAX_PAYLOAD_SIZE,The value of the Max_Payload_Size field in the Device Control register.There are 3 bits of cfg_max_payload_size assigned to each configured function." line.long 0x8 "STS_6,STS_6" newline bitfld.long 0x8 2. "BRDG_SLV_XFER_PENDING,AXI Slave non-DBI transfer pending status. Indicates AXI non-DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline bitfld.long 0x8 1. "SMLH_LINK_UP,PHY Link up/down indicator: - 1: Link is up - 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x8 0. "RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs this.." "Link is down,Link is up" group.long 0x21C++0x7 line.long 0x0 "STS_7,STS_7" bitfld.long 0x0 29.--31. "RADM_TIMEOUT_CPL_TC,The Traffic Class of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 28. "RADM_TIMEOUT_VFUNC_ACTIVE,Indicates that a virtual function (VF) had a completion timeout. - 0: No VF is active and radm_timeout_vfunc_num is invalid. A PF is valid and identified by radm_timeout_func_num. - 1: A VF is active and is identified by.." "No VF is active and radm_timeout_vfunc_num is..,A VF is active and is identified by.." newline hexmask.long.word 0x0 18.--27. 1. "CFG_PCIE_CAP_INT_MSG_NUM,From bits [13:9] of the PCI Express Capabilities register used when MSI or MSI-X is enabled. Assertion of hp_msi or cfg_pme_msi along with a value cfg_pcie_cap_int_msg_num is equivalent to the controller receiving an MSI with.." newline hexmask.long.word 0x0 8.--17. 1. "CFG_AER_INT_MSG_NUM,From bits [31:27] of the Root Error Status register used when MSI or MSI-X is enabled. Assertion of cfg_aer_rc_err_msi along with a value cfg_aer_int_msg_num is equivalent to the controller receiving an MSI with the.." newline hexmask.long.byte 0x0 0.--7. 1. "CFG_VF_BME,Bus master enable bit from the Control Register in the PCI header of each VF. Each bit field corresponds to one of the NVF virtual functions." line.long 0x4 "STS_8,STS_8" hexmask.long.word 0x4 16.--31. 1. "RADM_MSG_REQ_ID,The requester ID of the received Message. - [15:8]: Bus number - [7:3]: Device number - [2:0]: Function number" newline hexmask.long.word 0x4 0.--15. 1. "CFG_INT_PIN,The cfg_int_pin indicates the configured value for the Interrupt Pin Register field in the BRIDGE_CTRL_INT_PIN_INT_LINE register." repeat 8. (list 0x9 0xA 0xF 0x10 0x11 0x12 0x15 0x16 )(list 0x0 0x4 0x18 0x1C 0x20 0x24 0x30 0x34 ) group.long ($2+0x224)++0x3 line.long 0x0 "STS_$1,STS_9" hexmask.long 0x0 0.--31. 1. "RADM_MSG_PAYLOAD_31_0,Received message header information. When a vendor-defined or ltr messageis received (radm_vendor_msg=1 or radm_ltr_msg=1) the controller maps radm_msg_payload to the Rx TLP header dwords as follows: When RX_TLP =1 - [31:0] = bytes.." repeat.end repeat 16. (list 0xB 0xC 0xD 0xE 0x14 0x17 0x18 0x1C 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 )(list 0x0 0x4 0x8 0xC 0x24 0x30 0x34 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end repeat 3. (list 0x25 0x26 0x27 )(list 0x68 0x6C 0x70 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end group.long 0x24C++0x3 line.long 0x0 "STS_19,STS_19" hexmask.long.word 0x0 16.--31. 1. "MSTR_ARMISC_INFO_47_32,MSTR_ARMISC_INFO_47_32" newline hexmask.long.word 0x0 0.--15. 1. "MSTR_AWMISC_INFO_47_32,MSTR_AWMISC_INFO_47_32" rgroup.long 0x264++0xB line.long 0x0 "STS_25,STS_25" bitfld.long 0x0 30.--31. "CFG_MEM_SPACE_EN,CFG_MEM_SPACE_EN" "0,1,2,3" newline hexmask.long.byte 0x0 24.--29. 1. "CFG_MAX_RD_REQ_SIZE,CFG_MAX_RD_REQ_SIZE" newline bitfld.long 0x0 22.--23. "CFG_BUS_MASTER_EN,CFG_BUS_MASTER_EN" "0,1,2,3" newline bitfld.long 0x0 21. "CFG_DISABLE_LTR_CLR_MSG,CFG_DISABLE_LTR_CLR_MSG" "0,1" newline bitfld.long 0x0 20. "CFG_LTR_M_EN,CFG_LTR_M_EN" "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "CFG_ATTEN_IND,CFG_ATTEN_IND" newline hexmask.long.byte 0x0 12.--15. 1. "CFG_PWR_IND,CFG_PWR_IND" newline bitfld.long 0x0 10.--11. "CFG_PF_PASID_PRIV_MODE_EN,CFG_PF_PASID_PRIV_MODE_EN" "0,1,2,3" newline bitfld.long 0x0 8.--9. "CFG_PF_PASID_EXECUTE_PERM_EN,CFG_PF_PASID_EXECUTE_PERM_EN" "0,1,2,3" newline bitfld.long 0x0 6.--7. "CFG_PF_PASID_EN,CFG_PF_PASID_EN" "0,1,2,3" newline hexmask.long.byte 0x0 0.--5. 1. "CFG_START_VFI,CFG_START_VFI" line.long 0x4 "STS_26,STS_26" hexmask.long.word 0x4 16.--31. 1. "CFG_SUBBUS_NUM,CFG_SUBBUS_NUM" newline hexmask.long.word 0x4 0.--15. 1. "CFG_2NDBUS_NUM,CFG_2NDBUS_NUM" line.long 0x8 "STS_27,STS_27" hexmask.long.byte 0x8 24.--31. 1. "PM_VF_PME_EN,PM_VF_PME_EN" newline hexmask.long.tbyte 0x8 0.--23. 1. "PM_VF_DSTATE,PM_VF_DSTATE" tree.end elif (CORENAME()=="CORTEXA55") tree "PCIE1_PF0" base ad:0x31000000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF01" base ad:0x31020000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF23" base ad:0x31040000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_PF1" base ad:0x31060000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF45" base ad:0x31080000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_VF67" base ad:0x310A0000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_ATU_DMA" base ad:0x310C0000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 2.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0.--1. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" bitfld.long 0xC 28.--30. "USP_RX_PRESET_HINT1,Upstream Port 8.0 GT/s Receiver Preset Hint 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 24.--27. 1. "USP_TX_PRESET1,Upstream Port 8.0 GT/s Transmitter Preset 1. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 20.--22. "DSP_RX_PRESET_HINT1,Downstream Port 8.0 GT/s Receiver Preset Hint 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 16.--19. 1. "DSP_TX_PRESET1,Downstream Port 8.0 GT/s Transmitter Preset 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2E8)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 18. "PCIE_CAP_FATAL_ERR_DETECTED,Fatal Error Detected. This bit indicates status of Fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a Multi-Function device.." "0,1" bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" newline bitfld.long 0x8 16. "PCIE_CAP_CORR_ERR_DETECTED,Correctable Error Detected. This bit indicates status of correctable errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 15. "PCIE_CAP_INITIATE_FLR,Initiate Function Level Reset (applicable to Endpoints with Function Level Reset Capability set to 1b). A write of 1b initiates Function Level Reset to the Function. The value read by software from this bit is always 0b." "0,1" newline bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" newline bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" newline rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" newline bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" newline bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x24 22.--23. "PCIE_CAP2_CFG_MAX_END2END_TLP_PRFXS,Max End-End TLP Prefixes. Indicates the maximum number of End-End TLP Prefixes supported by this Function. For more details see Section 2.2.10.2 of PCI Express Base Specification. Values are: - 01b: 1 End-End TLP.." "0,1,2,3" bitfld.long 0x24 21. "PCIE_CAP2_CFG_END2END_TLP_PRFX_SUPPORT,End-End TLP Prefix Supported. Indicates whether End-End TLP Prefix support is offered by a Function. Values are: - 0b: No Support - 1b: Support is provided to receive TLPs containing End-End TLP Prefixes. All Ports.." "0,1" newline bitfld.long 0x24 20. "PCIE_CAP2_CFG_EXTND_FMT_SUPPORT,Extended Fmt Field Supported. If set the Function supports the 3-bit definition of the Fmt field. If clear the Function supports a 2-bit definition of the Fmt field. For more details see section 2.2 of PCI Express Base.." "0,1" rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" newline rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" newline rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" newline rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" newline rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" newline rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." hexmask.long.word 0x28 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x28 15. "PCIE_CTRL2_CFG_END2END_TLP_PFX_BLCK,End-End TLP Prefix Blocking. Controls whether the routing function is permitted to forward TLPs containing an End-End TLP Prefix. Values are: - 0b: Forwarding Enabled - Function is permitted to send TLPs with End-End.." "0,1" newline rbitfld.long 0x28 11. "RSVDP_11,Reserved for future use." "0,1" bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" newline rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" newline hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x4 25. "TLP_PRFX_BLOCKED_ERR_STATUS,TLP Prefix Blocked Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported." "0,1" rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" newline bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x8 25. "TLP_PRFX_BLOCKED_ERR_MASK,TLP Prefix Blocked Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0xC 25. "TLP_PRFX_BLOCKED_ERR_SEVERITY,TLP Prefix Blocked Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: Not supported. Note: The access attributes of this field are as follows: - Wire:.." "0,1" rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x18 11. "TLP_PRFX_LOG_PRESENT,Completion Timeout Prefix/Header Log Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_MSIX_CAP (PF MSI-X Capability Structure)" group.long (0x0+0xB0)++0xB line.long 0x0 "PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." bitfld.long 0x0 31. "PCI_MSIX_ENABLE,MSI-X Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" bitfld.long 0x0 30. "PCI_MSIX_FUNCTION_MASK,Function Mask. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" rbitfld.long 0x0 27.--29. "RSVDP_27,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Size' (PCI_MSIX_TABLE_SIZE field in.." hexmask.long.byte 0x0 8.--15. 1. "PCI_MSIX_CAP_NEXT_OFFSET,MSI-X Next Capability Pointer. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.byte 0x0 0.--7. 1. "PCI_MSIX_CAP_ID,MSI-X Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification." line.long 0x4 "MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table Offset' (PCI_MSIX_TABLE_OFFSET field in.." bitfld.long 0x4 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X Table BAR Indicator Register'.." "0,1,2,3,4,5,6,7" line.long 0x8 "MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA Offset' (PCI_MSIX_PBA_OFFSET field in.." bitfld.long 0x8 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. SRIOV Note: All VFs in a single PF have the same value for 'MSI-X PBA BIR' (PCI_MSIX_PBA_BIR field in.." "0,1,2,3,4,5,6,7" tree.end tree "PF0_MSIX_CAP_DBI2 (DBI2 Shadow Block: PF MSI-X Capability Structure)" rgroup.long (0x0+0x10B0)++0x3 line.long 0x0 "SHADOW_PCI_MSIX_CAP_ID_NEXT_CTRL_REG,MSI-X Capability ID. Next Pointer. Control Registers. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." hexmask.long.word 0x0 16.--26. 1. "PCI_MSIX_TABLE_SIZE,MSI-X Table Size in the shadow register. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1) then R/W else R Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "RSVDP_0,Reserved for future use." group.long 0x4++0x7 line.long 0x0 "SHADOW_MSIX_TABLE_OFFSET_REG,MSI-X Table Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x0 3.--31. 1. "PCI_MSIX_TABLE_OFFSET,MSI-X Table Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." bitfld.long 0x0 0.--2. "PCI_MSIX_BIR,MSI-X Table BAR Indicator Register Field. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "?,?,if,?,?,?,?,?" line.long 0x4 "SHADOW_MSIX_PBA_OFFSET_REG,MSI-X PBA Offset and BIR Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 3.--31. 1. "PCI_MSIX_PBA_OFFSET,MSI-X PBA Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN ==.." bitfld.long 0x4 0.--2. "PCI_MSIX_PBA_BIR,MSI-X PBA BIR. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if (DBI_RO_WR_EN == 1 &&.." "?,?,if,?,?,?,?,?" tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x1C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_OUTBOUND_$1,iATU Region Control 3 Register." bitfld.long 0x0 31. "VF_ACTIVE,Virtual Function Active. Indicates that all of the outbound accesses are from virtual functions. For more details see VF_ACTIVE field. Note: This register field is sticky." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then this field used in generating the Function part of the requester ID (RID) field of.." "0,1,2,3,4,5,6,7" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 26. "VFBAR_MATCH_MODE_EN,VF BAR Match Mode enable. When set Virtual Function BAR matching is used which allows all VFs in a PF which match a BAR to be matched with a single ATU region. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 20. "VF_MATCH_EN,Virtual Function Number Match Enable. Ensures that a successful Virtual Function Number TLP field comparison match (see Virtual Function Number field of the 'iATU Control 3 Register') occurs (in MEM-I/O transactions) for address translation.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x11C+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_3_OFF_INBOUND_$1,iATU Region Control 3 Register." rbitfld.long 0x0 31. "VF_ACTIVE,Reserved. Not used." "0,1" newline hexmask.long 0x0 3.--30. 1. "RSVDP_VF_NUMBER,Reserved for future use." newline bitfld.long 0x0 0.--2. "VF_NUMBER,Virtual Function Number. When the Address and BAR matching logic in the controller indicates that a MEM-I/O transaction matches a BAR in the virtual function corresponding to this value then address translation proceeds. This check is only.." "0,1,2,3,4,5,6,7" repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x0 30. "FORCE_LANE_FLIP,Enable to force the LANE_UNDER_TEST physical lane flips to logical lane 0. All the other physical lanes are turned off. The LINK_CAPABLE register must be set to 1 and only x1 link can be formed if the FORCE_LANE_FLIP register is set to 1." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "LANE_UNDER_TEST,The Lane Under Test is the lane for Forced Lane Flip or for Loopback Eq. The value is from 0 to CX_NL. Only one lane is configured each time. The default of this field is the CX_DEFAULT_LANE_UNDER_TEST configuration parameter. This field.." newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 7.--12. 1. "TARGET_MAP_VF,Target Values for each BAR on the VF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x240+0x700)++0x7 line.long 0x0 "MSIX_ADDRESS_MATCH_LOW_OFF,MSI-X Address Match Low Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x0 2.--31. 1. "MSIX_ADDRESS_MATCH_LOW,MSI-X Address Match Low Address. Note: This register field is sticky." newline rbitfld.long 0x0 1. "MSIX_ADDRESS_MATCH_RESERVED_1,Reserved. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_ADDRESS_MATCH_EN,MSI-X Match Enable. Enable the MSI-X Address Match feature when the AXI bridge is present. Note: This register field is sticky." "0,1" line.long 0x4 "MSIX_ADDRESS_MATCH_HIGH_OFF,MSI-X Address Match High Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in.." hexmask.long 0x4 0.--31. 1. "MSIX_ADDRESS_MATCH_HIGH,MSI-X Address Match High Address. Note: This register field is sticky." wgroup.long (0x248+0x700)++0x3 line.long 0x0 "MSIX_DOORBELL_OFF,MSI-X Doorbell Register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts section in the 'Controller.." bitfld.long 0x0 29.--31. "MSIX_DOORBELL_RESERVED_29_31,Reserved." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "MSIX_DOORBELL_PF,MSIX Doorbell Physical Function. This register determines the Physical Function for the MSI-X transaction." newline hexmask.long.byte 0x0 16.--23. 1. "MSIX_DOORBELL_VF,MSIX Doorbell Virtual Function. This register determines the Virtual Function for the MSI-X transaction." newline bitfld.long 0x0 15. "MSIX_DOORBELL_VF_ACTIVE,MSIX Doorbell Virtual Function Active. This register determines whether a Virtual Function is used to generate the MSI-X transaction." "0,1" newline bitfld.long 0x0 12.--14. "MSIX_DOORBELL_TC,MSIX Doorbell Traffic Class. This register determines which traffic class to generate the MSI-X transaction with." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 11. "MSIX_DOORBELL_RESERVED_11,Reserved." "0,1" newline hexmask.long.word 0x0 0.--10. 1. "MSIX_DOORBELL_VECTOR,MSI-X Doorbell Vector. This register determines which vector to generate the MSI-X transaction for." group.long (0x24C+0x700)++0x3 line.long 0x0 "MSIX_RAM_CTRL_OFF,MSI-X RAM power mode and debug control register. When you enable the MSI-X Table RAM feature (MSIX_TABLE_EN=1). the controller implements the logic and RAM required to generate MSI-X requests. For more details. see the Interrupts.." hexmask.long.byte 0x0 26.--31. 1. "MSIX_RAM_CTRL_RESERVED_26_31,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 25. "MSIX_RAM_CTRL_DBG_PBA,MSIX PBA RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the PBA. Use can also use the dbg_pba input to activate debug mode. Debug mode turns off the PF/VF/Offset-based addressing into.." "0,1" newline bitfld.long 0x0 24. "MSIX_RAM_CTRL_DBG_TABLE,MSIX Table RAM Debug Mode. Use this bit to activate the debug mode and allow direct read/write access to the Table. Use can also use the dbg_table input to activate debug mode. Debug mode turns off the PF/VF/Offset-based.." "0,1" newline hexmask.long.byte 0x0 17.--23. 1. "MSIX_RAM_CTRL_RESERVED_17_23,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 16. "MSIX_RAM_CTRL_BYPASS,MSIX RAM Control Bypass. The bypass field when set disables the internal generation of low power signals for both RAMs. It is up to the application to ensure the RAMs are in the proper power state before trying to access them." "0,1" newline hexmask.long.byte 0x0 10.--15. 1. "MSIX_RAM_CTRL_RESERVED_10_15,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 9. "MSIX_RAM_CTRL_PBA_SD,MSIX PBA RAM Shut Down. Set this bit to drive the cfg_msix_pba_sd output to signal your external logic to place the MSIX PBA RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 8. "MSIX_RAM_CTRL_PBA_DS,MSIX PBA RAM Deep Sleep. Set this bit to drive the cfg_msix_pba_ds output to signal your external logic to place the MSIX PBA RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 2.--7. 1. "MSIX_RAM_CTRL_RESERVED_2_7,Reserved. Note: This register field is sticky." newline bitfld.long 0x0 1. "MSIX_RAM_CTRL_TABLE_SD,MSIX Table RAM Shut Down. Set this bit to drive the cfg_msix_table_sd output to signal your external logic to place the MSIX Table RAM in Shut Down low-power mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "MSIX_RAM_CTRL_TABLE_DS,MSIX Table RAM Deep Sleep. Set this bit to drive the cfg_msix_table_ds output to signal your external logic to place the MSIX Table RAM in Deep Sleep low-power mode. Note: This register field is sticky." "0,1" group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE1_NCR" base ad:0x310E0000 group.long 0x0++0x7 line.long 0x0 "INTR_0,INTR_0" bitfld.long 0x0 31. "INTR_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs." "0,1" newline bitfld.long 0x0 30. "INTR_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x0 29. "INTR_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x0 28. "INTR_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x0 27. "INTR_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x0 26. "INTR_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x0 25. "INTR_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x0 23.--24. "INTR_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x0 21.--22. "INTR_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X is.." "0,1,2,3" newline bitfld.long 0x0 19.--20. "INTR_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x0 17.--18. "INTR_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x0 15.--16. "INTR_HP_MSI,INTR_HP_MSI" "0,1,2,3" newline bitfld.long 0x0 14. "INTR_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x0 13. "INTR_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x0 11.--12. "INTR_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status" "0,1,2,3" newline bitfld.long 0x0 10. "INTR_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x0 9. "INTR_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context is.." "0,1" newline bitfld.long 0x0 8. "INTR_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following calculation.." "0,1" newline bitfld.long 0x0 7. "INTR_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x0 6. "INTR_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x0 5. "INTR_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x0 4. "INTR_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x0 3. "INTR_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x0 2. "INTR_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x0 1. "INTR_PERSTN,INTR_PERSTN This interrupt status bit indicates PERST# pad input fall edge detected." "0,1" newline bitfld.long 0x0 0. "INTR_WAKEN,INTR_WAKEN This interrupt status bit indicates WAKE# pad input fall edge detected." "0,1" line.long 0x4 "INTR_1,INTR_1" bitfld.long 0x4 31. "INTR_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x4 30. "INTR_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x4 26.--29. 1. "INTR_INT_D_A,INTR_INT_D_A These Interrupt status bit indicates wheter Legacy A~D transmitted or received by controller. bit 29 : INT_D .. bit26 : INT_A" newline bitfld.long 0x4 24.--25. "INTR_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 22.--23. "INTR_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 20.--21. "INTR_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 18.--19. "INTR_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x4 16.--17. "INTR_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x4 8.--15. 1. "INTR_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x4 0.--7. 1. "INTR_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x8)++0x3 line.long 0x0 "INTR_$1,INTR_2" hexmask.long 0x0 0.--31. 1. "INTR_MSI_PF0,INTR_MSI_PF0" repeat.end group.long 0x30++0xB line.long 0x0 "INTR_12,INTR_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTR_RADM_TRGT1_ATU_CBUF_ERR,INTR_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTR_RADM_TRGT1_ATU_SLOC_MATCH,INTR_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTR_RADM_CORRECTABLE_ERR,INTR_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTR_RADM_NONFATAL_ERR,INTR_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTR_RADM_FATAL_ERR,INTR_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTR_CFG_AER_RC_ERR_MSI,INTR_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTR_CFG_SYS_ERR_RC,INTR_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTR_MSTR_AWMISC_INFO_EP,INTR_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "INTEN_0,INTEN_0" bitfld.long 0x4 31. "INTEN_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs.This output is not used in RC mode." "0,1" newline bitfld.long 0x4 30. "INTEN_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x4 29. "INTEN_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x4 28. "INTEN_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x4 27. "INTEN_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x4 26. "INTEN_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x4 25. "INTEN_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x4 23.--24. "INTEN_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x4 21.--22. "INTEN_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X.." "0,1,2,3" newline bitfld.long 0x4 19.--20. "INTEN_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x4 17.--18. "INTEN_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x4 15.--16. "INTEN_HP_MSI,The controller asserts hp_msi (as a one-cycle pulse) when the logical AND of the following conditions transitions from false to true: MSI or MSI-X is enabled. Hot-Plug interrupts are enabled in the Slot Control register. Any bit in the Slot.." "0,1,2,3" newline bitfld.long 0x4 14. "INTEN_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x4 13. "INTEN_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x4 11.--12. "INTEN_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status register is set to 1. Any bit in the Slot Status register transitions from 0 to 1 and the associated.." "0,1,2,3" newline bitfld.long 0x4 10. "INTEN_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x4 9. "INTEN_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context.." "0,1" newline bitfld.long 0x4 8. "INTEN_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following.." "0,1" newline bitfld.long 0x4 7. "INTEN_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x4 6. "INTEN_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x4 5. "INTEN_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x4 4. "INTEN_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x4 3. "INTEN_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x4 2. "INTEN_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x4 1. "INTEN_PERSTN,INTEN_PERSTN Interrupt Enable bit for INTR_PERSTN." "0,1" newline bitfld.long 0x4 0. "INTEN_WAKEN,INTEN_WAKEN Interrupt Enable bit for INTR_WAKEN." "0,1" line.long 0x8 "INTEN_1,INTEN_1" bitfld.long 0x8 31. "INTEN_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x8 30. "INTEN_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x8 26.--29. 1. "INTEN_INT_D_A,INTEN_INT_D_A Interrupt Enable bit for INTR_D_A. Bit 29 : INT_D ... Bit26 : INT_A." newline bitfld.long 0x8 24.--25. "INTEN_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 22.--23. "INTEN_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 20.--21. "INTEN_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 18.--19. "INTEN_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x8 16.--17. "INTEN_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x8 8.--15. 1. "INTEN_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x8 0.--7. 1. "INTEN_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x3C)++0x3 line.long 0x0 "INTEN_$1,INTEN_2" hexmask.long 0x0 0.--31. 1. "INTEN_MSI_PF0,INTEN_MSI_PF0" repeat.end group.long 0x64++0x13 line.long 0x0 "INTEN_12,INTEN_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTEN_RADM_TRGT1_ATU_CBUF_ERR,INTEN_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTEN_RADM_TRGT1_ATU_SLOC_MATCH,INTEN_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTEN_RADM_CORRECTABLE_ERR,INTEN_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTEN_RADM_NONFATAL_ERR,INTEN_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTEN_RADM_FATAL_ERR,INTEN_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTEN_CFG_AER_RC_ERR_MSI,INTEN_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTEN_CFG_SYS_ERR_RC,INTEN_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTEN_MSTR_AWMISC_INFO_EP,INTEN_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "CTRL_0,CTRL_0" bitfld.long 0x4 31. "APP_FLR_VF_DONE_7,Indicates that FLR a virtual function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's transmit.." "0,1" newline bitfld.long 0x4 30. "APP_FLR_VF_DONE_6,Similar to above." "0,1" newline bitfld.long 0x4 29. "APP_FLR_VF_DONE_5,Similar to above." "0,1" newline bitfld.long 0x4 28. "APP_FLR_VF_DONE_4,Similar to above." "0,1" newline bitfld.long 0x4 27. "APP_FLR_VF_DONE_3,Similar to above." "0,1" newline bitfld.long 0x4 26. "APP_FLR_VF_DONE_2,Similar to above." "0,1" newline bitfld.long 0x4 25. "APP_FLR_VF_DONE_1,Similar to above." "0,1" newline bitfld.long 0x4 24. "APP_FLR_VF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 23. "APP_FLR_PF_DONE_1,Indicates that FLR a physical function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's.." "0,1" newline bitfld.long 0x4 22. "APP_FLR_PF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 21. "OUTBAND_PWRUP_CMD_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits.." "0,1" newline bitfld.long 0x4 20. "OUTBAND_PWRUP_CMD_0,Similar to above." "0,1" newline bitfld.long 0x4 19. "APP_REQ_EXIT_L1,Application request to Exit L1. Request from your application to exit L1.It is only effective when L1 is enabled." "0,1" newline bitfld.long 0x4 18. "APP_REQ_ENTR_L1,Application request to Enter L1 ASPM state. The app_req_entr_l1 signal is for use by applications that need to control L1 entry instead of using the L1 entry timer as defined in the PCI Express Specification. It is only effective when L1.." "0,1" newline bitfld.long 0x4 17. "APP_UNLOCK_MSG,Request from your application to generate an Unlock message. You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the previous.." "0,1" newline bitfld.long 0x4 16. "APP_PM_XMT_PME_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits a.." "0,1" newline bitfld.long 0x4 15. "APP_PM_XMT_PME_0,Similar to above." "0,1" newline bitfld.long 0x4 14. "APP_PM_XMT_TURNOFF,Request from your application to generate a PM_Turn_Off message.You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the.." "0,1" newline bitfld.long 0x4 13. "APP_INIT_RST,Request from your application to send a hot reset to the upstream port.The hot reset request is sent when a single cycle pulse is applied to this pin. In an upstream port you should set this input to '0'." "0,1" newline bitfld.long 0x4 12. "APP_HDR_VALID,One-clock-cycle pulse indicating that the data app_hdr_log app_err_bus app_err_func_num and app_tlp_prfx_log is valid." "0,1" newline bitfld.long 0x4 11. "APP_L1SUB_DISABLE,The application can set this input to 1'b1 to prevent entry to L1 Sub-states. This pin is used to gate the L1 sub-state enable bits from the L1 PM Substates Control 1 Register." "0,1" newline bitfld.long 0x4 10. "APP_CLK_PM_EN,Clock PM feature enabled by application. Used to inhibit the programming of the Clock PM in Link Control Register. For more details see 'L1 with Clock PM (L1 with REFCLK removal/PLL Off)'." "0,1" newline bitfld.long 0x4 9. "APP_XFER_PENDING,Indicates that your application has transfers pending and prevents the controller from entering L1. If the entry into L1 is already in progress assertion of app_xfer_pending causes an exit from L1. This is a level signal used to inform.." "0,1" newline bitfld.long 0x4 8. "APP_READY_ENTR_L23,Application Ready to Enter L23. Indication from your application that it is ready to enter the L23 state. The app_ready_entr_l23 signal is provided for applications that must control L23 entry (in case certain tasks must be performed.." "0,1" newline bitfld.long 0x4 7. "APP_CLK_REQ_N,Indicates that the application logic is ready to have reference clock removed. In designs which support reference clock removal through either L1 PM Sub-states or L1 CPM the application should set this signal to 1'b when it is ready to.." "0,1" newline bitfld.long 0x4 6. "APP_HOLD_PHY_RST,Set this signal to one before the de-assertion of power on reset to hold the PHY in reset. This can be used to configure your PHY. Synopsys PHYs can be configured through the PHY Viewport if desired. Please tie this port to zero if your.." "0,1" newline bitfld.long 0x4 5. "APP_SRIS_MODE,SRIS operating mode: 0b: non-SRIS mode 1b: SRIS mode" "0,1" newline bitfld.long 0x4 4. "APP_DBI_RO_WR_DISABLE,DBI Read-only Write Disable 0: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is read-write. 1: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is forced to 0 and is read-only." "MISC_CONTROL_1_OFF,MISC_CONTROL_1_OFF" newline bitfld.long 0x4 3. "DEVICE_TYPE,Device/port type. Indicates the specific type of this PCI Express function. It is also used to set the 'Device/Port Type' field of the 'PCI Express Capabilities Register'. The controller uses this input to determine the operating mode of the.." "PCI Express endpoint,Legacy PCI Express endpoint" newline bitfld.long 0x4 2. "APP_LTSSM_ENABLE,To do otherwise (that is de-assert it outside of the Detect LTSSM state) causes the controller to be reset and the LTSSM moves immediately back to the Detect state. This transition is outside of the PCIe Specification and it might cause.." "0,1" newline bitfld.long 0x4 0. "APP_ERR_VFUNC_ACTIVE,Indicates the function number in app_err_vfunc_num is valid." "0,1" line.long 0x8 "CTRL_1,CTRL_1" bitfld.long 0x8 29.--31. "APP_ERR_VFUNC_NUM,The number of the virtual function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Uncorrected Internal errors (app_err_bus[9]) are not recorded for virtual functions.The PCIe SR-IOV.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "SLV_ARMISC_INFO_ATU_BYPASS,AXI Slave Read Request Internal ATU Bypass. When set it indicates that this request should not be processed by the internal address translation unit" "0,1" newline hexmask.long.word 0x8 15.--27. 1. "APP_ERR_BUS,The type of error that your application detected. The controller combines the values the app_err_bus bits with the internally-detected error signals to set the corresponding bit in the Uncorrectable or Correctable Error Status Registers:.." newline bitfld.long 0x8 14. "APP_REQ_RETRY_EN,Provides a capability to defer incoming configuration requests until initialization is complete. When app_req_retry_en is asserted the controller completes incoming configuration requests with a configuration request retry status. Other.." "0,1" newline hexmask.long.byte 0x8 6.--13. 1. "APP_VF_REQ_RETRY_EN,Provides a per Virtual Function (VF) capability to defer incoming configuration requests until initialization is complete. When app_vf_req_retry_en is asserted for a certain VF the controller completes incoming configuration requests.." newline bitfld.long 0x8 4.--5. "APP_PF_REQ_RETRY_EN,Provides a per Physical Function (PF) capability to defer incoming configuration requests until initialization is complete. When app_pf_req_retry_en is asserted for a certain PF the controller completes incoming configuration.." "0,1,2,3" newline bitfld.long 0x8 2.--3. "APP_RAS_DES_TBA_CTRL,Controls the start/end of time based analysis. You must only set the pins to the required value for the duration of one clock cycle. This signal must be 2'b00 while the TIMER_START field in TIME_BASED_ANALYSIS_CONTROL_REG register is.." "No action,Start,End,Reserved" newline bitfld.long 0x8 1. "APP_RAS_DES_SD_HOLD_LTSSM,Hold and release LTSSM. For as long as this signal is '1' thecontroller stays in the current LTSSM." "0,1" newline bitfld.long 0x8 0. "SYS_AUX_PWR_DET,Auxiliary Power Detected. Used to report to the host software that auxiliary power (Vaux) is present." "0,1" line.long 0xC "CTRL_2,CTRL_2" bitfld.long 0xC 30.--31. "SYS_PWR_FAULT_DET,Power Fault Detected. Indicates the power controller detected a power fault at this slot. There is a separate sys_pwr_fault_det input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0xC 28.--29. "SYS_MRL_SENSOR_STATE,MRL Sensor State. Indicates the state of the manually-operated retention latch (MRL) sensor: 0: MRL is closed 1: MRL is open There is a separate sys_mrl_sensor_state input bit for each function in your controller configuration." "MRL is closed,MRL is open,?,?" newline bitfld.long 0xC 26.--27. "SYS_PRE_DET_STATE,Presence Detect State. Indicates whether or not a card is present in the slot: 0: Slot is empty 1: Card is present in the slot" "Slot is empty,Card is present in the slot,?,?" newline bitfld.long 0xC 24.--25. "SYS_ATTEN_BUTTON_PRESSED,Attention Button Pressed. Indicates that the system attention button was pressed sets the Attention Button Pressed bit in the Slot Status Register." "0,1,2,3" newline bitfld.long 0xC 23. "TX_LANE_FLIP_EN,Performs manual lane reversal for transmit lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases tx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 22. "RX_LANE_FLIP_EN,Performs manual lane reversal for receive lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases rx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 21. "DBG_PBA,MSIX PBA RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the PBA. You can also use the MSIX_RAM_CTRL_DBG_PBA field in MSIX_RAM_CTRL_OFF to activate debug mode. Debug mode turns off the.." "0,1" newline bitfld.long 0xC 20. "DBG_TABLE,MSIX Table RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the Table." "0,1" newline bitfld.long 0xC 19. "APP_ERR_FUNC_NUM,The number of the function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Correctable and Uncorrected Internal errors (app_err_bus[10:9]) are not function specific and are recorded for all.." "0,1" newline bitfld.long 0xC 18. "APP_ERR_ADVISORY,Indicates that your application error is an advisory error. Your application should assert app_err_advisory under either of the following conditions: The controller is configured to mask completion timeout errors your application is.." "0,1" newline bitfld.long 0xC 17. "WAKEN_DO_OVRDVAL,WAKEN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 16. "WAKEN_DO_OVRDEN,WAKEN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 15. "WAKEN_OE_OVRDVAL,WAKEN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 14. "WAKEN_OE_OVRDEN,WAKEN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 13. "WAKEN_IN_OVRDVAL,WAKEN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 12. "WAKEN_IN_OVRDEN,WAKEN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 11. "PERSTN_DO_OVRDVAL,PERSTN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 10. "PERSTN_DO_OVRDEN,PERSTN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 9. "PERSTN_OE_OVRDVAL,PERSTN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 8. "PERSTN_OE_OVRDEN,PERSTN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 7. "PERSTN_IN_OVRDVAL,PERSTN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 6. "PERSTN_IN_OVRDEN,PERSTN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 5. "CLKREQN_DO_OVRDVAL,CLKREQN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 4. "CLKREQN_DO_OVRDEN,CLKREQN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 3. "CLKREQN_OE_OVRDVAL,CLKREQN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 2. "CLKREQN_OE_OVRDEN,CLKREQN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 1. "CLKREQN_IN_OVRDVAL,CLKREQN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 0. "CLKREQN_IN_OVRDEN,CLKREQN_IN_OVRDEN" "0,1" line.long 0x10 "CTRL_3,CTRL_3" bitfld.long 0x10 30.--31. "SYS_MRL_SENSOR_CHGED,MRL Sensor Changed. Indicates that the state of MRL sensor has changed. There is a separate sys_mrl_sensor_chged input bit for each function in your controller configuration." "0,1,2,3" newline hexmask.long.word 0x10 20.--29. 1. "VEN_MSG_LEN,The Length field for the vendor-defined Message TLP (indicates length of data payload in dwords).Should be set to 0x0." newline hexmask.long.byte 0x10 15.--19. 1. "VEN_MSG_TYPE,The Type field for the vendor-defined Message TLP." newline bitfld.long 0x10 12.--14. "VEN_MSG_VFUNC_NUM,Number of the virtual function accessing the VMI interface. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msg_vfunc_num=0 refers.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 9.--11. "VEN_MSG_TC,The Traffic Class field for the vendor-defined Message TLP." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 7.--8. "VEN_MSG_ATTR,The Attributes field for the vendor-defined Message TLP. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x10 5.--6. "VEN_MSG_FMT,The Format field for the vendor-defined Message TLP. Should be set to 0x1." "0,1,2,3" newline bitfld.long 0x10 4. "VEN_MSG_VFUNC_ACTIVE,Indicates that a VF is accessing the VMI. - 0: No VF is active and ven_msg_vfunc_num is invalid. A PF is valid and identified by ven_msg_func_num. - 1: A VF is active and is identified by ven_msg_vfunc_num." "No VF is active and ven_msg_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x10 3. "VEN_MSG_FUNC_NUM,Function Number for the vendor-defined Message TLP. Function numbering starts at '0'." "0,1" newline bitfld.long 0x10 2. "VEN_MSG_EP,The Poisoned TLP (EP) bit for the vendor-defined Message TLP." "0,1" newline bitfld.long 0x10 1. "VEN_MSG_TD,The TLP Digest (TD) bit for the vendor-defined Message TLP valid when ven_msg_req is asserted." "0,1" newline bitfld.long 0x10 0. "VEN_MSG_REQ,Request from your application to send a vendor-defined Message. Once asserted ven_msg_req must remain asserted until the controller asserts ven_msg_grant." "0,1" repeat 16. (list 0x4 0x5 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF 0x10 0x11 0x13 0x14 0x15 0x17 )(list 0x0 0x4 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x3C 0x40 0x44 0x4C ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end repeat 12. (list 0x19 0x1A 0x1B 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 0x25 )(list 0x54 0x58 0x5C 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C 0x80 0x84 ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end group.long 0x80++0x7 line.long 0x0 "CTRL_6,CTRL_6" bitfld.long 0x0 31. "MSI_FNSEL,MSI_FNSEL" "0,1" newline bitfld.long 0x0 30. "VEN_MSI_OVRDEN,VEN_MSI_OVRDEN" "0,1" newline bitfld.long 0x0 29. "VEN_MSI_REQ,Request from your application to send an MSI when MSI is enabled.When MSI-X is enabled instead of MSI assertion of ven_msi_req causes the controller to generate an MSI-X message. Once asserted ven_msi_req must remain asserted until the.." "0,1" newline hexmask.long.byte 0x0 24.--28. 1. "VEN_MSI_VECTOR,Used to modulate the lower five bits of the MSI Data register when multiple message mode is enabled." newline bitfld.long 0x0 21.--23. "VEN_MSI_TC,Traffic Class of the MSI request valid when ven_msi_req is asserted." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "VEN_MSI_VFUNC_ACTIVE,Indicates that the MSI request is coming from a VF. - 0: No VF is active and ven_msi_vfunc_num is invalid. A PF is valid and identified by ven_msi_func_num. - 1: A VF is active and is identified by ven_msi_vfunc_num." "No VF is active and ven_msi_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x0 17.--19. "VEN_MSI_VFUNC_NUM,Identifies the VF which is making the MSI request. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msi_vfunc_num=0 refers to the.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "VEN_MSI_FUNC_NUM,The function number of the MSI request. Function numbering starts at '0'." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "VEN_MSG_CODE,The Message Code for the vendor-defined Message TLP." newline hexmask.long.byte 0x0 0.--7. 1. "VEN_MSG_TAG,Tag for the vendor-defined Message TLP." line.long 0x4 "CTRL_7,CTRL_7" hexmask.long.byte 0x4 24.--31. 1. "SLV_AWMISC_INFO_P_TAG,AXI Slave Write Request Tag. Sets the TAG number for output posted requests. It is expected that your application normally sets this to '0' except when generating ATS invalidate requests." newline bitfld.long 0x4 23. "SLV_WMISC_INFO_EP,AXI Slave Write Data transaction related misc information. This is an optional signal that your application can use to poison write requests.When asserted the controller sets the Poisoned TLP (EP) bit in the TLP header of the current.." "0,1" newline bitfld.long 0x4 22. "PTM_AUTO_UPDATE_SIGNAL,Indicates that the controller should update the PTM Requester Context and Clock automatically every 10ms." "0,1" newline bitfld.long 0x4 21. "PTM_MANUAL_UPDATE_PULSE,Indicates that the controller should update the PTM Requester Context and Clock now." "0,1" newline bitfld.long 0x4 20. "PTM_EXTERNAL_MASTER_STROBE,PTM External Master Time Strobe." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "APP_VF_FRS_READY,Defers FRS messaging for a VF when set to '0'." newline bitfld.long 0x4 10.--11. "APP_PF_FRS_READY,Defers FRS messaging when set to '0'." "0,1,2,3" newline bitfld.long 0x4 9. "APP_DRS_READY,Defers DRS messaging when set to '0'." "0,1" newline bitfld.long 0x4 7.--8. "SYS_EML_INTERLOCK_ENGAGED,System Electromechanical Interlock Engaged. Indicates whether the system electromechanical interlock is engaged and controls the state of the Electromechanical Interlock Status bit in the Slot Status register." "0,1,2,3" newline bitfld.long 0x4 5.--6. "SYS_CMD_CPLED_INT,Command completed Interrupt. Indicates that the Hot-Plug controller completed a command. There is a separate sys_cmd_cpled_int input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 3.--4. "SYS_PRE_DET_CHGED,Presence Detect Changed. Indicates that the state of card present detector has changed. There is a separate sys_pre_det_chged input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 0.--2. "DIAG_CTRL_BUS,Diagnostic Control Bus - x01: Insert LCRC error by inverting the LSB of LCRC - x10: Insert ECRC error by inverting the LSB of ECRC The rising edge of these two signals ([1:0]) enable the controller to assert an LCRC or ECRC to the packet.." "?,Insert LCRC error by inverting the LSB of LCRC,?,?,?,?,?,?" group.long 0xB0++0x3 line.long 0x0 "CTRL_18,CTRL_18" bitfld.long 0x0 31. "SLV_USER_SEL,SLV_USER_SEL" "0,1" newline bitfld.long 0x0 29.--30. "MSTR_ARUSER_OVRDVAL_33_32,MSTR_ARUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 27.--28. "MSTR_AWUSER_OVRDVAL_33_32,MSTR_AWUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 26. "APP_LTR_MSG_FUNC_NUM,APP_LTR_MSG_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "APP_LTR_MSG_REQ,APP_LTR_MSG_REQ" "0,1" newline bitfld.long 0x0 24. "APP_PM_VF_XMT_PME_7,APP_PM_VF_XMT_PME_7" "0,1" newline bitfld.long 0x0 23. "APP_PM_VF_XMT_PME_6,APP_PM_VF_XMT_PME_6" "0,1" newline bitfld.long 0x0 22. "APP_PM_VF_XMT_PME_5,APP_PM_VF_XMT_PME_5" "0,1" newline bitfld.long 0x0 21. "APP_PM_VF_XMT_PME_4,APP_PM_VF_XMT_PME_4" "0,1" newline bitfld.long 0x0 20. "APP_PM_VF_XMT_PME_3,APP_PM_VF_XMT_PME_3" "0,1" newline bitfld.long 0x0 19. "APP_PM_VF_XMT_PME_2,APP_PM_VF_XMT_PME_2" "0,1" newline bitfld.long 0x0 18. "APP_PM_VF_XMT_PME_1,APP_PM_VF_XMT_PME_1" "0,1" newline bitfld.long 0x0 17. "APP_PM_VF_XMT_PME_0,APP_PM_VF_XMT_PME_0" "0,1" newline hexmask.long.word 0x0 4.--16. 1. "MSTR_RMISC_INFO,MSTR_RMISC_INFO" newline bitfld.long 0x0 2.--3. "MSTR_RMISC_INFO_CPL_STAT,MSTR_RMISC_INFO_CPL_STAT" "0,1,2,3" newline bitfld.long 0x0 0.--1. "MSTR_BMISC_INFO_CPL_STAT,MSTR_BMISC_INFO_CPL_STAT" "0,1,2,3" group.long 0xC0++0x3 line.long 0x0 "CTRL_22,CTRL_22" bitfld.long 0x0 31. "SLV_WMISC_INFO_SILENTDROP,SLV_WMISC_INFO_SILENTDROP" "0,1" newline bitfld.long 0x0 28.--30. "SLV_AWMISC_INFO_VFUNC_NUM,SLV_AWMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 27. "SLV_AWMISC_INFO_VFUNC_ACTIVE,SLV_AWMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 26. "SLV_AWMISC_INFO_FUNC_NUM,SLV_AWMISC_INFO_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "SLV_AWMISC_INFO_ATU_BYPASS,SLV_AWMISC_INFO_ATU_BYPASS" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_AWMISC_INFO,SLV_AWMISC_INFO" group.long 0xC8++0x3 line.long 0x0 "CTRL_24,CTRL_24" bitfld.long 0x0 30.--31. "MSTR_USER_SEL,MSTR_USER_SEL" "0,1,2,3" newline bitfld.long 0x0 27.--29. "SLV_ARMISC_INFO_VFUNC_NUM,SLV_ARMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "SLV_ARMISC_INFO_VFUNC_ACTIVE,SLV_ARMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 25. "SLV_ARMISC_INFO_FUNC_NUM,SLV_ARMISC_INFO_FUNC_NUM" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_ARMISC_INFO,SLV_ARMISC_INFO" group.long 0xD8++0x3 line.long 0x0 "CTRL_28,CTRL_28" hexmask.long.word 0x0 21.--31. 1. "RSVD0,RSVD0" newline hexmask.long.byte 0x0 13.--20. 1. "APP_BUS_NUM,APP_BUS_NUM" newline hexmask.long.byte 0x0 8.--12. 1. "APP_DEV_NUM,APP_DEV_NUM" newline bitfld.long 0x0 7. "APP_MSI_CTRL_EN,APP_MSI_CTRL_EN" "0,1" newline hexmask.long.byte 0x0 0.--6. 1. "STS_DEBUG_SEL,STS_DEBUG_SEL" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end rgroup.long 0x200++0x3 line.long 0x0 "STS_0,STS_0" bitfld.long 0x0 29.--31. "PM_L1SUB_STATE,Power management L1 sub-states FSM state" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "PM_MASTER_STATE,Power management master FSM state." newline bitfld.long 0x0 22.--23. "PM_PME_EN,PME Enable bit in the PMCSR. There is 1 bit of pm_pme_en for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 16.--21. 1. "PM_DSTATE,The current power management D-state of the function: - 000b: D0 - 001b: D1 - 010b: D2 - 011b: D3 - 100b: Uninitialized - Other values: Not applicable" newline bitfld.long 0x0 13.--15. "PM_CURNT_STATE,Indicates the current power state. The pm_curnt_state output is intended for debugging purposes not for system operation." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 12. "PM_L1_ENTRY_STARTED,L1 entry process is in progress." "0,1" newline bitfld.long 0x0 11. "PM_LINKST_IN_L1SUB,Power management is in L1 substate. Indicates when the link has entered L1 substates." "0,1" newline bitfld.long 0x0 10. "PM_LINKST_L2_EXIT,Power management is exiting L2 state. Not applicable for downstream port." "0,1" newline bitfld.long 0x0 9. "PM_LINKST_IN_L2,Power management is in L2 state." "0,1" newline bitfld.long 0x0 8. "PM_LINKST_IN_L1,Power management is in L1 state." "0,1" newline bitfld.long 0x0 7. "PM_LINKST_IN_L0S,Power management is in L0s state. Indicates in L0_STALL state when M-PCIe" "0,1" newline hexmask.long.byte 0x0 1.--6. 1. "SMLH_LTSSM_STATE,Current state of the LTSSM. Encoding is defined as follows: 6'h00: S_DETECT_QUIET 6'h01: S_DETECT_ACT 6'h02: S_POLL_ACTIVE 6'h03: S_POLL_COMPLIANCE 6'h04: S_POLL_CONFIG 6'h05: S_PRE_DETECT_QUIET 6'h06: S_DETECT_WAIT 6'h07:.." newline bitfld.long 0x0 0. "RADM_IDLE,RADM activity status signal. The controller creates the en_radm_clk_g output by gating this signal with the output of the RADM_CLK_GATING_EN field in the CLOCK_GATING_CTRL_OFF register. For debug purposes only." "0,1" group.long 0x204++0xB line.long 0x0 "STS_1,STS_1" bitfld.long 0x0 30.--31. "TRGT_TIMEOUT_CPL_ATTR,The Attributes value of the timed out completion. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x0 27.--29. "TRGT_TIMEOUT_CPL_TC,The TC of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "TRGT_TIMEOUT_CPL_VFUNC_ACTIVE,Indicates that the timeout is coming from a VF (Virtual function). - 0: No VF is active and trgt_timeout_cpl_vfunc_num is invalid. A PF is valid and identified by trgt_timeout_cpl_func_num - 1: A VF is active and is.." "No VF is active and trgt_timeout_cpl_vfunc_num..,A VF is active and is identified by.." newline bitfld.long 0x0 23.--25. "TRGT_TIMEOUT_CPL_VFUNC_NUM,Indicates which virtual function (VF) timed out. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example trgt_timeout_cpl_ vfunc_num=0.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 22. "TRGT_TIMEOUT_CPL_FUNC_NUM,TRGT_TIMEOUT_CPL_FUNC_NUM" "0,1" newline hexmask.long.byte 0x0 14.--21. 1. "CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline rbitfld.long 0x0 12.--13. "CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline rbitfld.long 0x0 10.--11. "CFG_EML_CONTROL,Electromechanical Interlock Control. The state of the Electromechanical Interlock Control bit in the Slot Control register." "0,1,2,3" newline rbitfld.long 0x0 9. "CFG_L1SUB_EN,Indicates that any of the L1 Substates are enabled in the L1 Substates Control 1 Register. Could be used by your application in a downstream port to determine when not to drive CLKREQ# such as when L1" "0,1" newline rbitfld.long 0x0 7.--8. "AUX_PM_EN,AUX_PM_EN" "0,1,2,3" newline rbitfld.long 0x0 5.--6. "PM_STATUS,PME Status bit from the PMCSR. There is 1 bit of pm_status for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 0.--4. 1. "PM_SLAVE_STATE,Power management slave FSM state." line.long 0x4 "STS_2,STS_2" bitfld.long 0x4 30.--31. "RADM_TIMEOUT_CPL_ATTR,The Attributes field of the timed out completion" "0,1,2,3" newline rbitfld.long 0x4 29. "RADM_QOVERFLOW,Pulse indicating that one or more of the P/NP/CPL receive queues have overflowed. There is a 1-bit indication for each configured virtual channel. You can connect this output to your internal error reporting mechanism." "?,bit indication for each configured virtual channel" newline rbitfld.long 0x4 28. "RADM_Q_NOT_EMPTY,Level indicating that the receive queues contain TLP header/data." "0,1" newline bitfld.long 0x4 27. "RADM_TIMEOUT_FUNC_NUM,The function Number of the timed out completion. Function numbering starts at '0'." "0,1" newline rbitfld.long 0x4 26. "RADM_XFER_PENDING,Receive request pending status. Indicates Receive TLP requests are pending that is requests sent to the RTRGT1 or RTRGT0 interfaces are awaiting a response from your application." "0,1" newline rbitfld.long 0x4 25. "EDMA_XFER_PENDING,eDMA transfer pending status. Indicates eDMA Write or Read Channel transfers are pending that is DMA Write or Read Channels have not finished transferring data." "0,1" newline rbitfld.long 0x4 24. "BRDG_DBI_XFER_PENDING,AXI Slave DBI transfer pending status. Indicates AXI DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline rbitfld.long 0x4 22.--23. "CFG_INT_DISABLE,When high a functions ability to generate INTx messages is Disabled" "0,1,2,3" newline rbitfld.long 0x4 20.--21. "CFG_CMD_CPLED_INT_EN,Slot Control Command Completed Interrupt Enable." "0,1,2,3" newline hexmask.long.byte 0x4 12.--19. 1. "TRGT_TIMEOUT_LOOKUP_ID,The target completion LUT lookup ID of the timed out completion" newline hexmask.long.word 0x4 0.--11. 1. "TRGT_TIMEOUT_CPL_LEN,The Length of the timed out completion." line.long 0x8 "STS_3,STS_3" rbitfld.long 0x8 30.--31. "CFG_PWR_CTRLER_CTRL,Controls the system power controller (from bit 10 of the Slot Control register) per function: - 0: Power On - 1: Power Off" "Power On,Power Off,?,?" newline rbitfld.long 0x8 28.--29. "CFG_END2END_TLP_PFX_BLCK,The value of the End-End TLP Prefix Blocking field in the Device Control 2 register." "0,1,2,3" newline hexmask.long.byte 0x8 23.--27. 1. "CFG_PBUS_DEV_NUM,The device number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are five bits of cfg_pbus_dev_num ([4:0]) regardless of the number of.." newline hexmask.long.word 0x8 11.--22. 1. "RADM_TIMEOUT_CPL_LEN,Length (in bytes) of the timed out completion. For a split completion it indicates the number of bytes remaining to be delivered when the completion timed out." newline hexmask.long.byte 0x8 3.--10. 1. "RADM_TIMEOUT_CPL_TAG,The Tag field of the timed out completion." newline bitfld.long 0x8 0.--2. "RADM_TIMEOUT_VFUNC_NUM,Indicates which virtual function (VF) had a completion timeout. The PCIe SR-IOV specification starts numbering VFs at '1'. To ease timing during synthesis the PCIe controller starts numbering VFs at '0' ." "0,1,2,3,4,5,6,7" rgroup.long 0x210++0xB line.long 0x0 "STS_4,STS_4" bitfld.long 0x0 31. "SMLH_LTSSM_STATE_RCVRY_EQ,This status signal is asserted during all Recovery Equalization states." "0,1" newline bitfld.long 0x0 29.--30. "CFG_DLL_STATE_CHGED_EN,Slot Control DLL State Change Enable" "0,1,2,3" newline bitfld.long 0x0 27.--28. "CFG_HP_SLOT_CTRL_ACCESS,Slot Control Accessed." "0,1,2,3" newline bitfld.long 0x0 25.--26. "CFG_RELAX_ORDER_EN,Contents of the 'Enable Relaxed Ordering' field(PCIE_CAP_EN_REL_ORDER) in the 'Device Control and Status' register (DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline bitfld.long 0x0 23.--24. "CFG_NO_SNOOP_EN,Contents of the 'Enable No Snoop' field (PCIE_CAP_EN_NO_SNOOP)in the 'Device Control and Status' register(DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline hexmask.long.byte 0x0 15.--22. 1. "PM_VF_STATUS,PME Status bit from the VF PMCSR. There is 1 bit of pm_status for each configured function. Each bit field corresponds to one of the NVF virtual functions." newline bitfld.long 0x0 13.--14. "CFG_VF_EN,Identifies those physical functions that have virtual functions enabled." "0,1,2,3" newline bitfld.long 0x0 11.--12. "CFG_ATTEN_BUTTON_PRESSED_EN,Slot Control Attention Button Pressed Enable." "0,1,2,3" newline bitfld.long 0x0 9.--10. "CFG_PWR_FAULT_DET_EN,Slot Control Power Fault Detect Enable." "0,1,2,3" newline bitfld.long 0x0 7.--8. "CFG_MRL_SENSOR_CHGED_EN,Slot Control MRL Sensor Changed Enable." "0,1,2,3" newline bitfld.long 0x0 5.--6. "CFG_PRE_DET_CHGED_EN,Slot Control Presence Detect Changed Enable." "0,1,2,3" newline bitfld.long 0x0 4. "PTM_REQ_RESPONSE_TIMEOUT,PTM Requester Response Timeout. Single-cycle pulse indicating 100us timeout occurred while waiting for a PTM Response or PTM ResponseD message." "0,1" newline bitfld.long 0x0 3. "PTM_TRIGGER_ALLOWED,Indicates that a PTM Requester manual update trigger is allowed." "0,1" newline bitfld.long 0x0 2. "PTM_UPDATING,Indicates that a PTM update is in progress." "0,1" newline bitfld.long 0x0 1. "PTM_RESPONDER_RDY_TO_VALIDATE,PTM Responder Ready to Validate." "0,1" newline bitfld.long 0x0 0. "PTM_CONTEXT_VALID,O Context Valid." "0,1" line.long 0x4 "STS_5,STS_5" bitfld.long 0x4 30.--31. "CFG_HP_INT_EN,Slot Control Hot Plug Interrupt Enable." "0,1,2,3" newline bitfld.long 0x4 28.--29. "CFG_CRS_SW_VIS_EN,Indicates the value of the CRS Software Visibility enable bit in the Root Control register. Applicable only for RC devices." "0,1,2,3" newline bitfld.long 0x4 26.--27. "CFG_PM_NO_SOFT_RST,This is the value of the No Soft Reset bit in the Power Management Control and Status Register." "0,1,2,3" newline hexmask.long.word 0x4 16.--25. 1. "FRSQ_INT_MSG_NUM,FRSQ Interrupt Message Number." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_PBUS_NUM,The primary bus number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are eight bits of cfg_pbus_num ([7:0]) regardless of the number of.." newline bitfld.long 0x4 6.--7. "CFG_RCB,The value of the RCB bit in the Link Control register. There is 1 bit of cfg_rcb assigned to each configured function." "0,1,2,3" newline hexmask.long.byte 0x4 0.--5. 1. "CFG_MAX_PAYLOAD_SIZE,The value of the Max_Payload_Size field in the Device Control register.There are 3 bits of cfg_max_payload_size assigned to each configured function." line.long 0x8 "STS_6,STS_6" newline bitfld.long 0x8 2. "BRDG_SLV_XFER_PENDING,AXI Slave non-DBI transfer pending status. Indicates AXI non-DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline bitfld.long 0x8 1. "SMLH_LINK_UP,PHY Link up/down indicator: - 1: Link is up - 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x8 0. "RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs this.." "Link is down,Link is up" group.long 0x21C++0x7 line.long 0x0 "STS_7,STS_7" bitfld.long 0x0 29.--31. "RADM_TIMEOUT_CPL_TC,The Traffic Class of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 28. "RADM_TIMEOUT_VFUNC_ACTIVE,Indicates that a virtual function (VF) had a completion timeout. - 0: No VF is active and radm_timeout_vfunc_num is invalid. A PF is valid and identified by radm_timeout_func_num. - 1: A VF is active and is identified by.." "No VF is active and radm_timeout_vfunc_num is..,A VF is active and is identified by.." newline hexmask.long.word 0x0 18.--27. 1. "CFG_PCIE_CAP_INT_MSG_NUM,From bits [13:9] of the PCI Express Capabilities register used when MSI or MSI-X is enabled. Assertion of hp_msi or cfg_pme_msi along with a value cfg_pcie_cap_int_msg_num is equivalent to the controller receiving an MSI with.." newline hexmask.long.word 0x0 8.--17. 1. "CFG_AER_INT_MSG_NUM,From bits [31:27] of the Root Error Status register used when MSI or MSI-X is enabled. Assertion of cfg_aer_rc_err_msi along with a value cfg_aer_int_msg_num is equivalent to the controller receiving an MSI with the.." newline hexmask.long.byte 0x0 0.--7. 1. "CFG_VF_BME,Bus master enable bit from the Control Register in the PCI header of each VF. Each bit field corresponds to one of the NVF virtual functions." line.long 0x4 "STS_8,STS_8" hexmask.long.word 0x4 16.--31. 1. "RADM_MSG_REQ_ID,The requester ID of the received Message. - [15:8]: Bus number - [7:3]: Device number - [2:0]: Function number" newline hexmask.long.word 0x4 0.--15. 1. "CFG_INT_PIN,The cfg_int_pin indicates the configured value for the Interrupt Pin Register field in the BRIDGE_CTRL_INT_PIN_INT_LINE register." repeat 8. (list 0x9 0xA 0xF 0x10 0x11 0x12 0x15 0x16 )(list 0x0 0x4 0x18 0x1C 0x20 0x24 0x30 0x34 ) group.long ($2+0x224)++0x3 line.long 0x0 "STS_$1,STS_9" hexmask.long 0x0 0.--31. 1. "RADM_MSG_PAYLOAD_31_0,Received message header information. When a vendor-defined or ltr messageis received (radm_vendor_msg=1 or radm_ltr_msg=1) the controller maps radm_msg_payload to the Rx TLP header dwords as follows: When RX_TLP =1 - [31:0] = bytes.." repeat.end repeat 16. (list 0xB 0xC 0xD 0xE 0x14 0x17 0x18 0x1C 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 )(list 0x0 0x4 0x8 0xC 0x24 0x30 0x34 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end repeat 3. (list 0x25 0x26 0x27 )(list 0x68 0x6C 0x70 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end group.long 0x24C++0x3 line.long 0x0 "STS_19,STS_19" hexmask.long.word 0x0 16.--31. 1. "MSTR_ARMISC_INFO_47_32,MSTR_ARMISC_INFO_47_32" newline hexmask.long.word 0x0 0.--15. 1. "MSTR_AWMISC_INFO_47_32,MSTR_AWMISC_INFO_47_32" rgroup.long 0x264++0xB line.long 0x0 "STS_25,STS_25" bitfld.long 0x0 30.--31. "CFG_MEM_SPACE_EN,CFG_MEM_SPACE_EN" "0,1,2,3" newline hexmask.long.byte 0x0 24.--29. 1. "CFG_MAX_RD_REQ_SIZE,CFG_MAX_RD_REQ_SIZE" newline bitfld.long 0x0 22.--23. "CFG_BUS_MASTER_EN,CFG_BUS_MASTER_EN" "0,1,2,3" newline bitfld.long 0x0 21. "CFG_DISABLE_LTR_CLR_MSG,CFG_DISABLE_LTR_CLR_MSG" "0,1" newline bitfld.long 0x0 20. "CFG_LTR_M_EN,CFG_LTR_M_EN" "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "CFG_ATTEN_IND,CFG_ATTEN_IND" newline hexmask.long.byte 0x0 12.--15. 1. "CFG_PWR_IND,CFG_PWR_IND" newline bitfld.long 0x0 10.--11. "CFG_PF_PASID_PRIV_MODE_EN,CFG_PF_PASID_PRIV_MODE_EN" "0,1,2,3" newline bitfld.long 0x0 8.--9. "CFG_PF_PASID_EXECUTE_PERM_EN,CFG_PF_PASID_EXECUTE_PERM_EN" "0,1,2,3" newline bitfld.long 0x0 6.--7. "CFG_PF_PASID_EN,CFG_PF_PASID_EN" "0,1,2,3" newline hexmask.long.byte 0x0 0.--5. 1. "CFG_START_VFI,CFG_START_VFI" line.long 0x4 "STS_26,STS_26" hexmask.long.word 0x4 16.--31. 1. "CFG_SUBBUS_NUM,CFG_SUBBUS_NUM" newline hexmask.long.word 0x4 0.--15. 1. "CFG_2NDBUS_NUM,CFG_2NDBUS_NUM" line.long 0x8 "STS_27,STS_27" hexmask.long.byte 0x8 24.--31. 1. "PM_VF_PME_EN,PM_VF_PME_EN" newline hexmask.long.tbyte 0x8 0.--23. 1. "PM_VF_DSTATE,PM_VF_DSTATE" tree.end endif tree.end tree "PCIE2 (PCI Express 2)" sif (CORENAME()=="CORTEXR5F") tree "PCIE2_PF0" base ad:0xF1100000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 1.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2A0)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" newline rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" newline bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" newline bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" newline bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" newline rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" newline bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" newline rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" newline rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" newline hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" newline bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" newline bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" newline bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" newline bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE2_ATU_DMA" base ad:0xF11C0000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 1.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2A0)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" newline rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" newline bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" newline bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" newline bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" newline rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" newline bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" newline rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" newline rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" newline hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" newline bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" newline bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" newline bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" newline bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE2_NCR" base ad:0xF11E0000 group.long 0x0++0x7 line.long 0x0 "INTR_0,INTR_0" bitfld.long 0x0 31. "INTR_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs." "0,1" newline bitfld.long 0x0 30. "INTR_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x0 29. "INTR_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x0 28. "INTR_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x0 27. "INTR_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x0 26. "INTR_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x0 25. "INTR_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x0 23.--24. "INTR_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x0 21.--22. "INTR_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X is.." "0,1,2,3" newline bitfld.long 0x0 19.--20. "INTR_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x0 17.--18. "INTR_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x0 15.--16. "INTR_HP_MSI,INTR_HP_MSI" "0,1,2,3" newline bitfld.long 0x0 14. "INTR_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x0 13. "INTR_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x0 11.--12. "INTR_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status" "0,1,2,3" newline bitfld.long 0x0 10. "INTR_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x0 9. "INTR_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context is.." "0,1" newline bitfld.long 0x0 8. "INTR_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following calculation.." "0,1" newline bitfld.long 0x0 7. "INTR_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x0 6. "INTR_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x0 5. "INTR_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x0 4. "INTR_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x0 3. "INTR_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x0 2. "INTR_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x0 1. "INTR_PERSTN,INTR_PERSTN This interrupt status bit indicates PERST# pad input fall edge detected." "0,1" newline bitfld.long 0x0 0. "INTR_WAKEN,INTR_WAKEN This interrupt status bit indicates WAKE# pad input fall edge detected." "0,1" line.long 0x4 "INTR_1,INTR_1" bitfld.long 0x4 31. "INTR_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x4 30. "INTR_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x4 26.--29. 1. "INTR_INT_D_A,INTR_INT_D_A These Interrupt status bit indicates wheter Legacy A~D transmitted or received by controller. bit 29 : INT_D .. bit26 : INT_A" newline bitfld.long 0x4 24.--25. "INTR_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 22.--23. "INTR_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 20.--21. "INTR_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 18.--19. "INTR_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x4 16.--17. "INTR_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x4 8.--15. 1. "INTR_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x4 0.--7. 1. "INTR_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x8)++0x3 line.long 0x0 "INTR_$1,INTR_2" hexmask.long 0x0 0.--31. 1. "INTR_MSI_PF0,INTR_MSI_PF0" repeat.end group.long 0x30++0xB line.long 0x0 "INTR_12,INTR_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTR_RADM_TRGT1_ATU_CBUF_ERR,INTR_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTR_RADM_TRGT1_ATU_SLOC_MATCH,INTR_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTR_RADM_CORRECTABLE_ERR,INTR_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTR_RADM_NONFATAL_ERR,INTR_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTR_RADM_FATAL_ERR,INTR_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTR_CFG_AER_RC_ERR_MSI,INTR_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTR_CFG_SYS_ERR_RC,INTR_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTR_MSTR_AWMISC_INFO_EP,INTR_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "INTEN_0,INTEN_0" bitfld.long 0x4 31. "INTEN_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs.This output is not used in RC mode." "0,1" newline bitfld.long 0x4 30. "INTEN_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x4 29. "INTEN_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x4 28. "INTEN_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x4 27. "INTEN_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x4 26. "INTEN_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x4 25. "INTEN_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x4 23.--24. "INTEN_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x4 21.--22. "INTEN_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X.." "0,1,2,3" newline bitfld.long 0x4 19.--20. "INTEN_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x4 17.--18. "INTEN_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x4 15.--16. "INTEN_HP_MSI,The controller asserts hp_msi (as a one-cycle pulse) when the logical AND of the following conditions transitions from false to true: MSI or MSI-X is enabled. Hot-Plug interrupts are enabled in the Slot Control register. Any bit in the Slot.." "0,1,2,3" newline bitfld.long 0x4 14. "INTEN_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x4 13. "INTEN_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x4 11.--12. "INTEN_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status register is set to 1. Any bit in the Slot Status register transitions from 0 to 1 and the associated.." "0,1,2,3" newline bitfld.long 0x4 10. "INTEN_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x4 9. "INTEN_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context.." "0,1" newline bitfld.long 0x4 8. "INTEN_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following.." "0,1" newline bitfld.long 0x4 7. "INTEN_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x4 6. "INTEN_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x4 5. "INTEN_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x4 4. "INTEN_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x4 3. "INTEN_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x4 2. "INTEN_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x4 1. "INTEN_PERSTN,INTEN_PERSTN Interrupt Enable bit for INTR_PERSTN." "0,1" newline bitfld.long 0x4 0. "INTEN_WAKEN,INTEN_WAKEN Interrupt Enable bit for INTR_WAKEN." "0,1" line.long 0x8 "INTEN_1,INTEN_1" bitfld.long 0x8 31. "INTEN_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x8 30. "INTEN_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x8 26.--29. 1. "INTEN_INT_D_A,INTEN_INT_D_A Interrupt Enable bit for INTR_D_A. Bit 29 : INT_D ... Bit26 : INT_A." newline bitfld.long 0x8 24.--25. "INTEN_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 22.--23. "INTEN_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 20.--21. "INTEN_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 18.--19. "INTEN_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x8 16.--17. "INTEN_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x8 8.--15. 1. "INTEN_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x8 0.--7. 1. "INTEN_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x3C)++0x3 line.long 0x0 "INTEN_$1,INTEN_2" hexmask.long 0x0 0.--31. 1. "INTEN_MSI_PF0,INTEN_MSI_PF0" repeat.end group.long 0x64++0x13 line.long 0x0 "INTEN_12,INTEN_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTEN_RADM_TRGT1_ATU_CBUF_ERR,INTEN_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTEN_RADM_TRGT1_ATU_SLOC_MATCH,INTEN_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTEN_RADM_CORRECTABLE_ERR,INTEN_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTEN_RADM_NONFATAL_ERR,INTEN_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTEN_RADM_FATAL_ERR,INTEN_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTEN_CFG_AER_RC_ERR_MSI,INTEN_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTEN_CFG_SYS_ERR_RC,INTEN_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTEN_MSTR_AWMISC_INFO_EP,INTEN_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "CTRL_0,CTRL_0" bitfld.long 0x4 31. "APP_FLR_VF_DONE_7,Indicates that FLR a virtual function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's transmit.." "0,1" newline bitfld.long 0x4 30. "APP_FLR_VF_DONE_6,Similar to above." "0,1" newline bitfld.long 0x4 29. "APP_FLR_VF_DONE_5,Similar to above." "0,1" newline bitfld.long 0x4 28. "APP_FLR_VF_DONE_4,Similar to above." "0,1" newline bitfld.long 0x4 27. "APP_FLR_VF_DONE_3,Similar to above." "0,1" newline bitfld.long 0x4 26. "APP_FLR_VF_DONE_2,Similar to above." "0,1" newline bitfld.long 0x4 25. "APP_FLR_VF_DONE_1,Similar to above." "0,1" newline bitfld.long 0x4 24. "APP_FLR_VF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 23. "APP_FLR_PF_DONE_1,Indicates that FLR a physical function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's.." "0,1" newline bitfld.long 0x4 22. "APP_FLR_PF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 21. "OUTBAND_PWRUP_CMD_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits.." "0,1" newline bitfld.long 0x4 20. "OUTBAND_PWRUP_CMD_0,Similar to above." "0,1" newline bitfld.long 0x4 19. "APP_REQ_EXIT_L1,Application request to Exit L1. Request from your application to exit L1.It is only effective when L1 is enabled." "0,1" newline bitfld.long 0x4 18. "APP_REQ_ENTR_L1,Application request to Enter L1 ASPM state. The app_req_entr_l1 signal is for use by applications that need to control L1 entry instead of using the L1 entry timer as defined in the PCI Express Specification. It is only effective when L1.." "0,1" newline bitfld.long 0x4 17. "APP_UNLOCK_MSG,Request from your application to generate an Unlock message. You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the previous.." "0,1" newline bitfld.long 0x4 16. "APP_PM_XMT_PME_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits a.." "0,1" newline bitfld.long 0x4 15. "APP_PM_XMT_PME_0,Similar to above." "0,1" newline bitfld.long 0x4 14. "APP_PM_XMT_TURNOFF,Request from your application to generate a PM_Turn_Off message.You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the.." "0,1" newline bitfld.long 0x4 13. "APP_INIT_RST,Request from your application to send a hot reset to the upstream port.The hot reset request is sent when a single cycle pulse is applied to this pin. In an upstream port you should set this input to '0'." "0,1" newline bitfld.long 0x4 12. "APP_HDR_VALID,One-clock-cycle pulse indicating that the data app_hdr_log app_err_bus app_err_func_num and app_tlp_prfx_log is valid." "0,1" newline bitfld.long 0x4 11. "APP_L1SUB_DISABLE,The application can set this input to 1'b1 to prevent entry to L1 Sub-states. This pin is used to gate the L1 sub-state enable bits from the L1 PM Substates Control 1 Register." "0,1" newline bitfld.long 0x4 10. "APP_CLK_PM_EN,Clock PM feature enabled by application. Used to inhibit the programming of the Clock PM in Link Control Register. For more details see 'L1 with Clock PM (L1 with REFCLK removal/PLL Off)'." "0,1" newline bitfld.long 0x4 9. "APP_XFER_PENDING,Indicates that your application has transfers pending and prevents the controller from entering L1. If the entry into L1 is already in progress assertion of app_xfer_pending causes an exit from L1. This is a level signal used to inform.." "0,1" newline bitfld.long 0x4 8. "APP_READY_ENTR_L23,Application Ready to Enter L23. Indication from your application that it is ready to enter the L23 state. The app_ready_entr_l23 signal is provided for applications that must control L23 entry (in case certain tasks must be performed.." "0,1" newline bitfld.long 0x4 7. "APP_CLK_REQ_N,Indicates that the application logic is ready to have reference clock removed. In designs which support reference clock removal through either L1 PM Sub-states or L1 CPM the application should set this signal to 1'b when it is ready to.." "0,1" newline bitfld.long 0x4 6. "APP_HOLD_PHY_RST,Set this signal to one before the de-assertion of power on reset to hold the PHY in reset. This can be used to configure your PHY. Synopsys PHYs can be configured through the PHY Viewport if desired. Please tie this port to zero if your.." "0,1" newline bitfld.long 0x4 5. "APP_SRIS_MODE,SRIS operating mode: 0b: non-SRIS mode 1b: SRIS mode" "0,1" newline bitfld.long 0x4 4. "APP_DBI_RO_WR_DISABLE,DBI Read-only Write Disable 0: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is read-write. 1: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is forced to 0 and is read-only." "MISC_CONTROL_1_OFF,MISC_CONTROL_1_OFF" newline bitfld.long 0x4 3. "DEVICE_TYPE,Device/port type. Indicates the specific type of this PCI Express function. It is also used to set the 'Device/Port Type' field of the 'PCI Express Capabilities Register'. The controller uses this input to determine the operating mode of the.." "PCI Express endpoint,Legacy PCI Express endpoint" newline bitfld.long 0x4 2. "APP_LTSSM_ENABLE,To do otherwise (that is de-assert it outside of the Detect LTSSM state) causes the controller to be reset and the LTSSM moves immediately back to the Detect state. This transition is outside of the PCIe Specification and it might cause.." "0,1" newline bitfld.long 0x4 0. "APP_ERR_VFUNC_ACTIVE,Indicates the function number in app_err_vfunc_num is valid." "0,1" line.long 0x8 "CTRL_1,CTRL_1" bitfld.long 0x8 29.--31. "APP_ERR_VFUNC_NUM,The number of the virtual function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Uncorrected Internal errors (app_err_bus[9]) are not recorded for virtual functions.The PCIe SR-IOV.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "SLV_ARMISC_INFO_ATU_BYPASS,AXI Slave Read Request Internal ATU Bypass. When set it indicates that this request should not be processed by the internal address translation unit" "0,1" newline hexmask.long.word 0x8 15.--27. 1. "APP_ERR_BUS,The type of error that your application detected. The controller combines the values the app_err_bus bits with the internally-detected error signals to set the corresponding bit in the Uncorrectable or Correctable Error Status Registers:.." newline bitfld.long 0x8 14. "APP_REQ_RETRY_EN,Provides a capability to defer incoming configuration requests until initialization is complete. When app_req_retry_en is asserted the controller completes incoming configuration requests with a configuration request retry status. Other.." "0,1" newline hexmask.long.byte 0x8 6.--13. 1. "APP_VF_REQ_RETRY_EN,Provides a per Virtual Function (VF) capability to defer incoming configuration requests until initialization is complete. When app_vf_req_retry_en is asserted for a certain VF the controller completes incoming configuration requests.." newline bitfld.long 0x8 4.--5. "APP_PF_REQ_RETRY_EN,Provides a per Physical Function (PF) capability to defer incoming configuration requests until initialization is complete. When app_pf_req_retry_en is asserted for a certain PF the controller completes incoming configuration.." "0,1,2,3" newline bitfld.long 0x8 2.--3. "APP_RAS_DES_TBA_CTRL,Controls the start/end of time based analysis. You must only set the pins to the required value for the duration of one clock cycle. This signal must be 2'b00 while the TIMER_START field in TIME_BASED_ANALYSIS_CONTROL_REG register is.." "No action,Start,End,Reserved" newline bitfld.long 0x8 1. "APP_RAS_DES_SD_HOLD_LTSSM,Hold and release LTSSM. For as long as this signal is '1' thecontroller stays in the current LTSSM." "0,1" newline bitfld.long 0x8 0. "SYS_AUX_PWR_DET,Auxiliary Power Detected. Used to report to the host software that auxiliary power (Vaux) is present." "0,1" line.long 0xC "CTRL_2,CTRL_2" bitfld.long 0xC 30.--31. "SYS_PWR_FAULT_DET,Power Fault Detected. Indicates the power controller detected a power fault at this slot. There is a separate sys_pwr_fault_det input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0xC 28.--29. "SYS_MRL_SENSOR_STATE,MRL Sensor State. Indicates the state of the manually-operated retention latch (MRL) sensor: 0: MRL is closed 1: MRL is open There is a separate sys_mrl_sensor_state input bit for each function in your controller configuration." "MRL is closed,MRL is open,?,?" newline bitfld.long 0xC 26.--27. "SYS_PRE_DET_STATE,Presence Detect State. Indicates whether or not a card is present in the slot: 0: Slot is empty 1: Card is present in the slot" "Slot is empty,Card is present in the slot,?,?" newline bitfld.long 0xC 24.--25. "SYS_ATTEN_BUTTON_PRESSED,Attention Button Pressed. Indicates that the system attention button was pressed sets the Attention Button Pressed bit in the Slot Status Register." "0,1,2,3" newline bitfld.long 0xC 23. "TX_LANE_FLIP_EN,Performs manual lane reversal for transmit lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases tx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 22. "RX_LANE_FLIP_EN,Performs manual lane reversal for receive lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases rx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 21. "DBG_PBA,MSIX PBA RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the PBA. You can also use the MSIX_RAM_CTRL_DBG_PBA field in MSIX_RAM_CTRL_OFF to activate debug mode. Debug mode turns off the.." "0,1" newline bitfld.long 0xC 20. "DBG_TABLE,MSIX Table RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the Table." "0,1" newline bitfld.long 0xC 19. "APP_ERR_FUNC_NUM,The number of the function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Correctable and Uncorrected Internal errors (app_err_bus[10:9]) are not function specific and are recorded for all.." "0,1" newline bitfld.long 0xC 18. "APP_ERR_ADVISORY,Indicates that your application error is an advisory error. Your application should assert app_err_advisory under either of the following conditions: The controller is configured to mask completion timeout errors your application is.." "0,1" newline bitfld.long 0xC 17. "WAKEN_DO_OVRDVAL,WAKEN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 16. "WAKEN_DO_OVRDEN,WAKEN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 15. "WAKEN_OE_OVRDVAL,WAKEN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 14. "WAKEN_OE_OVRDEN,WAKEN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 13. "WAKEN_IN_OVRDVAL,WAKEN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 12. "WAKEN_IN_OVRDEN,WAKEN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 11. "PERSTN_DO_OVRDVAL,PERSTN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 10. "PERSTN_DO_OVRDEN,PERSTN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 9. "PERSTN_OE_OVRDVAL,PERSTN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 8. "PERSTN_OE_OVRDEN,PERSTN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 7. "PERSTN_IN_OVRDVAL,PERSTN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 6. "PERSTN_IN_OVRDEN,PERSTN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 5. "CLKREQN_DO_OVRDVAL,CLKREQN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 4. "CLKREQN_DO_OVRDEN,CLKREQN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 3. "CLKREQN_OE_OVRDVAL,CLKREQN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 2. "CLKREQN_OE_OVRDEN,CLKREQN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 1. "CLKREQN_IN_OVRDVAL,CLKREQN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 0. "CLKREQN_IN_OVRDEN,CLKREQN_IN_OVRDEN" "0,1" line.long 0x10 "CTRL_3,CTRL_3" bitfld.long 0x10 30.--31. "SYS_MRL_SENSOR_CHGED,MRL Sensor Changed. Indicates that the state of MRL sensor has changed. There is a separate sys_mrl_sensor_chged input bit for each function in your controller configuration." "0,1,2,3" newline hexmask.long.word 0x10 20.--29. 1. "VEN_MSG_LEN,The Length field for the vendor-defined Message TLP (indicates length of data payload in dwords).Should be set to 0x0." newline hexmask.long.byte 0x10 15.--19. 1. "VEN_MSG_TYPE,The Type field for the vendor-defined Message TLP." newline bitfld.long 0x10 12.--14. "VEN_MSG_VFUNC_NUM,Number of the virtual function accessing the VMI interface. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msg_vfunc_num=0 refers.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 9.--11. "VEN_MSG_TC,The Traffic Class field for the vendor-defined Message TLP." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 7.--8. "VEN_MSG_ATTR,The Attributes field for the vendor-defined Message TLP. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x10 5.--6. "VEN_MSG_FMT,The Format field for the vendor-defined Message TLP. Should be set to 0x1." "0,1,2,3" newline bitfld.long 0x10 4. "VEN_MSG_VFUNC_ACTIVE,Indicates that a VF is accessing the VMI. - 0: No VF is active and ven_msg_vfunc_num is invalid. A PF is valid and identified by ven_msg_func_num. - 1: A VF is active and is identified by ven_msg_vfunc_num." "No VF is active and ven_msg_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x10 3. "VEN_MSG_FUNC_NUM,Function Number for the vendor-defined Message TLP. Function numbering starts at '0'." "0,1" newline bitfld.long 0x10 2. "VEN_MSG_EP,The Poisoned TLP (EP) bit for the vendor-defined Message TLP." "0,1" newline bitfld.long 0x10 1. "VEN_MSG_TD,The TLP Digest (TD) bit for the vendor-defined Message TLP valid when ven_msg_req is asserted." "0,1" newline bitfld.long 0x10 0. "VEN_MSG_REQ,Request from your application to send a vendor-defined Message. Once asserted ven_msg_req must remain asserted until the controller asserts ven_msg_grant." "0,1" repeat 16. (list 0x4 0x5 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF 0x10 0x11 0x13 0x14 0x15 0x17 )(list 0x0 0x4 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x3C 0x40 0x44 0x4C ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end repeat 12. (list 0x19 0x1A 0x1B 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 0x25 )(list 0x54 0x58 0x5C 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C 0x80 0x84 ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end group.long 0x80++0x7 line.long 0x0 "CTRL_6,CTRL_6" bitfld.long 0x0 31. "MSI_FNSEL,MSI_FNSEL" "0,1" newline bitfld.long 0x0 30. "VEN_MSI_OVRDEN,VEN_MSI_OVRDEN" "0,1" newline bitfld.long 0x0 29. "VEN_MSI_REQ,Request from your application to send an MSI when MSI is enabled.When MSI-X is enabled instead of MSI assertion of ven_msi_req causes the controller to generate an MSI-X message. Once asserted ven_msi_req must remain asserted until the.." "0,1" newline hexmask.long.byte 0x0 24.--28. 1. "VEN_MSI_VECTOR,Used to modulate the lower five bits of the MSI Data register when multiple message mode is enabled." newline bitfld.long 0x0 21.--23. "VEN_MSI_TC,Traffic Class of the MSI request valid when ven_msi_req is asserted." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "VEN_MSI_VFUNC_ACTIVE,Indicates that the MSI request is coming from a VF. - 0: No VF is active and ven_msi_vfunc_num is invalid. A PF is valid and identified by ven_msi_func_num. - 1: A VF is active and is identified by ven_msi_vfunc_num." "No VF is active and ven_msi_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x0 17.--19. "VEN_MSI_VFUNC_NUM,Identifies the VF which is making the MSI request. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msi_vfunc_num=0 refers to the.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "VEN_MSI_FUNC_NUM,The function number of the MSI request. Function numbering starts at '0'." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "VEN_MSG_CODE,The Message Code for the vendor-defined Message TLP." newline hexmask.long.byte 0x0 0.--7. 1. "VEN_MSG_TAG,Tag for the vendor-defined Message TLP." line.long 0x4 "CTRL_7,CTRL_7" hexmask.long.byte 0x4 24.--31. 1. "SLV_AWMISC_INFO_P_TAG,AXI Slave Write Request Tag. Sets the TAG number for output posted requests. It is expected that your application normally sets this to '0' except when generating ATS invalidate requests." newline bitfld.long 0x4 23. "SLV_WMISC_INFO_EP,AXI Slave Write Data transaction related misc information. This is an optional signal that your application can use to poison write requests.When asserted the controller sets the Poisoned TLP (EP) bit in the TLP header of the current.." "0,1" newline bitfld.long 0x4 22. "PTM_AUTO_UPDATE_SIGNAL,Indicates that the controller should update the PTM Requester Context and Clock automatically every 10ms." "0,1" newline bitfld.long 0x4 21. "PTM_MANUAL_UPDATE_PULSE,Indicates that the controller should update the PTM Requester Context and Clock now." "0,1" newline bitfld.long 0x4 20. "PTM_EXTERNAL_MASTER_STROBE,PTM External Master Time Strobe." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "APP_VF_FRS_READY,Defers FRS messaging for a VF when set to '0'." newline bitfld.long 0x4 10.--11. "APP_PF_FRS_READY,Defers FRS messaging when set to '0'." "0,1,2,3" newline bitfld.long 0x4 9. "APP_DRS_READY,Defers DRS messaging when set to '0'." "0,1" newline bitfld.long 0x4 7.--8. "SYS_EML_INTERLOCK_ENGAGED,System Electromechanical Interlock Engaged. Indicates whether the system electromechanical interlock is engaged and controls the state of the Electromechanical Interlock Status bit in the Slot Status register." "0,1,2,3" newline bitfld.long 0x4 5.--6. "SYS_CMD_CPLED_INT,Command completed Interrupt. Indicates that the Hot-Plug controller completed a command. There is a separate sys_cmd_cpled_int input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 3.--4. "SYS_PRE_DET_CHGED,Presence Detect Changed. Indicates that the state of card present detector has changed. There is a separate sys_pre_det_chged input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 0.--2. "DIAG_CTRL_BUS,Diagnostic Control Bus - x01: Insert LCRC error by inverting the LSB of LCRC - x10: Insert ECRC error by inverting the LSB of ECRC The rising edge of these two signals ([1:0]) enable the controller to assert an LCRC or ECRC to the packet.." "?,Insert LCRC error by inverting the LSB of LCRC,?,?,?,?,?,?" group.long 0xB0++0x3 line.long 0x0 "CTRL_18,CTRL_18" bitfld.long 0x0 31. "SLV_USER_SEL,SLV_USER_SEL" "0,1" newline bitfld.long 0x0 29.--30. "MSTR_ARUSER_OVRDVAL_33_32,MSTR_ARUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 27.--28. "MSTR_AWUSER_OVRDVAL_33_32,MSTR_AWUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 26. "APP_LTR_MSG_FUNC_NUM,APP_LTR_MSG_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "APP_LTR_MSG_REQ,APP_LTR_MSG_REQ" "0,1" newline bitfld.long 0x0 24. "APP_PM_VF_XMT_PME_7,APP_PM_VF_XMT_PME_7" "0,1" newline bitfld.long 0x0 23. "APP_PM_VF_XMT_PME_6,APP_PM_VF_XMT_PME_6" "0,1" newline bitfld.long 0x0 22. "APP_PM_VF_XMT_PME_5,APP_PM_VF_XMT_PME_5" "0,1" newline bitfld.long 0x0 21. "APP_PM_VF_XMT_PME_4,APP_PM_VF_XMT_PME_4" "0,1" newline bitfld.long 0x0 20. "APP_PM_VF_XMT_PME_3,APP_PM_VF_XMT_PME_3" "0,1" newline bitfld.long 0x0 19. "APP_PM_VF_XMT_PME_2,APP_PM_VF_XMT_PME_2" "0,1" newline bitfld.long 0x0 18. "APP_PM_VF_XMT_PME_1,APP_PM_VF_XMT_PME_1" "0,1" newline bitfld.long 0x0 17. "APP_PM_VF_XMT_PME_0,APP_PM_VF_XMT_PME_0" "0,1" newline hexmask.long.word 0x0 4.--16. 1. "MSTR_RMISC_INFO,MSTR_RMISC_INFO" newline bitfld.long 0x0 2.--3. "MSTR_RMISC_INFO_CPL_STAT,MSTR_RMISC_INFO_CPL_STAT" "0,1,2,3" newline bitfld.long 0x0 0.--1. "MSTR_BMISC_INFO_CPL_STAT,MSTR_BMISC_INFO_CPL_STAT" "0,1,2,3" group.long 0xC0++0x3 line.long 0x0 "CTRL_22,CTRL_22" bitfld.long 0x0 31. "SLV_WMISC_INFO_SILENTDROP,SLV_WMISC_INFO_SILENTDROP" "0,1" newline bitfld.long 0x0 28.--30. "SLV_AWMISC_INFO_VFUNC_NUM,SLV_AWMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 27. "SLV_AWMISC_INFO_VFUNC_ACTIVE,SLV_AWMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 26. "SLV_AWMISC_INFO_FUNC_NUM,SLV_AWMISC_INFO_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "SLV_AWMISC_INFO_ATU_BYPASS,SLV_AWMISC_INFO_ATU_BYPASS" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_AWMISC_INFO,SLV_AWMISC_INFO" group.long 0xC8++0x3 line.long 0x0 "CTRL_24,CTRL_24" bitfld.long 0x0 30.--31. "MSTR_USER_SEL,MSTR_USER_SEL" "0,1,2,3" newline bitfld.long 0x0 27.--29. "SLV_ARMISC_INFO_VFUNC_NUM,SLV_ARMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "SLV_ARMISC_INFO_VFUNC_ACTIVE,SLV_ARMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 25. "SLV_ARMISC_INFO_FUNC_NUM,SLV_ARMISC_INFO_FUNC_NUM" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_ARMISC_INFO,SLV_ARMISC_INFO" group.long 0xD8++0x3 line.long 0x0 "CTRL_28,CTRL_28" hexmask.long.word 0x0 21.--31. 1. "RSVD0,RSVD0" newline hexmask.long.byte 0x0 13.--20. 1. "APP_BUS_NUM,APP_BUS_NUM" newline hexmask.long.byte 0x0 8.--12. 1. "APP_DEV_NUM,APP_DEV_NUM" newline bitfld.long 0x0 7. "APP_MSI_CTRL_EN,APP_MSI_CTRL_EN" "0,1" newline hexmask.long.byte 0x0 0.--6. 1. "STS_DEBUG_SEL,STS_DEBUG_SEL" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end rgroup.long 0x200++0x3 line.long 0x0 "STS_0,STS_0" bitfld.long 0x0 29.--31. "PM_L1SUB_STATE,Power management L1 sub-states FSM state" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "PM_MASTER_STATE,Power management master FSM state." newline bitfld.long 0x0 22.--23. "PM_PME_EN,PME Enable bit in the PMCSR. There is 1 bit of pm_pme_en for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 16.--21. 1. "PM_DSTATE,The current power management D-state of the function: - 000b: D0 - 001b: D1 - 010b: D2 - 011b: D3 - 100b: Uninitialized - Other values: Not applicable" newline bitfld.long 0x0 13.--15. "PM_CURNT_STATE,Indicates the current power state. The pm_curnt_state output is intended for debugging purposes not for system operation." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 12. "PM_L1_ENTRY_STARTED,L1 entry process is in progress." "0,1" newline bitfld.long 0x0 11. "PM_LINKST_IN_L1SUB,Power management is in L1 substate. Indicates when the link has entered L1 substates." "0,1" newline bitfld.long 0x0 10. "PM_LINKST_L2_EXIT,Power management is exiting L2 state. Not applicable for downstream port." "0,1" newline bitfld.long 0x0 9. "PM_LINKST_IN_L2,Power management is in L2 state." "0,1" newline bitfld.long 0x0 8. "PM_LINKST_IN_L1,Power management is in L1 state." "0,1" newline bitfld.long 0x0 7. "PM_LINKST_IN_L0S,Power management is in L0s state. Indicates in L0_STALL state when M-PCIe" "0,1" newline hexmask.long.byte 0x0 1.--6. 1. "SMLH_LTSSM_STATE,Current state of the LTSSM. Encoding is defined as follows: 6'h00: S_DETECT_QUIET 6'h01: S_DETECT_ACT 6'h02: S_POLL_ACTIVE 6'h03: S_POLL_COMPLIANCE 6'h04: S_POLL_CONFIG 6'h05: S_PRE_DETECT_QUIET 6'h06: S_DETECT_WAIT 6'h07:.." newline bitfld.long 0x0 0. "RADM_IDLE,RADM activity status signal. The controller creates the en_radm_clk_g output by gating this signal with the output of the RADM_CLK_GATING_EN field in the CLOCK_GATING_CTRL_OFF register. For debug purposes only." "0,1" group.long 0x204++0xB line.long 0x0 "STS_1,STS_1" bitfld.long 0x0 30.--31. "TRGT_TIMEOUT_CPL_ATTR,The Attributes value of the timed out completion. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x0 27.--29. "TRGT_TIMEOUT_CPL_TC,The TC of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "TRGT_TIMEOUT_CPL_VFUNC_ACTIVE,Indicates that the timeout is coming from a VF (Virtual function). - 0: No VF is active and trgt_timeout_cpl_vfunc_num is invalid. A PF is valid and identified by trgt_timeout_cpl_func_num - 1: A VF is active and is.." "No VF is active and trgt_timeout_cpl_vfunc_num..,A VF is active and is identified by.." newline bitfld.long 0x0 23.--25. "TRGT_TIMEOUT_CPL_VFUNC_NUM,Indicates which virtual function (VF) timed out. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example trgt_timeout_cpl_ vfunc_num=0.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 22. "TRGT_TIMEOUT_CPL_FUNC_NUM,TRGT_TIMEOUT_CPL_FUNC_NUM" "0,1" newline hexmask.long.byte 0x0 14.--21. 1. "CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline rbitfld.long 0x0 12.--13. "CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline rbitfld.long 0x0 10.--11. "CFG_EML_CONTROL,Electromechanical Interlock Control. The state of the Electromechanical Interlock Control bit in the Slot Control register." "0,1,2,3" newline rbitfld.long 0x0 9. "CFG_L1SUB_EN,Indicates that any of the L1 Substates are enabled in the L1 Substates Control 1 Register. Could be used by your application in a downstream port to determine when not to drive CLKREQ# such as when L1" "0,1" newline rbitfld.long 0x0 7.--8. "AUX_PM_EN,AUX_PM_EN" "0,1,2,3" newline rbitfld.long 0x0 5.--6. "PM_STATUS,PME Status bit from the PMCSR. There is 1 bit of pm_status for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 0.--4. 1. "PM_SLAVE_STATE,Power management slave FSM state." line.long 0x4 "STS_2,STS_2" bitfld.long 0x4 30.--31. "RADM_TIMEOUT_CPL_ATTR,The Attributes field of the timed out completion" "0,1,2,3" newline rbitfld.long 0x4 29. "RADM_QOVERFLOW,Pulse indicating that one or more of the P/NP/CPL receive queues have overflowed. There is a 1-bit indication for each configured virtual channel. You can connect this output to your internal error reporting mechanism." "?,bit indication for each configured virtual channel" newline rbitfld.long 0x4 28. "RADM_Q_NOT_EMPTY,Level indicating that the receive queues contain TLP header/data." "0,1" newline bitfld.long 0x4 27. "RADM_TIMEOUT_FUNC_NUM,The function Number of the timed out completion. Function numbering starts at '0'." "0,1" newline rbitfld.long 0x4 26. "RADM_XFER_PENDING,Receive request pending status. Indicates Receive TLP requests are pending that is requests sent to the RTRGT1 or RTRGT0 interfaces are awaiting a response from your application." "0,1" newline rbitfld.long 0x4 25. "EDMA_XFER_PENDING,eDMA transfer pending status. Indicates eDMA Write or Read Channel transfers are pending that is DMA Write or Read Channels have not finished transferring data." "0,1" newline rbitfld.long 0x4 24. "BRDG_DBI_XFER_PENDING,AXI Slave DBI transfer pending status. Indicates AXI DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline rbitfld.long 0x4 22.--23. "CFG_INT_DISABLE,When high a functions ability to generate INTx messages is Disabled" "0,1,2,3" newline rbitfld.long 0x4 20.--21. "CFG_CMD_CPLED_INT_EN,Slot Control Command Completed Interrupt Enable." "0,1,2,3" newline hexmask.long.byte 0x4 12.--19. 1. "TRGT_TIMEOUT_LOOKUP_ID,The target completion LUT lookup ID of the timed out completion" newline hexmask.long.word 0x4 0.--11. 1. "TRGT_TIMEOUT_CPL_LEN,The Length of the timed out completion." line.long 0x8 "STS_3,STS_3" rbitfld.long 0x8 30.--31. "CFG_PWR_CTRLER_CTRL,Controls the system power controller (from bit 10 of the Slot Control register) per function: - 0: Power On - 1: Power Off" "Power On,Power Off,?,?" newline rbitfld.long 0x8 28.--29. "CFG_END2END_TLP_PFX_BLCK,The value of the End-End TLP Prefix Blocking field in the Device Control 2 register." "0,1,2,3" newline hexmask.long.byte 0x8 23.--27. 1. "CFG_PBUS_DEV_NUM,The device number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are five bits of cfg_pbus_dev_num ([4:0]) regardless of the number of.." newline hexmask.long.word 0x8 11.--22. 1. "RADM_TIMEOUT_CPL_LEN,Length (in bytes) of the timed out completion. For a split completion it indicates the number of bytes remaining to be delivered when the completion timed out." newline hexmask.long.byte 0x8 3.--10. 1. "RADM_TIMEOUT_CPL_TAG,The Tag field of the timed out completion." newline bitfld.long 0x8 0.--2. "RADM_TIMEOUT_VFUNC_NUM,Indicates which virtual function (VF) had a completion timeout. The PCIe SR-IOV specification starts numbering VFs at '1'. To ease timing during synthesis the PCIe controller starts numbering VFs at '0' ." "0,1,2,3,4,5,6,7" rgroup.long 0x210++0xB line.long 0x0 "STS_4,STS_4" bitfld.long 0x0 31. "SMLH_LTSSM_STATE_RCVRY_EQ,This status signal is asserted during all Recovery Equalization states." "0,1" newline bitfld.long 0x0 29.--30. "CFG_DLL_STATE_CHGED_EN,Slot Control DLL State Change Enable" "0,1,2,3" newline bitfld.long 0x0 27.--28. "CFG_HP_SLOT_CTRL_ACCESS,Slot Control Accessed." "0,1,2,3" newline bitfld.long 0x0 25.--26. "CFG_RELAX_ORDER_EN,Contents of the 'Enable Relaxed Ordering' field(PCIE_CAP_EN_REL_ORDER) in the 'Device Control and Status' register (DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline bitfld.long 0x0 23.--24. "CFG_NO_SNOOP_EN,Contents of the 'Enable No Snoop' field (PCIE_CAP_EN_NO_SNOOP)in the 'Device Control and Status' register(DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline hexmask.long.byte 0x0 15.--22. 1. "PM_VF_STATUS,PME Status bit from the VF PMCSR. There is 1 bit of pm_status for each configured function. Each bit field corresponds to one of the NVF virtual functions." newline bitfld.long 0x0 13.--14. "CFG_VF_EN,Identifies those physical functions that have virtual functions enabled." "0,1,2,3" newline bitfld.long 0x0 11.--12. "CFG_ATTEN_BUTTON_PRESSED_EN,Slot Control Attention Button Pressed Enable." "0,1,2,3" newline bitfld.long 0x0 9.--10. "CFG_PWR_FAULT_DET_EN,Slot Control Power Fault Detect Enable." "0,1,2,3" newline bitfld.long 0x0 7.--8. "CFG_MRL_SENSOR_CHGED_EN,Slot Control MRL Sensor Changed Enable." "0,1,2,3" newline bitfld.long 0x0 5.--6. "CFG_PRE_DET_CHGED_EN,Slot Control Presence Detect Changed Enable." "0,1,2,3" newline bitfld.long 0x0 4. "PTM_REQ_RESPONSE_TIMEOUT,PTM Requester Response Timeout. Single-cycle pulse indicating 100us timeout occurred while waiting for a PTM Response or PTM ResponseD message." "0,1" newline bitfld.long 0x0 3. "PTM_TRIGGER_ALLOWED,Indicates that a PTM Requester manual update trigger is allowed." "0,1" newline bitfld.long 0x0 2. "PTM_UPDATING,Indicates that a PTM update is in progress." "0,1" newline bitfld.long 0x0 1. "PTM_RESPONDER_RDY_TO_VALIDATE,PTM Responder Ready to Validate." "0,1" newline bitfld.long 0x0 0. "PTM_CONTEXT_VALID,O Context Valid." "0,1" line.long 0x4 "STS_5,STS_5" bitfld.long 0x4 30.--31. "CFG_HP_INT_EN,Slot Control Hot Plug Interrupt Enable." "0,1,2,3" newline bitfld.long 0x4 28.--29. "CFG_CRS_SW_VIS_EN,Indicates the value of the CRS Software Visibility enable bit in the Root Control register. Applicable only for RC devices." "0,1,2,3" newline bitfld.long 0x4 26.--27. "CFG_PM_NO_SOFT_RST,This is the value of the No Soft Reset bit in the Power Management Control and Status Register." "0,1,2,3" newline hexmask.long.word 0x4 16.--25. 1. "FRSQ_INT_MSG_NUM,FRSQ Interrupt Message Number." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_PBUS_NUM,The primary bus number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are eight bits of cfg_pbus_num ([7:0]) regardless of the number of.." newline bitfld.long 0x4 6.--7. "CFG_RCB,The value of the RCB bit in the Link Control register. There is 1 bit of cfg_rcb assigned to each configured function." "0,1,2,3" newline hexmask.long.byte 0x4 0.--5. 1. "CFG_MAX_PAYLOAD_SIZE,The value of the Max_Payload_Size field in the Device Control register.There are 3 bits of cfg_max_payload_size assigned to each configured function." line.long 0x8 "STS_6,STS_6" newline bitfld.long 0x8 2. "BRDG_SLV_XFER_PENDING,AXI Slave non-DBI transfer pending status. Indicates AXI non-DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline bitfld.long 0x8 1. "SMLH_LINK_UP,PHY Link up/down indicator: - 1: Link is up - 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x8 0. "RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs this.." "Link is down,Link is up" group.long 0x21C++0x7 line.long 0x0 "STS_7,STS_7" bitfld.long 0x0 29.--31. "RADM_TIMEOUT_CPL_TC,The Traffic Class of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 28. "RADM_TIMEOUT_VFUNC_ACTIVE,Indicates that a virtual function (VF) had a completion timeout. - 0: No VF is active and radm_timeout_vfunc_num is invalid. A PF is valid and identified by radm_timeout_func_num. - 1: A VF is active and is identified by.." "No VF is active and radm_timeout_vfunc_num is..,A VF is active and is identified by.." newline hexmask.long.word 0x0 18.--27. 1. "CFG_PCIE_CAP_INT_MSG_NUM,From bits [13:9] of the PCI Express Capabilities register used when MSI or MSI-X is enabled. Assertion of hp_msi or cfg_pme_msi along with a value cfg_pcie_cap_int_msg_num is equivalent to the controller receiving an MSI with.." newline hexmask.long.word 0x0 8.--17. 1. "CFG_AER_INT_MSG_NUM,From bits [31:27] of the Root Error Status register used when MSI or MSI-X is enabled. Assertion of cfg_aer_rc_err_msi along with a value cfg_aer_int_msg_num is equivalent to the controller receiving an MSI with the.." newline hexmask.long.byte 0x0 0.--7. 1. "CFG_VF_BME,Bus master enable bit from the Control Register in the PCI header of each VF. Each bit field corresponds to one of the NVF virtual functions." line.long 0x4 "STS_8,STS_8" hexmask.long.word 0x4 16.--31. 1. "RADM_MSG_REQ_ID,The requester ID of the received Message. - [15:8]: Bus number - [7:3]: Device number - [2:0]: Function number" newline hexmask.long.word 0x4 0.--15. 1. "CFG_INT_PIN,The cfg_int_pin indicates the configured value for the Interrupt Pin Register field in the BRIDGE_CTRL_INT_PIN_INT_LINE register." repeat 8. (list 0x9 0xA 0xF 0x10 0x11 0x12 0x15 0x16 )(list 0x0 0x4 0x18 0x1C 0x20 0x24 0x30 0x34 ) group.long ($2+0x224)++0x3 line.long 0x0 "STS_$1,STS_9" hexmask.long 0x0 0.--31. 1. "RADM_MSG_PAYLOAD_31_0,Received message header information. When a vendor-defined or ltr messageis received (radm_vendor_msg=1 or radm_ltr_msg=1) the controller maps radm_msg_payload to the Rx TLP header dwords as follows: When RX_TLP =1 - [31:0] = bytes.." repeat.end repeat 16. (list 0xB 0xC 0xD 0xE 0x14 0x17 0x18 0x1C 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 )(list 0x0 0x4 0x8 0xC 0x24 0x30 0x34 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end repeat 3. (list 0x25 0x26 0x27 )(list 0x68 0x6C 0x70 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end group.long 0x24C++0x3 line.long 0x0 "STS_19,STS_19" hexmask.long.word 0x0 16.--31. 1. "MSTR_ARMISC_INFO_47_32,MSTR_ARMISC_INFO_47_32" newline hexmask.long.word 0x0 0.--15. 1. "MSTR_AWMISC_INFO_47_32,MSTR_AWMISC_INFO_47_32" rgroup.long 0x264++0xB line.long 0x0 "STS_25,STS_25" bitfld.long 0x0 30.--31. "CFG_MEM_SPACE_EN,CFG_MEM_SPACE_EN" "0,1,2,3" newline hexmask.long.byte 0x0 24.--29. 1. "CFG_MAX_RD_REQ_SIZE,CFG_MAX_RD_REQ_SIZE" newline bitfld.long 0x0 22.--23. "CFG_BUS_MASTER_EN,CFG_BUS_MASTER_EN" "0,1,2,3" newline bitfld.long 0x0 21. "CFG_DISABLE_LTR_CLR_MSG,CFG_DISABLE_LTR_CLR_MSG" "0,1" newline bitfld.long 0x0 20. "CFG_LTR_M_EN,CFG_LTR_M_EN" "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "CFG_ATTEN_IND,CFG_ATTEN_IND" newline hexmask.long.byte 0x0 12.--15. 1. "CFG_PWR_IND,CFG_PWR_IND" newline bitfld.long 0x0 10.--11. "CFG_PF_PASID_PRIV_MODE_EN,CFG_PF_PASID_PRIV_MODE_EN" "0,1,2,3" newline bitfld.long 0x0 8.--9. "CFG_PF_PASID_EXECUTE_PERM_EN,CFG_PF_PASID_EXECUTE_PERM_EN" "0,1,2,3" newline bitfld.long 0x0 6.--7. "CFG_PF_PASID_EN,CFG_PF_PASID_EN" "0,1,2,3" newline hexmask.long.byte 0x0 0.--5. 1. "CFG_START_VFI,CFG_START_VFI" line.long 0x4 "STS_26,STS_26" hexmask.long.word 0x4 16.--31. 1. "CFG_SUBBUS_NUM,CFG_SUBBUS_NUM" newline hexmask.long.word 0x4 0.--15. 1. "CFG_2NDBUS_NUM,CFG_2NDBUS_NUM" line.long 0x8 "STS_27,STS_27" hexmask.long.byte 0x8 24.--31. 1. "PM_VF_PME_EN,PM_VF_PME_EN" newline hexmask.long.tbyte 0x8 0.--23. 1. "PM_VF_DSTATE,PM_VF_DSTATE" tree.end elif (CORENAME()=="CORTEXA55") tree "PCIE2_PF0" base ad:0x31100000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 1.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2A0)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" newline rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" newline bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" newline bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" newline bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" newline rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" newline bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" newline rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" newline rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" newline hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" newline bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" newline bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" newline bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" newline bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE2_ATU_DMA" base ad:0x311C0000 tree "PF0_TYPE1_HDR (PCI-Compatible Configuration Space Header Type1)" group.long 0x0++0x2F line.long 0x0 "TYPE1_DEV_ID_VEND_ID_REG,Device ID and Vendor ID Register." hexmask.long.word 0x0 16.--31. 1. "DEVICE_ID,Device ID. The Device ID register identifies the particular Function. This identifier is allocated by the vendor. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "VENDOR_ID,Vendor ID. The Vendor ID register identifies the manufacturer of the Function. Valid vendor identifiers are allocated by the PCI-SIG to ensure uniqueness. It is not permitted to populate this register with a value of FFFFh which is an invalid.." line.long 0x4 "TYPE1_STATUS_COMMAND_REG,Status and Command Register." bitfld.long 0x4 31. "DETECTED_PARITY_ERROR,Detected Parity Error. This bit is set by a Function whenever it receives a Poisoned TLP regardless of the state the Parity Error Response bit in the Command register. The bit is set when the Poisoned TLP is received by a.." "0,1" newline bitfld.long 0x4 30. "SIGNALED_SYS_ERROR,Signaled System Error. This bit is set when a Function sends an ERR_FATAL or ERR_NONFATAL Message and the SERR# Enable bit in the Command register is 1b." "0,1" newline bitfld.long 0x4 29. "RCVD_MASTER_ABORT,Received Master Abort. This bit is set when a Requester receives a Completion with Unsupported Request Completion status. The bit is set when the Unsupported Request is received by a Function's primary side." "0,1" newline bitfld.long 0x4 28. "RCVD_TARGET_ABORT,Received Target Abort. This bit is set when a Requester receives a Completion with Completer Abort Completion status. The bit is set when the Completer Abort is received by a Function's primary side." "0,1" newline bitfld.long 0x4 27. "SIGNALED_TARGET_ABORT,Signaled Target Abort. This bit is set when a Function completes a Posted or Non-Posted Request as a Completer Abort error. This applies to a Function when the Completer Abort was generated by its primary side." "0,1" newline rbitfld.long 0x4 25.--26. "DEV_SEL_TIMING,DEVSEL Timing. This field was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires it to 00b." "0,1,2,3" newline bitfld.long 0x4 24. "MASTER_DPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response bit in the Command register is 1b and either of the following two conditions occurs: - Port receives a Poisoned Completion going downstream - Port transmits a.." "0,1" newline rbitfld.long 0x4 23. "FAST_B2B_CAP,Fast Back-to-Back Transactions Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 22. "RSVDP_22,Reserved for future use." "0,1" newline rbitfld.long 0x4 21. "FAST_66MHZ_CAP,66 MHz Capable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 20. "CAP_LIST,Capabilities List. Indicates the presence of an Extended Capability list item. Since all PCI Express device Functions are required to implement the PCI Express Capability structure the controller hardwires this bit to 1b." "0,1" newline rbitfld.long 0x4 19. "INT_STATUS,Interrupt Status. When set indicates that an INTx emulation interrupt is pending internally in the Function. INTx emulation interrupts forwarded by Functions from the secondary side are not reflected in this bit. Setting the Interrupt Disable.." "0,1" newline rbitfld.long 0x4 17.--18. "RSVDP_17,Reserved for future use." "0,1,2,3" newline bitfld.long 0x4 16. "IMM_READINESS,Immediate Readiness. This optional bit when set indicates the Function is guaranteed to be ready to successfully complete valid configuration accesses at any time following any reset that the host is capable of issuing Configuration.." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RESERV,Reserved." newline bitfld.long 0x4 10. "INT_EN,Interrupt Disable. Controls the ability of a Function to generate INTx emulation interrupts. When set Functions are prevented from asserting INTx interrupts. Note: - Any INTx emulation interrupts already asserted by the Function must be.." "0,1" newline rbitfld.long 0x4 9. "RSVDP_9,Reserved for future use." "0,1" newline bitfld.long 0x4 8. "SERREN,SERR# Enable. When set this bit enables reporting upstream of Non-fatal and Fatal errors detected by the Function. Note: The errors are reported if enabled either through this bit or through the PCI Express specific bits in the Device Control.." "0,1" newline rbitfld.long 0x4 7. "IDSEL,IDSEL Stepping/Wait Cycle Control. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 6. "PERREN,Parity Error Response. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Status register. For more details see the 'Error Registers' section of the PCI Express Base Specification." "0,1" newline rbitfld.long 0x4 5. "VGAPS,VGA Palette Snoop. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline rbitfld.long 0x4 4. "MWI_EN,Memory Write and Invalidate. This bit was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. For.." "0,1" newline rbitfld.long 0x4 3. "SCO,Special Cycle Enable. This bit was originally described in the PCI Local Bus Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b." "0,1" newline bitfld.long 0x4 2. "BME,Bus Master Enable. This bit controls forwarding of Memory or I/O requests by a port in the Upstream direction. When this bit is 0b Memory and I/O Requests received at a Root Port must be handled as Unsupported Requests (UR) For Non-Posted Requests a.." "0,1" newline bitfld.long 0x4 1. "MSE,Memory Space Enable. This bit controls a Function's response to Memory Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process Memory Space accesses. - When clear all received Memory.." "0,1" newline bitfld.long 0x4 0. "IO_EN,IO Space Enable. This bit controls a Function's response to I/O Space accesses received on its primary side. - When set the Function is enabled to decode the address and further process I/O Space accesses. - When clear all received I/O accesses.." "0,1" line.long 0x8 "TYPE1_CLASS_CODE_REV_ID_REG,Class Code and Revision ID Register." hexmask.long.byte 0x8 24.--31. 1. "BASE_CLASS_CODE,Base Class Code. A code that broadly classifies the type of operation the Function performs. Encodings for base class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are reserved. Note: The access.." newline hexmask.long.byte 0x8 16.--23. 1. "SUBCLASS_CODE,Sub-Class Code. Specifies a base class sub-class which identifies more specifically the operation of the Function. Encodings for sub-class are provided in the PCI Code and ID Assignment Specification. All unspecified encodings are.." newline hexmask.long.byte 0x8 8.--15. 1. "PROGRAM_INTERFACE,Programming Interface. This field identifies a specific register level programming interface (if any) so that device independent software can interact with the Function. Encodings for interface are provided in the PCI Code and ID.." newline hexmask.long.byte 0x8 0.--7. 1. "REVISION_ID,Revision ID. The value of this field specifies a Function specific revision identifier. The value is chosen by the vendor. Zero is an acceptable value. The Revision ID should be viewed as a vendor defined extension to the Device ID. Note: The.." line.long 0xC "TYPE1_BIST_HDR_TYPE_LAT_CACHE_LINE_SIZE_REG,BIST. Header Type. Latency Timer. and Cache Line Size Register." hexmask.long.byte 0xC 24.--31. 1. "BIST,BIST. This register is used for control and status of BIST. Functions that do not support BIST must hardwire the register to 00h. A Function whose BIST is invoked must not prevent normal operation of the PCI Express Link. Bit descriptions: - [31]:.." newline rbitfld.long 0xC 23. "MULTI_FUNC,Multi-Function Device. - When set indicates that the device may contain multiple Functions but not necessarily. Software is permitted to probe for Functions other than Function 0. - When clear software must not probe for Functions other.." "0,1" newline hexmask.long.byte 0xC 16.--22. 1. "HEADER_TYPE,Header Layout. This field identifies the layout of the second part of the predefined header. The controller uses 000 0001b encoding. The encoding 000 0010b is reserved. This encoding was originally described in the PC Card Standard Electrical.." newline hexmask.long.byte 0xC 8.--15. 1. "LATENCY_MASTER_TIMER,Latency Timer. This register is also referred to as Primary Latency Timer. The Latency Timer was originally described in the PCI Local Bus Specification and the PCI-to-PCI Bridge Architecture Specification. Its functionality does not.." newline hexmask.long.byte 0xC 0.--7. 1. "CACHE_LINE_SIZE,Cache Line Size. The Cache Line Size register is programmed by the system firmware or the operating system to system cache line size. However legacy conventional PCI software may not always be able to program this register correctly.." line.long 0x10 "BAR0_REG,BAR0 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x10 4.--31. 1. "BAR0_START,BAR0 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x10 3. "BAR0_PREFETCH,BAR0 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x10 1.--2. "BAR0_TYPE,BAR0 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x10 0. "BAR0_MEM_IO,BAR0 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x14 "BAR1_REG,BAR1 Register. System software must build a consistent address map before booting the machine to an operating system. This means it has to determine how much memory is in the system. and how much address space the Functions in the system.." hexmask.long 0x14 4.--31. 1. "BAR1_START,BAR1 Base Address. - Memory Space: Base Address. - IO Space: bits[31:2] are used to map the function into IO space/Base. Address. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R(Sticky)/W(Sticky) if.." newline bitfld.long 0x14 3. "BAR1_PREFETCH,BAR1 Prefetchable. - Memory Space: Set to one if data is pre-fetchable. A Function is permitted to mark a range as prefetchable. If there are no side effects on reads the function returns all bytes on reads regardless of the byte enables.." "0,1" newline bitfld.long 0x14 1.--2. "BAR1_TYPE,BAR1 Type. - Memory Space: Base Address registers that map into Memory Space can be 32 bits or 64 bits wide (to support mapping into a 64-bit address space). The bit encoding is as follows: -- 00: Base register is 32 bits wide and can be mapped.." "Base register is 32 bits wide and can be mapped..,Reserved,?,?" newline bitfld.long 0x14 0. "BAR1_MEM_IO,BAR1 Memory Space Indicator. This bit is used to determine whether the register maps into Memory or I/O Space. Base Address registers that map to Memory Space must return a 0b. Base Address registers that map to I/O Space must return a 1b." "0,1" line.long 0x18 "SEC_LAT_TIMER_SUB_BUS_SEC_BUS_PRI_BUS_REG,Secondary Latency Timer. Subordinate Bus Number. Secondary Bus Number. and Primary Bus Number Register." hexmask.long.byte 0x18 24.--31. 1. "SEC_LAT_TIMER,Secondary Latency Timer. This register does not apply to PCI Express. The controller hardwires it to 00h." newline hexmask.long.byte 0x18 16.--23. 1. "SUB_BUS,Subordinate Bus Number. The Subordinate Bus Number register is used to record the bus number of the highest numbered PCI bus segment which is behind (or subordinate to) the bridge. Configuration software programs the value in this register. The.." newline hexmask.long.byte 0x18 8.--15. 1. "SEC_BUS,Secondary Bus Number. The Secondary Bus Number register is used to record the bus number of the PCI bus segment to which the secondary interface of the bridge is connected. Configuration software programs the value in this register. The bridge.." newline hexmask.long.byte 0x18 0.--7. 1. "PRIM_BUS,Primary Bus Number. This register is not used by PCI Express Functions. It is implemented for compatibility with legacy software." line.long 0x1C "SEC_STAT_IO_LIMIT_IO_BASE_REG,Secondary Status. and I/O Limit and Base Register. The I/O Limit and I/O Base registers are optional and define an address range that is used by the bridge to determine when to forward I/O transactions from one interface to.." bitfld.long 0x1C 31. "SEC_STAT_DPE,Detected Parity Error. This bit is set by a Function when a Poisoned TLP is received by its secondary side regardless of the state the Parity Error Response Enable bit in the Bridge Control register." "0,1" newline bitfld.long 0x1C 30. "SEC_STAT_RCVD_SYS_ERR,Received System Error. This bit is set when the secondary side of a Function receives an ERR_FATAL or ERR_NONFATAL message." "0,1" newline bitfld.long 0x1C 29. "SEC_STAT_RCVD_MSTR_ABRT,Received Master Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Unsupported Request Completion status." "0,1" newline bitfld.long 0x1C 28. "SEC_STAT_RCVD_TRGT_ABRT,Received Target Abort. This bit is set when the secondary side of a Function (for requests initiated by the Type 1 header Function itself) receives a Completion with Completer Abort Completion status." "0,1" newline bitfld.long 0x1C 27. "SEC_STAT_SIG_TRGT_ABRT,Signaled Target Abort. This bit is set when the secondary side of the Function (for Requests completed by the Type 1 header Function itself) completes a Posted or Non-Posted request as a Completer Abort error." "0,1" newline rbitfld.long 0x1C 25.--26. "RSVDP_25,Reserved for future use." "0,1,2,3" newline bitfld.long 0x1C 24. "SEC_STAT_MDPE,Master Data Parity Error. This bit is set by a Function if the Parity Error Response Enable bit in the Bridge Control register is set and either of the following two conditions occurs: - Port receives a Poisoned Completion coming Upstream.." "0,1" newline rbitfld.long 0x1C 23. "RSVDP_23,Reserved for future use." "0,1" newline hexmask.long.byte 0x1C 16.--22. 1. "SEC_STAT_RESERV,Reserved." newline hexmask.long.byte 0x1C 12.--15. 1. "IO_LIMIT,I/O Limit Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O limit address (not implemented in the I/O Limit.." newline rbitfld.long 0x1C 9.--11. "IO_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 8. "IO_DECODE_BIT8,I/O Addressing Encode (IO Limit Address). This bit encodes the IO addressing capability of the bridge. IO_DECODE_BIT8 indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of.." "0,1" newline hexmask.long.byte 0x1C 4.--7. 1. "IO_BASE,I/O Base Address. These bits correspond to the address[15:12] of IO address range. For the purpose of address decoding the bridge assumes that the lower 12 address bits address[11:0] of the I/O base address (not implemented in the I/O Base.." newline rbitfld.long 0x1C 1.--3. "IO_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x1C 0. "IO_DECODE,I/O Addressing Encode (IO Base Address) This bit encodes the IO addressing capability of the bridge. IO_DECODE indicates the following: - 0h: The bridge supports only 16-bit I/O addressing (for ISA compatibility). For the purpose of address.." "0,1" line.long 0x20 "MEM_LIMIT_MEM_BASE_REG,Memory Limit and Base Register. The Memory Limit and Memory Base registers define a memory mapped address range which is used by the bridge to determine when to forward memory transactions from one interface to the other. If there.." hexmask.long.word 0x20 20.--31. 1. "MEM_LIMIT,Memory Limit Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory limit address.." newline hexmask.long.byte 0x20 16.--19. 1. "MEM_LIMIT_RESERV,Reserved." newline hexmask.long.word 0x20 4.--15. 1. "MEM_BASE,Memory Base Address. These bits correspond to the upper 12 address bits Address[31:20] of 32-bit addresses. For the purpose of address decoding the bridge assumes that the lower 20 address bits Address[19:0] of the memory base address (not.." newline hexmask.long.byte 0x20 0.--3. 1. "MEM_BASE_RESERV,Reserved." line.long 0x24 "PREF_MEM_LIMIT_PREF_MEM_BASE_REG,Prefetchable Memory Limit and Base Register. The Prefetchable Memory Limit and Prefetchable Memory Base registers must indicate that 64-bit addresses are supported. as defined in PCI-to-PCI Bridge Architecture.." hexmask.long.word 0x24 20.--31. 1. "PREF_MEM_LIMIT,Prefetchable Memory Limit Address. If the Prefetchable Memory Limit register indicates support for 32-bit addressing then the Prefetchable Limit Upper 32 Bits register is implemented as a read-only register that returns zero when read. If.." newline rbitfld.long 0x24 17.--19. "PREF_RESERV1,Reserved." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x24 16. "PREF_MEM_LIMIT_DECODE,Prefetchable Memory Limit Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: This bit is a copy of the PREF_MEM_DECODE bit and always reflects the current value of that bit. The value of.." "0,1" newline hexmask.long.word 0x24 4.--15. 1. "PREF_MEM_BASE,Prefetchable Memory Base Address. If the Prefetchable Memory Base register indicates support for 32-bit addressing then the Prefetchable Base Upper 32 Bits register is implemented as a read-only register that returns zero when read. If the.." newline rbitfld.long 0x24 1.--3. "PREF_RESERV,Reserved." "0,1,2,3,4,5,6,7" newline bitfld.long 0x24 0. "PREF_MEM_DECODE,Prefetchable Memory Base Decode. This bit encodes whether or not the bridge supports 64-bit addresses. Note: By default the bit is set to 1'b1 indicating that 64-bit addresses are supported. If the bridge only supports 32-bit prefetchable.." "0,1" line.long 0x28 "PREF_BASE_UPPER_REG,Prefetchable Base Upper 32 Bits Register. The Prefetchable Base Upper 32 Bits register is an optional extension to the Prefetchable Memory Base register." hexmask.long 0x28 0.--31. 1. "PREF_MEM_BASE_UPPER,Prefetchable Base Upper 32 Bit. If the Prefetchable Memory Base register indicates support for 32-bit addressing then this register is implemented as read-only register that returns zero when read. If the Prefetchable Memory Base.." line.long 0x2C "PREF_LIMIT_UPPER_REG,Prefetchable Limit Upper 32 Bits Register. The Prefetchable Limit Upper 32 Bits register is an optional extension to the Prefetchable Memory Limit register." hexmask.long 0x2C 0.--31. 1. "PREF_MEM_LIMIT_UPPER,Prefetchable Limit Upper 32 Bit. If the Prefetchable Memory Limit register indicate support for 64-bit addressing then this register is implemented as read/write register which must be initialized by configuration software. This.." rgroup.long 0x30++0x3 line.long 0x0 "IO_LIMIT_UPPER_IO_BASE_UPPER_REG,I/O Limit and Base Upper 16 Bits Register. The I/O Limit Upper 16 Bits and I/O Base Upper 16 Bits registers are optional extensions to the I/O Limit and I/O Base registers." hexmask.long.word 0x0 16.--31. 1. "IO_LIMIT_UPPER,I/O Limit Upper 16 Bits. If the I/O Limit register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O Limit register indicates support for.." newline hexmask.long.word 0x0 0.--15. 1. "IO_BASE_UPPER,I/O Base Upper 16 Bits. If the I/O Base register indicates support for 16-bit I/O address decoding then this register is implemented as a read-only register which return zero when read. If the I/O base register indicates support for 32-bit.." group.long 0x34++0xB line.long 0x0 "TYPE1_CAP_PTR_REG,Capabilities Pointer Register." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CAP_POINTER,Capabilities Pointer. This register is used to point to a linked list of capabilities implemented by this Function. Since all PCI Express Functions are required to implement the PCI Express Capability structure this register must point to a.." line.long 0x4 "TYPE1_EXP_ROM_BASE_REG,Expansion ROM Base Address Register. This register is defined to handle the base address and size information for this expansion ROM. The mask for this ROM BAR exists (if implemented) as a shadow register at this address. The.." hexmask.long.tbyte 0x4 11.--31. 1. "EXP_ROM_BASE_ADDRESS,Expansion ROM Base Address. Upper 21 bits of the Expansion ROM base address. The number of bits (out of these 21) that a Function actually implements depends on how much address space the Function requires. The mask for this ROM BAR.." newline hexmask.long.word 0x4 1.--10. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ROM_BAR_ENABLE,Expansion ROM Enable. This bit controls whether or not the Function accepts accesses to its expansion ROM. When this bit is 0b the Function's expansion ROM address space is disabled. When the bit is 1b address decoding is enabled using.." "0,1" line.long 0x8 "BRIDGE_CTRL_INT_PIN_INT_LINE_REG,Bridge Control. Interrupt Pin. and Interrupt Line Register." hexmask.long.word 0x8 23.--31. 1. "BRIDGE_CTRL_RESERV,Reserved." newline bitfld.long 0x8 22. "SBR,Secondary Bus Reset. Setting this bit triggers a hot reset on the corresponding PCI Express Port. Software must ensure a minimum reset duration (Trst) as defined in the PCI Local Bus Specification. Software and systems must honor.." "0,1" newline rbitfld.long 0x8 21. "MSTR_ABORT_MODE,Master Abort Mode. This bit was originally described in the PCI-to-PCI Bridge Architecture Specification. Its functionality does not apply to PCI Express. The controller hardwires this bit to 0b. Note: The access attributes of this field.." "0,1" newline rbitfld.long 0x8 20. "VGA_16B_DEC,VGA 16 bit decode. This bit only has meaning if VGA Enable bit is set. This bit enables system configuration software to select between 10-bit and 16-bit I/O address decoding for all VGA I/O register accesses that are forwarded from primary.." "0,1" newline rbitfld.long 0x8 19. "VGA_EN,VGA Enable. Modifies the response by the bridge to VGA compatible addresses. If the VGA Enable bit is set the bridge will positively decode and forward the following accesses on the primary interface to the secondary interface (and conversely.." "0,1" newline bitfld.long 0x8 18. "ISA_EN,ISA Enable. Modifies the response by the bridge to ISA I/O addresses. This applies only to I/O addresses that are enabled by the I/O Base and I/O Limit registers and are in the first 64 KB of I/O address space (0000 0000h to 0000 FFFFh). If this.." "?,KB block" newline bitfld.long 0x8 17. "SERR_EN,SERR# Enable. This bit controls forwarding of ERR_COR ERR_NONFATAL and ERR_FATAL from secondary to primary." "0,1" newline bitfld.long 0x8 16. "PERE,Parity Error Response Enable. This bit controls the logging of poisoned TLPs in the Master Data Parity Error bit in the Secondary Status register." "0,1" newline hexmask.long.byte 0x8 8.--15. 1. "INT_PIN,Interrupt PIN. The Interrupt Pin register register that identifies the legacy interrupt Message(s) the Function uses. Valid values are: - 01h 02h 03h and 04h: map to legacy interrupt Messages for INTA INTB INTC and INTD respectively. - 00h:.." newline hexmask.long.byte 0x8 0.--7. 1. "INT_LINE,Interrupt Line. The Interrupt Line register communicates interrupt line routing information. The register must be implemented by any Function that uses an interrupt pin. Values in this register are programmed by system software and are system.." tree.end tree "PF0_SPCIE_CAP (Secondary PCI Express Capability Structure)" group.long (0x0+0x1A0)++0xF line.long 0x0 "SPCIE_CAP_HEADER_REG,SPCIE Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,Secondary PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." line.long 0x4 "LINK_CONTROL3_REG,Link Control 3 Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x4 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x4 1. "EQ_REQ_INT_EN,Link Equalization Request Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 0. "PERFORM_EQ,Perform Equalization. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" line.long 0x8 "LANE_ERR_STATUS_REG,Lane Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x8 1.--31. 1. "RSVDP_LANE_ERR_STATUS,Reserved for future use." bitfld.long 0x8 0. "LANE_ERR_STATUS,Lane Error Status Bits per Lane. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0xC "SPCIE_CAP_OFF_0CH_REG,Lane Equalization Control Register for lanes 1 and 0. For a description of this standard PCIe register. see the PCI Express Specification." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" rbitfld.long 0xC 15. "RSVDP_15,Reserved for future use." "0,1" bitfld.long 0xC 12.--14. "USP_RX_PRESET_HINT0,Upstream Port 8.0 GT/s Receiver Preset Hint 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 8.--11. 1. "USP_TX_PRESET0,Upstream Port 8.0 GT/s Transmitter Preset 0. The write value is gated with the PCIE_CAP_CROSS_LINK_SUPPORT field of LINK_CAPABILITIES2_REG. For a description of this standard PCIe register field see the PCI Express Specification. Note:.." rbitfld.long 0xC 7. "RSVDP_7,Reserved for future use." "0,1" bitfld.long 0xC 4.--6. "DSP_RX_PRESET_HINT0,Downstream Port 8.0 GT/s Receiver Preset Hint 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1,2,3,4,5,6,7" hexmask.long.byte 0xC 0.--3. 1. "DSP_TX_PRESET0,Downstream Port 8.0 GT/s Transmitter Preset 0. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." tree.end tree "PF0_L1SUB_CAP (L1 Substates Capability Structure)" group.long (0x0+0x2A0)++0xF line.long 0x0 "L1SUB_CAP_HEADER_REG,L1 Substates Extended Capability Header. This register provides capbility ID. capability version and next offset value for L1 Substates." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. This field contains the offset to the next PCI Express Capability structure or 000h if no other items exist in the linked list of Capabilities. For Extended Capabilities implemented in Configuration Space this offset.." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. This field is a PCI-SIG defined version number that indicates the version of the Capability structure present. This field is depends on version of the specification. Note: The access attributes of this field are as.." hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,L1SUB Extended Capability ID. This field is a PCI-SIG defined ID number that indicates the nature and format of the Extended Capability. Extended Capability ID for L1 PM Substates is 001Eh. Note: The access attributes of this field are as.." line.long 0x4 "L1SUB_CAPABILITY_REG,L1 Substates Capability Register. This register provides extended capability of L1 Substates." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 19.--23. 1. "PWR_ON_VALUE_SUPPORT,Port T Power On Value. Along with the Port T_POWER_ON Scale field in the L1 PM Substates Capabilities register sets the time (in us) that this Port requires the port on the opposite side of Link to wait in L1.2.Exit after sampling.." rbitfld.long 0x4 18. "RSVDP_18,Reserved for future use." "0,1" bitfld.long 0x4 16.--17. "PWR_ON_SCALE_SUPPORT,Port T Power On Scale. Specifies the scale used for the Port T_POWER_ON Value field in the L1 PM Substates Capabilities register. Range of values are given below. Required for all Ports for which either the PCI-PM L1.2 Supported bit.." "?,?,?,Reserved" newline hexmask.long.byte 0x4 8.--15. 1. "COMM_MODE_SUPPORT,Port Common Mode Restore Time. Time (in us) required for this Port to re-establish common mode. Required for all Ports for which either the PCI-PM L1.2 Supported bit is Set ASPM L1.2 Supported bit is Set or both are Set otherwise.." rbitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" bitfld.long 0x4 4. "L1_PMSUB_SUPPORT,L1 PM Substates ECN Supported. When Set this bit indicates that this Port supports L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 3. "L1_1_ASPM_SUPPORT,ASPM L11 Supported. When Set this bit indicates that ASPM L1.1 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" newline bitfld.long 0x4 2. "L1_2_ASPM_SUPPORT,ASPM L12 Supported. When Set this bit indicates that ASPM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 1. "L1_1_PCIPM_SUPPORT,PCI-PM L11 Supported. When Set this bit indicates that PCI-PM L1.1 is supported and must be Set by all Ports implementing L1 PM Substates. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" bitfld.long 0x4 0. "L1_2_PCIPM_SUPPORT,PCI-PM L12 Supported. When Set this bit indicates that PCI-PM L1.2 is supported. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky)" "0,1" line.long 0x8 "L1SUB_CONTROL1_REG,L1 Substates Control 1 Register. This register provides Controls to extended capability." bitfld.long 0x8 29.--31. "L1_2_TH_SCA,LTR L12 Threshold Scale. This field provides a scale for the value contained within the LTR_L1.2_THRESHOLD_Value.The default value for this field is 000b.Hardware operation is undefined if software writes a Not-Permitted value to this field." "0,1,2,3,4,5,6,7" rbitfld.long 0x8 26.--28. "RSVDP_26,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.word 0x8 16.--25. 1. "L1_2_TH_VAL,LTR L12 Threshold Value. Along with the LTR_L1.2_THRESHOLD_Scale this field indicates the LTR threshold used to determine if entry into L1 results in L1.1 (if enabled) or L1.2 (if enabled). The default value for this field is 00 0000 0000b." hexmask.long.byte 0x8 8.--15. 1. "T_COMMON_MODE,Common Mode Restore Time. Sets value of TCOMMONMODE (in us) which must be used by the Downstream Ports for timing the re-establishment of common mode.This field is of type RsvdP for Upstream Ports. Default value is implementation.." newline hexmask.long.byte 0x8 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x8 3. "L1_1_ASPM_EN,ASPM L11 Enable. When Set this bit enables ASPM L1.1.For Ports for which the ASPM L1.1 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 2. "L1_2_ASPM_EN,ASPM L12 Enable. When Set this bit enables ASPM L1.2.For Ports for which the ASPM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" bitfld.long 0x8 1. "L1_1_PCIPM_EN,PCI-PM L11 Enable. When Set this bit enables PCI-PM L1.1. Default value is 0b." "0,1" newline bitfld.long 0x8 0. "L1_2_PCIPM_EN,PCI-PM L12 Enable. When Set this bit enables PCI-PM L1.2.For Ports for which the PCI-PM L1.2 Supported bit is Clear this bit is permitted to be hardwired to 0.Default value is 0b." "0,1" line.long 0xC "L1SUB_CONTROL2_REG,L1 Substates Control 2 Register. This register provides Controls to extended capability." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0xC 3.--7. 1. "T_POWER_ON_VALUE,T Power On Value. Along with the T_POWER_ON Scale sets the minimum amount of time (in us) that the Port must wait in L1.2.Exit after sampling CLKREQ# asserted before actively driving the interface.Default value is 00101b. T_POWER_ON is.." rbitfld.long 0xC 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0xC 0.--1. "T_POWER_ON_SCALE,T Power On Scale. Specifies the scale used for T_POWER_ON Value. Range of values are given below. Required for all Ports that support L1.2 otherwise this field is of type RsvdP. This field must only be modified when the ASPM L1.2 Enable.." "?,?,?,Reserved" tree.end tree "PF0_RAS_DES_CAP (RAS D.E.S. Capability Structure (VSEC))" group.long (0x0+0x30C)++0x3 line.long 0x0 "RAS_DES_CAP_HEADER_REG,Vendor-Specific Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." newline hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "EXTENDED_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x4+0x30C)++0x3 line.long 0x0 "VENDOR_SPECIFIC_HEADER_REG,Vendor-Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register field see the PCI Express Specification." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register field see the PCI Express Specification." group.long (0x8+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_CONTROL_REG,Event Counter Control. This is a viewport control register. - Setting the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register determine the Event Counter data returned by the EVENT_COUNTER_DATA_REG.." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline hexmask.long.word 0x0 16.--27. 1. "EVENT_COUNTER_EVENT_SELECT,Event Counter Data Select. This field in conjunction with the EVENT_COUNTER_LANE_SELECT field indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 27-24: Group number(4-bit: 0..0x7) - 23-16: Event.." newline hexmask.long.byte 0x0 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x0 8.--11. 1. "EVENT_COUNTER_LANE_SELECT,Event Counter Lane Select. This field in conjunction with EVENT_COUNTER_EVENT_SELECT indexes the Event Counter data returned by the EVENT_COUNTER_DATA_REG register. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note:.." newline rbitfld.long 0x0 7. "EVENT_COUNTER_STATUS,Event Counter Status. This register returns the current value of the Event Counter selected by the following fields: - EVENT_COUNTER_EVENT_SELECT - EVENT_COUNTER_LANE_SELECT Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 2.--4. "EVENT_COUNTER_ENABLE,Event Counter Enable. Enables/disables the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. By default all event counters are disabled. You can enable/disable a specific.." "no change,per event off,?,?,?,?,?,?" newline bitfld.long 0x0 0.--1. "EVENT_COUNTER_CLEAR,Event Counter Clear. Clears the Event Counter selected by the EVENT_COUNTER_EVENT_SELECT and EVENT_COUNTER_LANE_SELECT fields in this register. You can clear the value of a specific Event Counter by writing the 'per clear' code and.." "no change,per clear,?,?" rgroup.long (0xC+0x30C)++0x3 line.long 0x0 "EVENT_COUNTER_DATA_REG,Event Counter Data. This viewport register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG For more details. see.." hexmask.long 0x0 0.--31. 1. "EVENT_COUNTER_DATA,Event Counter Data. This register returns the data selected by the following fields: - EVENT_COUNTER_EVENT_SELECT in EVENT_COUNTER_CONTROL_REG - EVENT_COUNTER_LANE_SELECT in EVENT_COUNTER_CONTROL_REG Note: This register field is sticky." group.long (0x10+0x30C)++0x3 line.long 0x0 "TIME_BASED_ANALYSIS_CONTROL_REG,Time-based Analysis Control. Used for controlling the measurement of RX/TX data throughput and time spent in each low-power LTSSM state. For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "TIME_BASED_REPORT_SELECT,Time-based Report Select. Selects what type of data is measured for the selected duration (TIME_BASED_DURATION_SELECT) and returned in TIME_BASED_ANALYSIS_DATA. Each type of data is measured using one of three types of units: -.." newline hexmask.long.byte 0x0 16.--23. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "TIME_BASED_DURATION_SELECT,Time-based Duration Select. Selects the duration of time-based analysis. When 'manual control' is selected and TIMER_START is set to '1' this analysis never stops until TIMER_STOP is set to '0'. - 0x0: Manual control - 0x1:.." newline hexmask.long.byte 0x0 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "TIMER_START,Timer Start. - 1: Start/Restart - 0: Stop This bit will be cleared automatically when the measurement is finished. Note: The app_ras_des_tba_ctrl input also sets the contents of this field and controls the measurement start/stop. Note: This.." "Stop,Start/Restart" rgroup.long (0x14+0x30C)++0x7 line.long 0x0 "TIME_BASED_ANALYSIS_DATA_REG,Time-based Analysis Data. Contains the measurement results of RX/TX data throughput and time spent in each low-power LTSSM state. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in.." hexmask.long 0x0 0.--31. 1. "TIME_BASED_ANALYSIS_DATA,Time Based Analysis Data. This register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. The results are cleared when next measurement starts. Note: This register field is sticky." line.long 0x4 "TIME_BASED_ANALYSIS_DATA_63_32_REG,Upper 32 bits of Time-based Analysis Data. This viewport register returns the data selected by the TIME_BASED_REPORT_SELECT field in TIME_BASED_ANALYSIS_CONTROL_REG. For more details. see the RAS DES section in the Core.." hexmask.long 0x4 0.--31. 1. "TIME_BASED_ANALYSIS_DATA_63_32,Upper 32 bits of Time Based Analysis Data. Note: This register field is sticky." group.long (0x30+0x30C)++0x5F line.long 0x0 "EINJ_ENABLE_REG,Error Injection Enable. Each type of error insertion is enabled by the corresponding bit in this register. The specific injection controls for each type of error are defined in the following registers: - 0: CRC Error: EINJ0_CRC_REG - 1:.." hexmask.long 0x0 7.--31. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x0 6. "ERROR_INJECTION6_ENABLE,Error Injection6 Enable (Specific TLP Error). Enables insertion of errors into the packets that you select. You can set this bit to '1' when you have disabled RAS datapath protection (DP) by setting CX_RASDP = CX_RASDP_RAM_PROT.." "0,1" newline bitfld.long 0x0 5. "ERROR_INJECTION5_ENABLE,Error Injection5 Enable (TLP Duplicate/Nullify Error). Enables insertion of duplicate/nullified TLPs. For more details see the EINJ5_SP_TLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_INJECTION4_ENABLE,Error Injection4 Enable (FC Credit Update Error). Enables insertion of errors into UpdateFCs. For more details see the EINJ4_FC_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_INJECTION3_ENABLE,Error Injection3 Enable (Symbol DataK Mask Error or Sync Header Error). Enables DataK masking of special symbols or the breaking of the sync header. For more details see the EINJ3_SYMBOL_REG register. Note: This register field is.." "0,1" newline bitfld.long 0x0 2. "ERROR_INJECTION2_ENABLE,Error Injection2 Enable (DLLP Error). Enables insertion of DLLP errors. For more details see the EINJ2_DLLP_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_INJECTION1_ENABLE,Error Injection1 Enable (Sequence Number Error). Enables insertion of errors into sequence numbers. For more details see the EINJ1_SEQNUM_REG register. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_INJECTION0_ENABLE,Error Injection0 Enable (CRC Error). Enables insertion of errors into various CRC. For more details see the EINJ0_CRC_REG register. Note: This register field is sticky." "0,1" line.long 0x4 "EINJ0_CRC_REG,Error Injection Control 0 (CRC Error). Controls the insertion of errors into the CRC. and parity of ordered sets for the selected type of the packets as follows: - LCRC. Bad TLP will be detected at the receiver side; receiver responds with.." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x4 8.--11. 1. "EINJ0_CRC_TYPE,Error injection type. Selects the type of CRC error to be inserted. Tx Path - 0000b: New TLP's LCRC error injection - 0001b: 16bCRC error injection of ACK/NAK DLLP - 0010b: 16bCRC error injection of Update-FC DLLP - 0011b: New TLP's ECRC.." newline hexmask.long.byte 0x4 0.--7. 1. "EINJ0_COUNT,Error injection count. Indicates the number of errors. This register is decremented when the errors have been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION0_ENABLE in EINJ_ENABLE_REG returns 0b. - If the.." line.long 0x8 "EINJ1_SEQNUM_REG,Error Injection Control 1 (Sequence Number Error). Controls the sequence number of the specific TLPs and ACK/NAK DLLPs. Data Link Protocol Error will be detected at the Rx side of ACK/NAL DLLPs when one of these conditions is true: -.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 16.--28. 1. "EINJ1_BAD_SEQNUM,Bad sequence number. Indicates the value to add/subtract from the naturally-assigned sequence numbers. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 -.." newline hexmask.long.byte 0x8 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x8 8. "EINJ1_SEQNUM_TYPE,Sequence number type. Selects the type of sequence number. - 0b: Insertion of New TLP's SEQ# error - 1b: Insertion of ACK/NAK DLLP's SEQ# Error Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--7. 1. "EINJ1_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION1_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0xC "EINJ2_DLLP_REG,Error Injection Control 2 (DLLP Error). Controls the transmission of DLLPs and inserts the following errors: - If 'ACK/NAK DLLP's transmission block' is selected. replay timeout error will occur at the transmitter of the TLPs and then Data.." hexmask.long.tbyte 0xC 10.--31. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0xC 8.--9. "EINJ2_DLLP_TYPE,DLLP Type. Selects the type of DLLP errors to be inserted. - 00b: ACK/NAK DLLP's transmission block - 01b: Update FC DLLP's transmission block - 10b: Always Transmission for NAK DLLP - 11b: Reserved Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0xC 0.--7. 1. "EINJ2_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and the error is inserted ERROR_INJECTION2_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x10 "EINJ3_SYMBOL_REG,Error Injection Control 3 (Symbol Error). When 8b/10b encoding is used. this register controls error insertion into the special (K code) symbols. - If TS1/TS2/FTS/E-Idle/SKP is selected. it affects whole of the ordered set. It might.." hexmask.long.tbyte 0x10 11.--31. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x10 8.--10. "EINJ3_SYMBOL_TYPE,Error Type. 8b/10b encoding - Mask K symbol. It is not supported to insert errors into the first ordered-set after exiting from TxElecIdle when CX_FREQ_STEP_EN has been enabled. - 000b: Reserved - 001b: COM/PAD(TS1 Order set) - 010b:.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 0.--7. 1. "EINJ3_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION3_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x14 "EINJ4_FC_REG,Error Injection Control 4 (FC Credit Error). Controls error insertion into the credit value in the UpdateFCs. It is possible to insert errors for any of the following types: - Posted TLP Header credit - Non-Posted TLP Header credit -.." rbitfld.long 0x14 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x14 16.--28. 1. "EINJ4_BAD_UPDFC_VALUE,Bad update-FC credit value. Indicates the value to add/subtract from the UpdateFC credit. This value is represented by two's complement. - 0x0FFF: +4095 - .. - 0x0002: +2 - 0x0001: +1 - 0x0000: 0 - 0x1FFF: -1 - 0x1FFE: -2 - .. -.." newline rbitfld.long 0x14 15. "RSVDP_15,Reserved for future use." "0,1" newline bitfld.long 0x14 12.--14. "EINJ4_VC_NUMBER,VC Number. Indicates target VC Number. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x14 11. "RSVDP_11,Reserved for future use." "0,1" newline bitfld.long 0x14 8.--10. "EINJ4_UPDFC_TYPE,Update-FC type. Selects the credit type. - 000b: Posted TLP Header Credit value control - 001b: Non-Posted TLP Header Credit value control - 010b: Completion TLP Header Credit value control - 011b: Reserved - 100b: Posted TLP Data Credit.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x14 0.--7. 1. "EINJ4_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION4_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x18 "EINJ5_SP_TLP_REG,Error Injection Control 5 (Specific TLP Error). Controls the generation of specified TLPs. Correctable errors will occur which will be fixed by the PCIe protocol. - For Duplicate TLP. the controller initiates Data Link Retry by handling.." hexmask.long.tbyte 0x18 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x18 8. "EINJ5_SPECIFIED_TLP,Specified TLP. Selects the specified TLP to be inserted. - 0: Generates duplicate TLPs by handling ACK DLLP as NAK DLLP. - 1: Generates Nullified TLP (Original TLP will be stored in retry buffer). Note: This register field is sticky." "Generates duplicate TLPs by handling ACK DLLP as..,Generates Nullified TLP" newline hexmask.long.byte 0x18 0.--7. 1. "EINJ5_COUNT,Error injection count. Indicates the number of errors. This register is decremented as the errors are being inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION5_ENABLE in EINJ_ENABLE_REG returns '0'. - If the.." line.long 0x1C "EINJ6_COMPARE_POINT_H0_REG,Error Injection Control 6 (Compare Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x1C 0.--31. 1. "EINJ6_COMPARE_POINT_H0,Packet Compare Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x20 "EINJ6_COMPARE_POINT_H1_REG,Error Injection Control 6 (Compare Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x20 0.--31. 1. "EINJ6_COMPARE_POINT_H1,Packet Compare Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x24 "EINJ6_COMPARE_POINT_H2_REG,Error Injection Control 6 (Compare Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x24 0.--31. 1. "EINJ6_COMPARE_POINT_H2,Packet Compare Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x28 "EINJ6_COMPARE_POINT_H3_REG,Error Injection Control 6 (Compare Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x28 0.--31. 1. "EINJ6_COMPARE_POINT_H3,Packet Compare Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When all specified bits (in the Tx TLP header and.." line.long 0x2C "EINJ6_COMPARE_VALUE_H0_REG,Error Injection Control 6 (Compare Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x2C 0.--31. 1. "EINJ6_COMPARE_VALUE_H0,Packet Compare Value: 1st DWORD. Specifies the value to compare against Tx the TLP header DWORD#0 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x30 "EINJ6_COMPARE_VALUE_H1_REG,Error Injection Control 6 (Compare Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x30 0.--31. 1. "EINJ6_COMPARE_VALUE_H1,Packet Compare Value: 2nd DWORD. Specifies the value to compare against Tx the TLP header DWORD#1 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x34 "EINJ6_COMPARE_VALUE_H2_REG,Error Injection Control 6 (Compare Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x34 0.--31. 1. "EINJ6_COMPARE_VALUE_H2,Packet Compare Value: 3rd DWORD. Specifies the value to compare against Tx the TLP header DWORD#2 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x38 "EINJ6_COMPARE_VALUE_H3_REG,Error Injection Control 6 (Compare Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x38 0.--31. 1. "EINJ6_COMPARE_VALUE_H3,Packet Compare Value: 4th DWORD. Specifies the value to compare against Tx the TLP header DWORD#3 bits specified in the Packet Compare Point registers (EINJ6_COMPARE_POINT*). Note: This register field is sticky." line.long 0x3C "EINJ6_CHANGE_POINT_H0_REG,Error Injection Control 6 (Change Point Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x3C 0.--31. 1. "EINJ6_CHANGE_POINT_H0,Packet Change Point: 1st DWORD. Specifies which Tx TLP header DWORD#0 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x40 "EINJ6_CHANGE_POINT_H1_REG,Error Injection Control 6 (Change Point Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x40 0.--31. 1. "EINJ6_CHANGE_POINT_H1,Packet Change Point: 2nd DWORD. Specifies which Tx TLP header DWORD#1 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x44 "EINJ6_CHANGE_POINT_H2_REG,Error Injection Control 6 (Change Point Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x44 0.--31. 1. "EINJ6_CHANGE_POINT_H2,Packet Change Point: 3rd DWORD. Specifies which Tx TLP header DWORD#2 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x48 "EINJ6_CHANGE_POINT_H3_REG,Error Injection Control 6 (Change Point Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x48 0.--31. 1. "EINJ6_CHANGE_POINT_H3,Packet Change Point: 4th DWORD. Specifies which Tx TLP header DWORD#3 bits to replace with the corresponding bits in the Packet Change Value registers (EINJ6_CHANGE_VALUE*). Note: This register field is sticky." line.long 0x4C "EINJ6_CHANGE_VALUE_H0_REG,Error Injection Control 6 (Change Value Header DWORD #0). Program this register for the 1st DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x4C 0.--31. 1. "EINJ6_CHANGE_VALUE_H0,Packet Change Value: 1st DWORD. Specifies replacement values for the Tx TLP header DWORD#0 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x50 "EINJ6_CHANGE_VALUE_H1_REG,Error Injection Control 6 (Change Value Header DWORD #1). Program this register for the 2nd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x50 0.--31. 1. "EINJ6_CHANGE_VALUE_H1,Packet Change Value: 2nd DWORD. Specifies replacement values for the Tx TLP header DWORD#1 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x54 "EINJ6_CHANGE_VALUE_H2_REG,Error Injection Control 6 (Change Value Header DWORD #2). Program this register for the 3rd DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x54 0.--31. 1. "EINJ6_CHANGE_VALUE_H2,Packet Change Value: 3rd DWORD. Specifies replacement values for the Tx TLP header DWORD#2 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x58 "EINJ6_CHANGE_VALUE_H3_REG,Error Injection Control 6 (Change Value Header DWORD #3). Program this register for the 4th DWORD of TLP header/prefix. It is necessary to carefully consider the endianness when you program this register. Bits [31:0] =.." hexmask.long 0x58 0.--31. 1. "EINJ6_CHANGE_VALUE_H3,Packet Change Value: 4th DWORD. Specifies replacement values for the Tx TLP header DWORD#3 bits defined in the Packet Change Point registers (EINJ6_CHANGE_POINT*). Only applies when the EINJ6_INVERTED_CONTROL field in EINJ6_TLP_REG.." line.long 0x5C "EINJ6_TLP_REG,Error Injection Control 6 (Packet Error). The Packet Compare Point registers (EINJ6_COMPARE_POINT*) specify which Tx TLP header bits to compare with the corresponding bits in the Packet Compare Value registers (EINJ6_COMPARE_VALUE*). When.." hexmask.long.tbyte 0x5C 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x5C 9.--11. "EINJ6_PACKET_TYPE,Packet type. Selects the TLP packets to inject errors into. - 0: TLP Header - 1: TLP Prefix 1st 4-DWORDs - 2: TLP Prefix 2nd -DWORDs - Else: Reserved Note: This register field is sticky." "TLP Header,TLP Prefix 1st 4-DWORDs,TLP Prefix 2nd -DWORDs,?,?,?,?,?" newline bitfld.long 0x5C 8. "EINJ6_INVERTED_CONTROL,Inverted Error Injection Control. - 0: EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace bits specified by EINJ6_CHANGE_POINT_H[0/1/2/3]. - 1: EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and inverts bits specified by.." "EINJ6_CHANGE_VALUE_H[0/1/2/3] is used to replace..,EINJ6_CHANGE_VALUE_H[0/1/2/3] is ignored and.." newline hexmask.long.byte 0x5C 0.--7. 1. "EINJ6_COUNT,Error Injection Count. Indicates the number of errors to insert. This counter is decremented while errors are been inserted. - If the counter value is 0x01 and error is inserted ERROR_INJECTION6_ENABLE in EINJ_ENABLE_REG returns '0'. - If.." group.long (0xA0+0x30C)++0x7 line.long 0x0 "SD_CONTROL1_REG,Silicon Debug Control 1. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 22.--23. "LOW_POWER_INTERVAL,Low Power Entry Interval Time. Interval Time that the controller starts monitoring RXELECIDLE signal after L0s/L1/L2 entry. You should set the value according to the latency from receiving EIOS to RXELECIDLE assertion at the PHY. -.." "0,1,2,3" newline bitfld.long 0x0 20.--21. "TX_EIOS_NUM,Number of Tx EIOS. This register sets the number of transmit EIOS for L0s/L1 entry and Disable/Loopback/Hot-reset exit. The controller selects the greater value between this register and the value defined by the PCI-SIG specification. 2.5GT/s.." "0,1,2,3" newline rbitfld.long 0x0 17.--19. "RSVDP_17,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "FORCE_DETECT_LANE_EN,Force Detect Lane Enable. When this bit is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses FORCE_DETECT_LANE. Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 0.--15. 1. "FORCE_DETECT_LANE,Force Detect Lane. When the FORCE_DETECT_LANE_EN field is set the controller ignores receiver detection from PHY during LTSSM Detect state and uses this value instead. - 0: Lane0 - 1: Lane1 - 2: Lane2 - .. - 15: Lane15 Note: This.." line.long 0x4 "SD_CONTROL2_REG,Silicon Debug Control 2. For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 17.--31. 1. "RSVDP_17,Reserved for future use." newline bitfld.long 0x4 16. "FRAMING_ERR_RECOVERY_DISABLE,Framing Error Recovery Disable. This bit disables a transition to Recovery state when a Framing Error is occurred. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--15. 1. "RSVDP_11,Reserved for future use." newline bitfld.long 0x4 10. "DIRECT_LPBKSLV_TO_EXIT,Direct Loopback Slave To Exit. When this bit is set and the LTSSM is in Loopback Slave Active State the LTSSM transitions to Loopback Slave Exit state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 9. "DIRECT_POLCOMP_TO_DETECT,Direct Polling.Compliance to Detect. When this bit is set and the LTSSM is in Polling Compliance State the LTSSM transitions to Detect state. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 8. "DIRECT_RECIDLE_TO_CONFIG,Direct Recovery.Idle to Configuration. When this bit is set and the LTSSM is in Recovery Idle State the LTSSM transitions to Configuration state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 2. "NOACK_FORCE_LINKDOWN,Force LinkDown. When this bit is set and the controller detects REPLY_NUM rolling over 4 times the LTSSM transitions to Detect State. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 1. "RECOVERY_REQUEST,Recovery Request. When this bit is set to '1' in L0 or L0s the LTSSM starts transitioning to Recovery State. This request does not cause a speed change or re-equalization." "0,1" newline bitfld.long 0x4 0. "HOLD_LTSSM,Hold and Release LTSSM. For as long as this register is '1' the controller stays in the current LTSSM. Note: This register field is sticky." "0,1" group.long (0xB0+0x30C)++0xB line.long 0x0 "SD_STATUS_L1LANE_REG,Silicon Debug Status(Layer1 Per-lane). This viewport register returns the data selected by the following field: - LANE_SELECT in SD_STATUS_L1LANE_REG For more details. see the RAS DES section in the Core Operations chapter of the.." hexmask.long.byte 0x0 24.--31. 1. "DESKEW_POINTER,Deskew Pointer. Indicates Deskew pointer of internal Deskew buffer of selected lane number(LANE_SELECT). Note: This register field is sticky." newline rbitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x0 20. "PIPE_TXELECIDLE,PIPE:TxElecIdle. Indicates PIPE TXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 19. "PIPE_RXELECIDLE,PIPE:RxElecIdle. Indicates PIPE RXELECIDLE signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 18. "PIPE_RXVALID,PIPE:RxValid. Indicates PIPE RXVALID signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 17. "PIPE_DETECT_LANE,PIPE:Detect Lane. Indicates whether PHY indicates receiver detection or not on selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline rbitfld.long 0x0 16. "PIPE_RXPOLARITY,PIPE:RxPolarity. Indicates PIPE RXPOLARITY signal of selected lane number(LANE_SELECT). Note: This register field is sticky." "0,1" newline hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "LANE_SELECT,Lane Select. Lane Select register for Silicon Debug Status Register of Layer1-PerLane. - 0x0: Lane0 - 0x1: Lane1 - 0x2: Lane2 - .. - 0xF: Lane15 Note: This register field is sticky." line.long 0x4 "SD_STATUS_L1LTSSM_REG,Silicon Debug Status(Layer1 LTSSM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.word 0x4 16.--31. 1. "LTSSM_VARIABLE,LTSSM Variable. Indicates internal LTSSM variables defined in the PCI Express Base Specification. C-PCIe Mode: - 0: directed_speed_change - 1: changed_speed_recovery - 2: successful_speed_negotiation - 3: upconfigure_capable; Set to '1' if.." newline rbitfld.long 0x4 15. "LANE_REVERSAL,Lane Reversal Operation. Receiver detected lane reversal. This field is only valid in the L0 LTSSM state. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 11.--14. 1. "RSVDP_11,Reserved for future use." newline rbitfld.long 0x4 8.--10. "PIPE_POWER_DOWN,PIPE:PowerDown. Indicates PIPE PowerDown signal. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 7. "FRAMING_ERR,Framing Error. Indicates Framing Error detection status." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "FRAMING_ERR_PTR,First Framing Error Pointer. Identifies the first Framing Error using the following encoding. The field contents are only valid value when FRAMING_ERR =1. Received Unexpected Framing Token - 01h: When non- STP/SDP/IDL Token was received.." line.long 0x8 "SD_STATUS_PM_REG,Silicon Debug Status(PM). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x8 16.--23. 1. "LATCHED_NFTS,Latched N_FTS. Indicates the value of N_FTS in the received TS Ordered Sets from the Link Partner Note: This register field is sticky." newline rbitfld.long 0x8 13.--15. "L1SUB_STATE,L1Sub State. Indicates internal state machine of L1Sub state. - 0h: S_L1_U : idle state - 1h: S_L1_0 : wait for aux_clk_active - 2h: S_L1_0_WAIT4_ACK : wait for pclkack - 3h: S_L1_0_WAIT4_CLKREQ : wait for clkreq - 4h: S_L1_N_ENTRY : check.." "wait for aux_clk_active,?,?,?,?,?,?,?" newline bitfld.long 0x8 12. "PME_RESEND_FLAG,PME Re-send flag. When the DUT sends a PM_PME message TLP the DUT sets PME_Status bit. If host software does not clear PME_Status bit for 100ms(+50%/-5%) the DUT resends the PM_PME Message. This bit indicates that a PM_PME was resent." "0,1" newline hexmask.long.byte 0x8 8.--11. 1. "INTERNAL_PM_SSTATE,Internal PM State(Slave). Indicates internal state machine of Power Management Slave controller. - 0h: S_IDLE - 1h: S_RESPOND_NAK - 2h: S_BLOCK_TLP - 3h: S_WAIT_LAST_TLP_ACK - 4h: S_WAIT_EIDLE - 5h: S_LINK_ENTR_L1 - 6h: S_L1 - 7h:.." newline rbitfld.long 0x8 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 0.--4. 1. "INTERNAL_PM_MSTATE,Internal PM State(Master). Indicates internal state machine of Power Management Master controller. - 00h: IDLE - 01h: L0 - 02h: L0S - 03h: ENTER_L0S - 04h: L0S_EXIT - 05h: WAIT_PMCSR_CPL_SENT - 08h: L1 - 09h: L1_BLOCK_TLP - 0Ah:.." rgroup.long (0xBC+0x30C)++0x3 line.long 0x0 "SD_STATUS_L2_REG,Silicon Debug Status(Layer2). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x0 27. "FC_INIT2,FC_INIT2. Indicates the controller is in FC_INIT2(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 26. "FC_INIT1,FC_INIT1. Indicates the controller is in FC_INIT1(VC0) state. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24.--25. "DLCMSM,DLCMSM. Indicates the current DLCMSM. - 00b: DL_INACTIVE - 01b: DL_FC_INIT - 11b: DL_ACTIVE Note: This register field is sticky." "0,1,2,3" newline hexmask.long.word 0x0 12.--23. 1. "RX_ACK_SEQ_NO,Tx Ack Sequence Number. Indicates ACKD_SEQ which is updated by receiving ACK/NAK DLLP. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "TX_TLP_SEQ_NO,Tx Tlp Sequence Number. Indicates next transmit sequence number for transmit TLP. Note: This register field is sticky." group.long (0xC0+0x30C)++0x7 line.long 0x0 "SD_STATUS_L3FC_REG,Silicon Debug Status(Layer3 FC). The CREDIT_DATA[0/1] fields in this viewport register return the data for the VC and TLP Type selected by the following fields: - CREDIT_SEL_VC - CREDIT_SEL_CREDIT_TYPE - CREDIT_SEL_TLP_TYPE -.." hexmask.long.word 0x0 20.--31. 1. "CREDIT_DATA1,Credit Data1. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Allocated Value - Tx: Credit Limit Value. This value is valid when.." newline hexmask.long.word 0x0 8.--19. 1. "CREDIT_DATA0,Credit Data0. Current FC credit data selected by the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields. - Rx: Credit Received Value - Tx: Credit Consumed Value Note: This register field is.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "CREDIT_SEL_HD,Credit Select(HeaderData). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_TLP_TYPE viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Header Credit,Data Credit" newline bitfld.long 0x0 4.--5. "CREDIT_SEL_TLP_TYPE,Credit Select(TLP Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_CREDIT_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0:.." "Posted,Non-Posted,Completion,?" newline bitfld.long 0x0 3. "CREDIT_SEL_CREDIT_TYPE,Credit Select(Credit Type). This field in conjunction with the CREDIT_SEL_VC CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. -.." "Rx,Tx" newline bitfld.long 0x0 0.--2. "CREDIT_SEL_VC,Credit Select(VC). This field in conjunction with the CREDIT_SEL_CREDIT_TYPE CREDIT_SEL_TLP_TYPE and CREDIT_SEL_HD viewport-select fields determines that data that is returned by the CREDIT_DATA0 and CREDIT_DATA1 data fields. - 0x0: VC0 -.." "VC0,VC1,VC2,?,?,?,?,VC7" line.long 0x4 "SD_STATUS_L3_REG,Silicon Debug Status(Layer3). For more details. see the RAS DES section in the Core Operations chapter of the Databook." hexmask.long.tbyte 0x4 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x4 7. "MFTLP_STATUS,Malformed TLP Status. Indicates malformed TLP has occurred." "0,1" newline hexmask.long.byte 0x4 0.--6. 1. "MFTLP_POINTER,First Malformed TLP Error Pointer. Indicates the element of the received first malformed TLP. This pointer is validated by MFTLP_STATUS. - 01h: AtomicOp address alignment - 02h: AtomicOp operand - 03h: AtomicOp byte enable - 04h: TLP length.." group.long (0xD0+0x30C)++0xB line.long 0x0 "SD_EQ_CONTROL1_REG,Silicon Debug EQ Control 1. This is a viewport control register. Setting the EQ_RATE_SEL and EQ_LANE_SEL fields in this register determine the per-lane Silicon Debug EQ Status data returned by the SD_EQ_STATUS[1/2/3] viewport.." hexmask.long.byte 0x0 24.--31. 1. "FOM_TARGET,FOM Target. Indicates figure of merit target criteria value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2). This field is only valid when GEN3_EQ_FB_MODE is 0001b(Figure Of Merit). Note: This register field is sticky." newline bitfld.long 0x0 23. "FOM_TARGET_ENABLE,FOM Target Enable. Enables the FOM_TARGET fields. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 18.--22. 1. "RSVDP_18,Reserved for future use." newline bitfld.long 0x0 16.--17. "EVAL_INTERVAL_TIME,Eval Interval Time. Indicates interval time of RxEqEval assertion. - 00: 500ns - 01: 1us - 10: 2us - 11: 4us This field is used for EQ Master(DSP in EQ Phase3/USP in EQ Phase2). Note: This register field is sticky." "0,1,2,3" newline hexmask.long.byte 0x0 10.--15. 1. "RSVDP_10,Reserved for future use." newline bitfld.long 0x0 8.--9. "EXT_EQ_TIMEOUT,Extends EQ Phase2/3 Timeout. This field is used when the Ltssm is in Recovery.EQ2/3. When this field is set the value of EQ2/3 timeout is extended. EQ Master(DSP in EQ Phase3/USP in EQ Phase2). - 00: 24ms (default) - 01: 48ms (x2) - 10:.." "0,1,2,3" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "EQ_RATE_SEL,EQ Status Rate Select. Setting this field in conjunction with the EQ_LANE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: 8.0GT/s Speed.." "0,1,2,3" newline hexmask.long.byte 0x0 0.--3. 1. "EQ_LANE_SEL,EQ Status Lane Select. Setting this field in conjunction with the EQ_RATE_SEL field determines the per-lane Silicon Debug EQ Status data returned by the SD_EQ_CONTROL[2/3] and SD_EQ_STATUS[1/2/3] viewport registers. - 0x0: Lane0 - 0x1: Lane1.." line.long 0x4 "SD_EQ_CONTROL2_REG,Silicon Debug EQ Control 2. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x4 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x4 30. "FORCE_LOCAL_TX_PRESET_ENABLE,Force Local Transmitter Preset Enable. Enables the FORCE_LOCAL_TX_PRESET field. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 29. "FORCE_LOCAL_RX_HINT_ENABLE,Force Local Receiver Preset Hint Enable. Enables the FORCE_LOCAL_RX_HINT field. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1" newline bitfld.long 0x4 28. "FORCE_LOCAL_TX_COEF_ENABLE,Force Local Transmitter Coefficient Enable. Enables the following fields: - FORCE_LOCAL_TX_PRE_CURSOR - FORCE_LOCAL_TX_CURSOR - FORCE_LOCAL_TX_POST_CURSOR Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 24.--27. 1. "FORCE_LOCAL_TX_PRESET,Force Local Transmitter Preset. Indicates initial preset value of USP in EQ Slave(EQ Phase2) instead of receiving EQ TS2. If select rate in the EQ_RATE_SEL field is 32.0GT/s Speed this feature is not available. Note: This register.." newline rbitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "FORCE_LOCAL_RX_HINT,Force Local Receiver Preset Hint. Indicates the RxPresetHint value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of received or set value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "FORCE_LOCAL_TX_POST_CURSOR,Force Local Transmitter Post-Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "FORCE_LOCAL_TX_CURSOR,Force Local Transmitter Cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "FORCE_LOCAL_TX_PRE_CURSOR,Force Local Transmitter Pre-cursor. Indicates the coefficient value of EQ Slave(DSP in EQ Phase2/USP in EQ Phase3) instead of the value instructed from link partner. Note: This register field is sticky." line.long 0x8 "SD_EQ_CONTROL3_REG,Silicon Debug EQ Control 3. This viewport register returns the value for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. For more details. see the RAS DES section in the Core.." rbitfld.long 0x8 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "FORCE_REMOTE_TX_COEF_ENABLE,Force Remote Transmitter Coefficient Enable. Enables the following fields: - FORCE_REMOTE_TX_PRE_CURSOR - FORCE_REMOTE_TX_CURSOR - FORCE_REMOTE_TX_POST_CURSOR This function can only be used when GEN3_EQ_FB_MODE =.." "0,1" newline hexmask.long.word 0x8 18.--27. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x8 12.--17. 1. "FORCE_REMOTE_TX_POST_CURSOR,Force Remote Transmitter Post-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "FORCE_REMOTE_TX_CURSOR,Force Remote Transmitter Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "FORCE_REMOTE_TX_PRE_CURSOR,Force Remote Transmitter Pre-Cursor. Indicates the coefficient value of EQ Master(DSP in EQ Phase3/USP in EQ Phase2) instead of the value instructed from local phy in dirchange mode. Note: This register field is sticky." rgroup.long (0xE0+0x30C)++0xB line.long 0x0 "SD_EQ_STATUS1_REG,Silicon Debug EQ Status 1. This viewport register returns the first of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. The.." hexmask.long.tbyte 0x0 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x0 7. "EQ_REJECT_EVENT,EQ Reject Event. Indicates that the controller receives two consecutive TS1 OS w/Reject=1b during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). This bit is automatically cleared when the controller starts EQ Master phase again." "0,1" newline bitfld.long 0x0 6. "EQ_RULEC_VIOLATION,EQ Rule C Violation. Indicates that coefficients rule C violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rule C correspond to.." "0,1" newline bitfld.long 0x0 5. "EQ_RULEB_VIOLATION,EQ Rule B Violation. Indicates that coefficients rule B violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules B correspond to.." "0,1" newline bitfld.long 0x0 4. "EQ_RULEA_VIOLATION,EQ Rule A Violation. Indicates that coefficients rule A violation is detected in the values provided by PHY using direction change method during EQ Master phase(DSP in EQ Phase3/USP in EQ Phase2). The coefficients rules A correspond to.." "0,1" newline bitfld.long 0x0 3. "RSVDP_3,Reserved for future use." "0,1" newline bitfld.long 0x0 1.--2. "EQ_CONVERGENCE_INFO,EQ Convergence Info. Indicates equalization convergence information. - 0x0: Equalization is not attempted - 0x1: Equalization finished successfully - 0x2: Equalization finished unsuccessfully - 0x3: Reserved This bit is automatically.." "Equalization is not attempted,Equalization finished successfully,Equalization finished unsuccessfully,Reserved" newline bitfld.long 0x0 0. "EQ_SEQUENCE,EQ Sequence. Indicates that the controller is starting the equalization sequence. Note: This register field is sticky." "0,1" line.long 0x4 "SD_EQ_STATUS2_REG,Silicon Debug EQ Status 2. This viewport register returns the second of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." hexmask.long.byte 0x4 24.--31. 1. "EQ_LOCAL_FOM_VALUE,EQ Local Figure of Merit. Indicates Local maximum Figure of Merit value. Note: This register field is sticky." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 18.--20. "EQ_LOCAL_RX_HINT,EQ Local Receiver Preset Hint. Indicates Local Receiver Preset Hint value. If select rate in the EQ_RATE_SEL field is other than 8.0GT/s Speed this feature is not available. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x4 12.--17. 1. "EQ_LOCAL_POST_CURSOR,EQ Local Post-Cursor. Indicates Local post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 6.--11. 1. "EQ_LOCAL_CURSOR,EQ Local Cursor. Indicates Local cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x4 0.--5. 1. "EQ_LOCAL_PRE_CURSOR,EQ Local Pre-Cursor. Indicates Local pre cursor coefficient value. Note: This register field is sticky." line.long 0x8 "SD_EQ_STATUS3_REG,Silicon Debug EQ Status 3. This viewport register returns the third of three words of Silicon Debug EQ Status data for the rate and lane selected by the EQ_RATE_SEL and EQ_LANE_SEL fields in the SD_EQ_CONTROL1_REG register. Each field.." bitfld.long 0x8 30.--31. "RSVDP_30,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x8 24.--29. 1. "EQ_REMOTE_FS,EQ Remote FS. Indicates Remote FS value. Note: This register field is sticky." newline hexmask.long.byte 0x8 18.--23. 1. "EQ_REMOTE_LF,EQ Remote LF. Indicates Remote LF value. Note: This register field is sticky." newline hexmask.long.byte 0x8 12.--17. 1. "EQ_REMOTE_POST_CURSOR,EQ Remote Post-Cursor. Indicates Remote post cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 6.--11. 1. "EQ_REMOTE_CURSOR,EQ Remote Cursor. Indicates Remote cursor coefficient value. Note: This register field is sticky." newline hexmask.long.byte 0x8 0.--5. 1. "EQ_REMOTE_PRE_CURSOR,EQ Remote Pre-Cursor. Indicates Remote pre cursor coefficient value. Note: This register field is sticky." tree.end tree "PF0_SN_CAP (Device Serial Number Capability Structure)" group.long (0x0+0x170)++0xB line.long 0x0 "SN_BASE,Device Serial Number Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long.word 0x0 20.--31. 1. "SN_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "SN_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "SN_PCIE_EXTENDED_CAP_ID,Serial Number Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "SER_NUM_REG_DW_1,Serial Number 1 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x4 0.--31. 1. "SN_SER_NUM_REG_1_DW,IEEE 64 bit Device Serial Number (DW 1). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x8 "SER_NUM_REG_DW_2,Serial Number 2 Register. For a description of this standard PCIe register. see the PCI Express Base Specification." hexmask.long 0x8 0.--31. 1. "SN_SER_NUM_REG_2_DW,IEEE 64 bit Device Serial Number (DW 2). For a description of this standard PCIe register field see the PCI Express Base Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." tree.end tree "PF0_VSECRAS_CAP (PF RAS Datapath Protection Capability Structure (VSEC))" group.long (0x0+0x480)++0x3 line.long 0x0 "RASDP_EXT_CAP_HDR_OFF,PCIe Extended capability ID. Capability version and Next capability offset. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.byte 0x0 16.--19. 1. "CAP,Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note:.." newline hexmask.long.word 0x0 0.--15. 1. "ID,PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." rgroup.long (0x4+0x480)++0x3 line.long 0x0 "RASDP_VENDOR_SPECIFIC_HDR_OFF,Vendor Specific Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "VSEC_LENGTH,VSEC Length. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 16.--19. 1. "VSEC_REV,VSEC Rev. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "VSEC_ID,VSEC ID. For a description of this standard PCIe register see the PCI Express Specification. Note: This register field is sticky." group.long (0x8+0x480)++0x7 line.long 0x0 "RASDP_ERROR_PROT_CTRL_OFF,ECC error correction control. Allows you to disable ECC error correction for RAMs and datapath. When the AXI Bridge Module is implemented and the master / slave clocks are asynchronous to the PCIe native controller clock.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x0 23. "ERROR_PROT_DISABLE_CXS_RX,Error correction disable for CXS Tx path (PCIe Rx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 22. "ERROR_PROT_DISABLE_ADM_RX,Error correction disable for ADM Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 21. "ERROR_PROT_DISABLE_LAYER3_RX,Error correction disable for layer 3 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "ERROR_PROT_DISABLE_LAYER2_RX,Error correction disable for layer 2 Rx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "ERROR_PROT_DISABLE_DMA_READ,Error correction disable for DMA read engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 18. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_REQUEST,Error correction disable for AXI bridge inbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 17. "ERROR_PROT_DISABLE_AXI_BRIDGE_INBOUND_COMPLETION,Error correction disable for AXI bridge inbound completion composer. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" newline bitfld.long 0x0 16. "ERROR_PROT_DISABLE_RX,Global error correction disable for all Rx layers. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 9.--15. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "ERROR_PROT_DISABLE_DTIM_TX,Error correction disable for DTIM Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 7. "ERROR_PROT_DISABLE_CXS_TX,Error correction disable for CXS Rx path (PCIe Tx path). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 6. "ERROR_PROT_DISABLE_ADM_TX,Error correction disable for Adm Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5. "ERROR_PROT_DISABLE_LAYER3_TX,Error correction disable for layer 3 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 4. "ERROR_PROT_DISABLE_LAYER2_TX,Error correction disable for layer 2 Tx path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 3. "ERROR_PROT_DISABLE_DMA_WRITE,Error correction disable for DMA write engine. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 2. "ERROR_PROT_DISABLE_AXI_BRIDGE_OUTBOUND,Error correction disable for AXI bridge outbound request path. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 1. "ERROR_PROT_DISABLE_AXI_BRIDGE_MASTER,Error correction disable for AXI bridge master completion buffer. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_PROT_DISABLE_TX,Global error correction disable for all Tx layers. Does not disable the error detection reporting for 1-bit and 2-bit ECC errors. Note: This register field is sticky." "?,bit and 2-bit ECC errors" line.long 0x4 "RASDP_CORR_COUNTER_CTRL_OFF,Corrected error (1-bit ECC) counter selection and control. This is a viewport control register. Setting the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in this register determine the counter data returned.." hexmask.long.byte 0x4 24.--31. 1. "CORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by CORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_CORR_COUNT_REPORT_OFF register. You can cycle this field value from 0 to.." newline hexmask.long.byte 0x4 20.--23. 1. "CORR_COUNTER_SELECTION_REGION,Select correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.word 0x4 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x4 4. "CORR_EN_COUNTERS,Enable correctable errors counters. - 1: counters increment when the controller detects a correctable error - 0: counters are frozen The counters are enabled by default." "counters are frozen,counters increment when the controller detects a.." newline rbitfld.long 0x4 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 0. "CORR_CLEAR_COUNTERS,Clear all correctable error counters." "0,1" rgroup.long (0x10+0x480)++0x3 line.long 0x0 "RASDP_CORR_COUNT_REPORT_OFF,Corrected error (1-bit ECC) counter data. This viewport register returns the counter data selected by the CORR_COUNTER_SELECTION_REGION and CORR_COUNTER_SELECTION fields in the RASDP_CORR_COUNTER_CTRL_OFF register." hexmask.long.byte 0x0 24.--31. 1. "CORR_COUNTER_SELECTED,Counter selection. Returns the value set in the CORR_COUNTER_SELECTION field of the RASDP_CORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "CORR_COUNTER_SELECTED_REGION,Selected correctable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "CORR_COUNTER,Current corrected error count for the selected counter." group.long (0x14+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNTER_CTRL_OFF,Uncorrected error (2-bit ECC and parity) counter selection and control. This is a viewport control register. Setting the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in this register determine the.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTION,Counter selection. This field selects the counter ID (within the region defined by UNCORR_COUNTER_SELECTION_REGION) whose contents can be read from the RASDP_UNCORR_COUNT_REPORT_OFF register. You can cycle this field value from 0.." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTION_REGION,Select uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for.." newline hexmask.long.word 0x0 5.--19. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x0 4. "UNCORR_EN_COUNTERS,Enable uncorrectable errors counters. - 1: enables the counters to increment on detected correctable errors - 0: counters are frozen The counters are enabled by default." "counters are frozen,enables the counters to increment on detected.." newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "UNCORR_CLEAR_COUNTERS,Clear uncorrectable errors counters. When asserted causes all counters tracking the uncorrectable errors to be cleared." "0,1" rgroup.long (0x18+0x480)++0x3 line.long 0x0 "RASDP_UNCORR_COUNT_REPORT_OFF,Uncorrected error (2-bit ECC and parity) counter data. This viewport register returns the counter data selected by the UNCORR_COUNTER_SELECTION_REGION and UNCORR_COUNTER_SELECTION fields in the RASDP_UNCORR_COUNTER_CTRL_OFF.." hexmask.long.byte 0x0 24.--31. 1. "UNCORR_COUNTER_SELECTED,Counter selection. Returns the value set in the UNCORR_COUNTER_SELECTION field of the RASDP_UNCORR_COUNTER_CTRL_OFF register." newline hexmask.long.byte 0x0 20.--23. 1. "UNCORR_COUNTER_SELECTED_REGION,Selected uncorrectable counter region: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select.." newline hexmask.long.word 0x0 8.--19. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x0 0.--7. 1. "UNCORR_COUNTER,Current uncorrected error count for the selected counter" group.long (0x1C+0x480)++0x3 line.long 0x0 "RASDP_ERROR_INJ_CTRL_OFF,Error injection control for the following features: - 1-bit or 2-bit injection - Continuous or fixed-number (n) injection modes - Global enable/disable - Selectable location where injection occurs" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." newline hexmask.long.byte 0x0 16.--23. 1. "ERROR_INJ_LOC,Error injection location. Selects where error injection takes place. You can cycle this field value from 0 to 255 to access all locations according to the detailed report of check points at.." newline hexmask.long.byte 0x0 8.--15. 1. "ERROR_INJ_COUNT,Error injection count. - 0: errors are inserted in every TLP until you clear ERROR_INJ_EN. - 1: one errors injected - 2: two errors injected - n: amount of errors injected" newline rbitfld.long 0x0 6.--7. "RSVDP_6,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 4.--5. "ERROR_INJ_TYPE,Error injection type: - 0: none - 1: 1-bit - 2: 2-bit" "none,bit,bit,?" newline rbitfld.long 0x0 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0. "ERROR_INJ_EN,Error injection global enable. When set enables the error insertion logic." "0,1" rgroup.long (0x20+0x480)++0x7 line.long 0x0 "RASDP_CORR_ERROR_LOCATION_OFF,Corrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 24.--31. 1. "LOC_LAST_CORR_ERROR,Location/ID of the last corrected error within the region defined by REG_LAST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 20.--23. 1. "REG_LAST_CORR_ERROR,Region of the last corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "LOC_FIRST_CORR_ERROR,Location/ID of the first corrected error within the region defined by REG_FIRST_CORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x0 4.--7. 1. "REG_FIRST_CORR_ERROR,Region of the first corrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI bridge.." newline hexmask.long.byte 0x0 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x4 "RASDP_UNCORR_ERROR_LOCATION_OFF,Uncorrected errors locations. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 24.--31. 1. "LOC_LAST_UNCORR_ERROR,Location/ID of the last uncorrected error within the region defined by REG_LAST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 20.--23. 1. "REG_LAST_UNCORR_ERROR,Region of the last uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 16.--19. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x4 8.--15. 1. "LOC_FIRST_UNCORR_ERROR,Location/ID of the first uncorrected error within the region defined by REG_FIRST_UNCORR_ERROR. You can cycle this field value from 0 to 255 to access all counters according to the detailed report of check points at.." newline hexmask.long.byte 0x4 4.--7. 1. "REG_FIRST_UNCORR_ERROR,Region of the first uncorrected error: - 0x0: Region select for Adm Rx path - 0x1: Region select for layer 3 Rx path - 0x2: Region select for layer 2 Rx path - 0x3: Region select for DMA inbound path - 0x4: Region select for AXI.." newline hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." group.long (0x28+0x480)++0x7 line.long 0x0 "RASDP_ERROR_MODE_EN_OFF,RASDP error mode enable. The controller enters RASDP error mode (if ERROR_MODE_EN =1) upon detection of the first uncorrectable error. During this mode: - Rx TLPs that are forwarded to your application are not guaranteed to be.." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x0 1. "AUTO_LINK_DOWN_EN,Write '1' to enable the controller to bring the link down when the controller enters RASDP error mode. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 0. "ERROR_MODE_EN,Write '1' to enable the controller enter RASDP error mode when it detects an uncorrectable error. Note: This register field is sticky." "0,1" line.long 0x4 "RASDP_ERROR_MODE_CLEAR_OFF,Exit RASDP error mode. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long 0x4 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "ERROR_MODE_CLEAR,Write '1' to take the controller out of RASDP error mode. The controller will then report uncorrectable errors (through AER internal error reporting) and also stop nullifying/discarding TLPs." "0,1" rgroup.long (0x30+0x480)++0x7 line.long 0x0 "RASDP_RAM_ADDR_CORR_ERROR_OFF,RAM Address where a corrected error (1-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x0 28.--31. 1. "RAM_INDEX_CORR_ERROR,RAM index where a corrected error (1-bit ECC) has been detected." newline bitfld.long 0x0 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x0 0.--26. 1. "RAM_ADDR_CORR_ERROR,RAM Address where a corrected error (1-bit ECC) has been detected." line.long 0x4 "RASDP_RAM_ADDR_UNCORR_ERROR_OFF,RAM Address where an uncorrected error (2-bit ECC) has been detected. For more details. see the RAS Data Protection (DP) section in the Core Operations chapter of the Databook." hexmask.long.byte 0x4 28.--31. 1. "RAM_INDEX_UNCORR_ERROR,RAM index where an uncorrected error (2-bit ECC) has been detected." newline bitfld.long 0x4 27. "RSVDP_27,Reserved for future use." "0,1" newline hexmask.long 0x4 0.--26. 1. "RAM_ADDR_UNCORR_ERROR,RAM Address where an uncorrected error (2-bit ECC) has been detected." tree.end tree "PF0_RTR_CAP (PF RTR Capability Structure)" group.long (0x0+0x2F8)++0xB line.long 0x0 "RTR_EXT_CAP_HDR_OFF,Readiness Time Reporting PCI Express Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "RTR_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "RTR_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "RTR_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "READI_TIME_REPORTING1_OFF,Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x4 31. "RTR_TIME_VALID,Valid. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" "0,1" hexmask.long.byte 0x4 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "DL_UP_TIME,DL_UP Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" hexmask.long.word 0x4 0.--11. 1. "RESET_TIME,Reset Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" line.long 0x8 "READI_TIME_REPORTING2_OFF,Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x8 12.--23. 1. "D3HOT_D0_TIME,D3hot to D0 Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x8 0.--11. 1. "FLR_TIME,FLR Time. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky)" tree.end tree "PF0_RTR_CAP_DBI2 (DBI2 Shadow Block: PF RTR Capability Structure)" rgroup.long (0x4+0x12F8)++0x7 line.long 0x0 "SHADOW_READI_TIME_REPORTING1_OFF,Shadow Register Readiness Time Reporting 1. For a description of this standard PCIe register. see the PCI Express Specification." bitfld.long 0x0 31. "RTR_TIME_VALID,Shadow Valid in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." "0,1" hexmask.long.tbyte 0x0 12.--30. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "RESET_TIME,Shadow Reset Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." line.long 0x4 "SHADOW_READI_TIME_REPORTING2_OFF,Shadow Register Readiness Time Reporting 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.word 0x4 12.--23. 1. "D3HOT_D0_TIME,Shadow D3hot to D0 Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2:.." hexmask.long.word 0x4 0.--11. 1. "FLR_TIME,Shadow FLR Time in the shadow register. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access - Dbi: No access - Dbi2: if.." tree.end tree "PF0_FRSQ_CAP (PF FRSQ Capability Structure)" group.long (0x0+0x2E8)++0xB line.long 0x0 "FRSQ_EXT_CAP_HDR_OFF,FRS Queuing Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRSQ_NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "FRSQ_CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.word 0x0 0.--15. 1. "FRSQ_EXT_CAP_ID,PCI Express Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "FRSQ_CAP_OFF,FRS Queuing Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 21.--31. 1. "RSVDP_21,Reserved for future use." hexmask.long.byte 0x4 16.--20. 1. "FRS_INT_MESSAGE_NUMBER,FRS Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1).." hexmask.long.byte 0x4 12.--15. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "FRSQ_MAX_DEPTH,FRS Queue Max Depth. For a description of this standard PCIe register field see the PCI Express Specification." line.long 0x8 "FRSQ_CONTROL_FRSQ_STATUS_OFF,FRS Queuing Status and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x8 16. "FRS_INTERRUPT_ENABLE,FRS Interrupt Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.word 0x8 2.--15. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "FRS_MESSAGE_OVERFLOW,FRS Message Overflow. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x8 0. "FRS_MESSAGE_RECEIVED,FRS Message Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0xC+0x2E8)++0x3 line.long 0x0 "FRS_MESSAGE_QUEUE_OFF,FRS Message Queue Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "FRS_MESSAGE_QUE_DEPTH,FRS Message Queue Depth. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.byte 0x0 16.--19. 1. "FRS_MESSAGE_QUE_REASON,FRS Message Queue Reason. For a description of this standard PCIe register field see the PCI Express Specification." hexmask.long.word 0x0 0.--15. 1. "FRS_MESSAGE_QUE_FUNC_ID,FRS Message Queue Function ID. For a description of this standard PCIe register field see the PCI Express Specification." tree.end tree "PF0_PTM_CAP (PF PTM Capability Structure)" group.long (0x0+0x40C)++0xB line.long 0x0 "PTM_EXT_CAP_HDR_OFF,Precision Time Measurement Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "PTM_NEXT_OFFSET,Precision Time Measurement PCI Express Extended Capability Next Offset. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. -.." hexmask.long.byte 0x0 16.--19. 1. "PTM_CAP_VERSION,Precision Time Measurement PCI Express Extended Capability Version. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." hexmask.long.word 0x0 0.--15. 1. "PTM_CAP_ID,Precision Time Measurement PCI Express Extended Capability ID. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." line.long 0x4 "PTM_CAP_OFF,PTM Capability Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x4 8.--15. 1. "PTM_CLK_GRAN,PTM Local Clock Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x4 3.--7. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x4 2. "PTM_ROOT_CAPABLE,PTM Root Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" bitfld.long 0x4 1. "PTM_RES_CAPABLE,PTM Responder Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" newline bitfld.long 0x4 0. "PTM_REQ_CAPABLE,PTM Requester Capable. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." "0,1" line.long 0x8 "PTM_CONTROL_OFF,PTM Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x8 16.--31. 1. "RSVDP_16,Reserved for future use." hexmask.long.byte 0x8 8.--15. 1. "EFF_GRAN,PTM Effective Granularity. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" hexmask.long.byte 0x8 2.--7. 1. "RSVDP_2,Reserved for future use." rbitfld.long 0x8 1. "ROOT_SELECT,PTM Root Select. When set this Time Source is the PTM Root. For a description of this standard PCIe register see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: HWINIT" "0,1" bitfld.long 0x8 0. "PTM_ENABLE,PTM Enable. When set this function is permitted to participate in the PTM mechanism. For a description of this standard PCIe register see the PCI Express Specification." "0,1" tree.end tree "PF0_PTM_RES_CAP (PF PTM Responder Capability Structure (VSEC))" group.long (0x0+0x418)++0xB line.long 0x0 "PTM_RES_CAP_HDR_OFF,Precision Time Measurement Responder Capability Header (VSEC). For more details. see the PTM section in the Databook." hexmask.long.word 0x0 20.--31. 1. "PTM_RES_EXT_CAP_NEXT_OFFS,Precision Time Measurement Responder VSEC Next Pointer. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." hexmask.long.byte 0x0 16.--19. 1. "PTM_RES_EXT_CAP_VER,Precision Time Measurement Responder VSEC Version. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_EXT_CAP_ID,Precision Time Measurement Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "PTM_RES_HDR_OFF,Precision Time Measurement Responder Vendor Specific Header. For more details. see the PTM section in the Databook." hexmask.long.word 0x4 20.--31. 1. "PTM_RES_VSEC_LENGTH,PTM Responder VSEC Length. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.byte 0x4 16.--19. 1. "PTM_RES_VSEC_REV,PTM Responder VSEC Revision. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This.." hexmask.long.word 0x4 0.--15. 1. "PTM_RES_VSEC_ID,PTM Responder VSEC ID. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else R(sticky) Note: This register.." line.long 0x8 "PTM_RES_CONTROL_OFF,PTM Responder Control Register. For more details. see the PTM section in the Databook." hexmask.long 0x8 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x8 1. "PTM_RES_PDEL_BYTE_REV,PTM Requester Propagation Delay Byte Reversal - Reverse the order of bytes in the PTM Propagation Delay data word of the PTM ResponseD Message for compatibility with previous revisions. For more details see the PTM section in the.." "0,1" bitfld.long 0x8 0. "PTM_RES_CCONTEXT_VALID,PTM Responder Control Context Valid - PTM Local Timing is valid. This bit is set over the DBI. A speed change or aux_clk_active will set this bit low. For more details see the PTM section in the Databook. Note: The access.." "0,1" rgroup.long (0xC+0x418)++0x3 line.long 0x0 "PTM_RES_STATUS_OFF,PTM Responder Status Register. For more details. see the PTM section in the Databook." hexmask.long 0x0 2.--31. 1. "RSVDP_2,Reserved for future use." bitfld.long 0x0 1. "PTM_RES_REQUEST_RECEIVED,PTM 1st Request Received - PTM Responder has received the first PTM Request Message. Upon receipt of a second PTM Request Message a ResponseD message with timing information will be sent from the Responder if the context is.." "0,1" bitfld.long 0x0 0. "PTM_RES_CONTEXT_VALID,PTM Responder Status Context Valid - PTM Local Timing Context is Valid. Value set from upstream port Requester in a Switch. Shadows the same in the control register in all other products. For more details see the PTM section in the.." "0,1" group.long (0x10+0x418)++0x7 line.long 0x0 "PTM_RES_LOCAL_LSB_OFF,PTM Responder Local Clock LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_LOCAL_LSB,PTM Responder Local Clock LSB - Lower 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." line.long 0x4 "PTM_RES_LOCAL_MSB_OFF,PTM Responder Local Clock MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_LOCAL_MSB,PTM Responder Local Clock MSB - Upper 32 bits of local timer value. For more details see the PTM section in the Databook. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then.." rgroup.long (0x18+0x418)++0x1F line.long 0x0 "PTM_RES_T2_LSB_OFF,PTM Responder T2 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x0 0.--31. 1. "PTM_RES_T2_LSB,PTM Responder T2 Timestamp LSB - Lower 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_T2_MSB_OFF,PTM Responder T2 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x4 0.--31. 1. "PTM_RES_T2_MSB,PTM Responder T2 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x8 "PTM_RES_T2P_LSB_OFF,PTM Responder T2 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x8 0.--31. 1. "PTM_RES_T2P_LSB,PTM Responder T2 Previous Timestamp LSB - Lower 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0xC "PTM_RES_T2P_MSB_OFF,PTM Responder T2 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0xC 0.--31. 1. "PTM_RES_T2P_MSB,PTM Responder T2 Previous Timestamp MSB - Upper 32 bits of the previously stored T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x10 "PTM_RES_T3_LSB_OFF,PTM Responder T3 Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x10 0.--31. 1. "PTM_RES_T3_LSB,PTM Responder T3 Timestamp LSB - Lower 32 bits of the T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x14 "PTM_RES_T3_MSB_OFF,PTM Responder T3 Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x14 0.--31. 1. "PTM_RES_T3_MSB,PTM Responder T3 Timestamp MSB - Upper 32 bits of the T2 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x18 "PTM_RES_T3P_LSB_OFF,PTM Responder T3 Previous Timestamp LSB. For more details. see the PTM section in the Databook." hexmask.long 0x18 0.--31. 1. "PTM_RES_T3P_LSB,PTM Responder T3 Previous Timestamp LSB - Lower 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x1C "PTM_RES_T3P_MSB_OFF,PTM Responder T3 Previous Timestamp MSB. For more details. see the PTM section in the Databook." hexmask.long 0x1C 0.--31. 1. "PTM_RES_T3P_MSB,PTM Responder T3 Previous Timestamp MSB - Upper 32 bits of the previously stored T3 Timestamp value. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x38+0x418)++0x7 line.long 0x0 "PTM_RES_TX_LATENCY_OFF,PTM Responder TX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x0 0.--11. 1. "PTM_RES_TX_LATENCY,PTM Responder TX Latency - Responder Transmit path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." line.long 0x4 "PTM_RES_RX_LATENCY_OFF,PTM Responder RX Latency. For more details. see the PTM section in the Databook." hexmask.long.tbyte 0x4 12.--31. 1. "RSVDP_12,Reserved for future use." hexmask.long.word 0x4 0.--11. 1. "PTM_RES_RX_LATENCY,PTM Responder RX Latency - Responder Receive path latency (12 bit wide). For more details see the PTM section in the Databook. Note: This register field is sticky." rgroup.long (0x40+0x418)++0x3 line.long 0x0 "PTM_RES_NOM_CLOCK_T_OFF,PTM Responder Nominal Clock Period. For more details. see the PTM section in the Databook." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_NOM_CLOCK_T_INT,PTM Responder Nominal Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "PTM_RES_NOM_CLOCK_T_FRAC,PTM Responder Nominal Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." group.long (0x44+0x418)++0x3 line.long 0x0 "PTM_RES_SCALED_CLOCK_T_OFF,PTM Responder Scaled Clock Period. For more details. see the PTM section in the Databook." bitfld.long 0x0 31. "PTM_RES_SCALED_CLOCK_T_EN,PTM Responder Scaled Clock Period Enable - Use the programmed scaled PTM clock period rather than the nominal values. This bit is cleared when the core_clk rate starts to change and can only be set when the clock period change.." "0,1" hexmask.long.byte 0x0 24.--30. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "PTM_RES_SCALED_CLOCK_T_INT,PTM Responder Scaled Clock Period Integral - Integral part of the nominal PTM local clock period in nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PTM_RES_SCALED_CLOCK_T_FRAC,PTM Responder Scaled Clock Period Fractional - Fractional part of the nominal PTM local clock period. LSB is 1/(2^16) nanoseconds. For more details see the PTM section in the Databook. Note: This register field is sticky." tree.end tree "PF0_PM_CAP (PF PCI Power Management Capability Structure)" group.long (0x0+0x40)++0x7 line.long 0x0 "CAP_ID_NXT_PTR_REG,Power Management Capabilities Register." hexmask.long.byte 0x0 27.--31. 1. "PME_SUPPORT,PME_Support. This 5-bit field indicates the power states in which the function may generate a PME and/or forward PME messages. A value of 0b for any bit indicates that the function is not capable of asserting PME while in that power state. -.." bitfld.long 0x0 26. "D2_SUPPORT,D2_Support. If this bit is set this function supports the D2 Power Management state. Functions that do not support D2 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 25. "D1_SUPPORT,D1_Support. If this bit is set this function supports the D1 Power Management state. Functions that do not support D1 must always return a value of 0b for this bit. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x0 22.--24. "AUX_CURR,Aux_Current. This 3 bit field reports the Vaux auxiliary current requirements for the function. If this function implements the Data Register the controller hardwires this field to 000b. If PME_Support is 0 xxxxb (PME assertion from D3cold is.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 21. "DSI,Device Specific Initialization. The DSI bit indicates whether special initialization of this function is required. When set indicates that the function requires a device specific initialization sequence following a transition to the D0uninitialized.." "0,1" bitfld.long 0x0 20. "PME_IMM_READI_RETURN_DO,Immediate_Readiness_on_Return_to_D0. - If this bit is a '1' this function is guaranteed to be ready to successfully complete valid accesses immediately after being set to D0. These accesses include configuration cycles and if.." "0,1" rbitfld.long 0x0 19. "PME_CLK,PME Clock. Does not apply to PCI Express the controller hardwires it to 0b. Note: This register field is sticky." "0,1" bitfld.long 0x0 16.--18. "PM_SPEC_VER,Version. This field provides the Power Management specification version. The controller hardwires this field to 011b for functions compliant to PCI Express Base Specification Revision 4.0 Version 1.0>. Note: The access attributes of this.." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 8.--15. 1. "PM_NEXT_POINTER,Next Capability Pointer. This field provides an offset into the function's configuration space pointing to the location of next item in the capabilities list. If there are no additional items in the capabilities list this field is set to.." hexmask.long.byte 0x0 0.--7. 1. "PM_CAP_ID,Capability ID. This field returns 01h to indicate that this is the PCI Power Management Capability. Each function may have only one item in its capability list with Capability ID set to 01h." line.long 0x4 "CON_STATUS_REG,Power Management Control and Status Register. This register is used to manage the PCI function's power management state as well as to enable/monitor PMEs." hexmask.long.byte 0x4 24.--31. 1. "DATA_REG_ADD_INFO,Data. This field is used to report the state dependent data requested by the Data_Select field. The value of this field is scaled by the value reported by the Data_Scale field." rbitfld.long 0x4 23. "BUS_PWR_CLK_CON_EN,Bus Power/Clock Control Enable. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" rbitfld.long 0x4 22. "B2_B3_SUPPORT,B2B3 Support for D3hot. For a description of this standard PCIe register field see the PCI Express Base Specification." "0,1" hexmask.long.byte 0x4 16.--21. 1. "RSVDP_16,Reserved for future use." newline bitfld.long 0x4 15. "PME_STATUS,PME_Status. This bit is set when the function normally generates a PME signal. The value of this bit is not affected by the value of the PME_En bit. If PME_Support bit 31 of the Power Management Capabilities register is clear this bit is.." "0,1" rbitfld.long 0x4 13.--14. "DATA_SCALE,Data_Scale. This field indicates the scaling factor to be used when interpreting the value of the Data field. The value and meaning of this field varies depending on which data value has been selected by the Data_Select field. For more details.." "0,1,2,3" hexmask.long.byte 0x4 9.--12. 1. "DATA_SELECT,Data_Select. This 4-bit field is used to select which data is to be reported through the Data and Data_Scale field. If the Data field is not implemented this field must be hardwired to 0000b." bitfld.long 0x4 8. "PME_ENABLE,PME_En. - When set the function is permitted to generate a PME. - When clear the function is not permitted to generate a PME. If PME_Support is 1 xxxxb (PME generation from D3cold) or the function consumes Aux power and Aux power is.." "0,1" newline hexmask.long.byte 0x4 4.--7. 1. "RSVDP_4,Reserved for future use." bitfld.long 0x4 3. "NO_SOFT_RST,No_Soft_Reset. This bit indicates the state of the function after writing the PowerState field to transition the function from D3hot to D0. - When set this transition preserves internal function state. The function is in D0Active and no.." "0,1" rbitfld.long 0x4 2. "RSVDP_2,Reserved for future use." "0,1" bitfld.long 0x4 0.--1. "POWER_STATE,PowerState. This 2-bit field is used both to determine the current power state of a function and to set the function into a new power state. The definition of the field values is given below. You can write to this register; however the.." "D0,D1,D2,D3hot" tree.end tree "PF0_PCIE_CAP (PF PCI Express Capability Structure)" group.long (0x0+0x70)++0x33 line.long 0x0 "PCIE_CAP_ID_PCIE_NEXT_CAP_PTR_PCIE_CAP_REG,PCI Express Capabilities. ID. Next Pointer Register." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" rbitfld.long 0x0 30. "RSVD,Reserved." "0,1" newline hexmask.long.byte 0x0 25.--29. 1. "PCIE_INT_MSG_NUM,PCIE Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Base Specification. Interrupt Message Number. This field indicates which MSI/MSI-X vector is used for the interrupt message.." bitfld.long 0x0 24. "PCIE_SLOT_IMP,Slot Implemented. When set this bit indicates that the Link associated with this Port is connected to a slot (as compared to being connected to a system-integrated device or being disabled). This bit is valid for Downstream Ports. This bit.." "0,1" newline hexmask.long.byte 0x0 20.--23. 1. "PCIE_DEV_PORT_TYPE,Device/Port Type. Indicates the specific type of this PCI Express function. Note: Different functions in a Multi-Function Device can generally be of different types. Defined encodings for functions that implement a Type 00h PCI.." hexmask.long.byte 0x0 16.--19. 1. "PCIE_CAP_REG,Capability Version. Indicates PCI-SIG defined PCI Express Capability structure version number. A version of the specification that changes the PCI Express Capability structure in a way that is not otherwise identifiable (for example through.." newline hexmask.long.byte 0x0 8.--15. 1. "PCIE_CAP_NEXT_PTR,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No access." hexmask.long.byte 0x0 0.--7. 1. "PCIE_CAP_ID,Capability ID. Indicates the PCI Express Capability structure. This field must return a Capability ID of 10h indicating that this is a PCI Express Capability structure." line.long 0x4 "DEVICE_CAPABILITIES_REG,Device Capabilities Register. The Device Capabilities register identifies PCI Express device function specific capabilities." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x4 15. "PCIE_CAP_ROLE_BASED_ERR_REPORT,Role-Based Error Reporting. When set this bit indicates that the function implements the functionality originally defined in the Error Reporting ECN for PCI Express Base Specification Revision 1.0a and later incorporated.." "0,1" newline hexmask.long.word 0x4 6.--14. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "PCIE_CAP_EXT_TAG_SUPP,Extended Tag Field Supported. This bit in combination with the 10-Bit Tag Requester Supported bit in the Device Capabilities 2 register indicates the maximum supported size of the Tag field as a Requester. This bit must be set if.." "0,1" newline bitfld.long 0x4 3.--4. "PCIE_CAP_PHANTOM_FUNC_SUPPORT,Phantom Functions Supported. This field indicates the support for use of unclaimed function numbers to extend the number of outstanding transactions allowed by logically combining unclaimed function numbers (called Phantom.." "0,1,2,3" bitfld.long 0x4 0.--2. "PCIE_CAP_MAX_PAYLOAD_SIZE,Max_Payload_Size Supported. This field indicates the maximum payload size that the function can support for TLPs. Defined encodings are: - 000b: 128 bytes max payload size - 001b: 256 bytes max payload size - 010b: 512 bytes max.." "0,1,2,3,4,5,6,7" line.long 0x8 "DEVICE_CONTROL_DEVICE_STATUS,Device Control and Device Status Register. This register controls PCI Express device specific parameters and provides information about PCI Express device (function) specific parameters." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." rbitfld.long 0x8 21. "PCIE_CAP_TRANS_PENDING,Transactions Pending. Endpoints: When set this bit indicates that the function has issued Non-Posted Requests that have not been completed. A Function reports this bit cleared only when all outstanding Non-Posted Requests have.." "0,1" newline rbitfld.long 0x8 20. "PCIE_CAP_AUX_POWER_DETECTED,AUX Power Detected. Functions that require Aux power report this bit as set if Aux power is detected by the function. This bit is derived by sampling the sys_aux_pwr_det input." "0,1" bitfld.long 0x8 19. "PCIE_CAP_UNSUPPORTED_REQ_DETECTED,Unsupported Request Detected. This bit indicates that the function received an Unsupported Request. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control.." "0,1" newline bitfld.long 0x8 17. "PCIE_CAP_NON_FATAL_ERR_DETECTED,Non-Fatal Error Detected. This bit indicates status of Non-fatal errors detected. Errors are logged in this register regardless of whether error reporting is enabled or not in the Device Control register. For a.." "0,1" bitfld.long 0x8 12.--14. "PCIE_CAP_MAX_READ_REQ_SIZE,Max_Read_Request_Size. This field sets the maximum Read Request size for the function as a Requester. The function must not generate Read Requests with a size exceeding the set value. Defined encodings for this field are: -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x8 11. "PCIE_CAP_EN_NO_SNOOP,Enable No Snoop. If this bit is set the function is permitted to Set the No Snoop bit in the Requester Attributes of transactions it initiates that do not require hardware enforced cache coherency (see section 2.2.6.5 in PCI Express.." "0,1" bitfld.long 0x8 10. "PCIE_CAP_AUX_POWER_PM_EN,Aux Power PM Enable. This bit is derived by sampling the sys_aux_pwr_det input. When set this bit enables a function to draw Aux power independent of PME Aux power. Functions that require Aux power on legacy operating systems.." "0,1" newline rbitfld.long 0x8 9. "PCIE_CAP_PHANTOM_FUNC_EN,Phantom Functions Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester.." "0,1" rbitfld.long 0x8 8. "PCIE_CAP_EXT_TAG_EN,Extended Tag Field Enable. This bit in combination with the 10-Bit Tag Requester Enable bit in the Device Control 2 register determines how many Tag field bits a Requester is permitted to use. When the 10-Bit Tag Requester Enable.." "0,1" newline bitfld.long 0x8 5.--7. "PCIE_CAP_MAX_PAYLOAD_SIZE_CS,Max_Payload_Size. This field sets maximum TLP payload size for the Function. As a Receiver the Function must handle TLPs as large as the set value. As a Transmitter the Function must not generate TLPs exceeding the set.." "0,1,2,3,4,5,6,7" bitfld.long 0x8 4. "PCIE_CAP_EN_REL_ORDER,Enable Relaxed Ordering. If this bit is set the function is permitted to set the Relaxed Ordering bit in the Attributes field of transactions it initiates that do not require strong write ordering (for more details see section.." "0,1" newline bitfld.long 0x8 3. "PCIE_CAP_UNSUPPORT_REQ_REP_EN,Unsupported Request Reporting Enable. This bit in conjunction with other bits controls the signaling of Unsupported Request Errors by sending error Messages (for more details see section 6.2.5 and section 6.2.6 of PCI.." "0,1" bitfld.long 0x8 2. "PCIE_CAP_FATAL_ERR_REPORT_EN,Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_FATAL Messages (for more details see section 6.2.5 and section 6.2.6 of of PCI Express Base Specification). For a Multi-Function.." "0,1" newline bitfld.long 0x8 1. "PCIE_CAP_NON_FATAL_ERR_REPORT_EN,Non-Fatal Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_NONFATAL Messages (for more details see section 6.2.5 and Section 6.2.6 of PCI Express Base Specification). For a.." "0,1" bitfld.long 0x8 0. "PCIE_CAP_CORR_ERR_REPORT_EN,Correctable Error Reporting Enable. This bit in conjunction with other bits controls sending ERR_COR Messages (for more details see section 6.2.5 section 6.2.6 and section 6.2.10.2 of PCI Express Base Specification). For.." "0,1" line.long 0xC "LINK_CAPABILITIES_REG,Link Capabilities Register. The Link Capabilities register identifies PCI Express Link specific capabilities." hexmask.long.byte 0xC 24.--31. 1. "PCIE_CAP_PORT_NUM,Port Number. This field indicates the PCI Express Port number for the given PCI Express Link. Multi-Function Devices associated with an Upstream Port must report the same value in this field for all functions. Note: The access.." rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0xC 22. "PCIE_CAP_ASPM_OPT_COMPLIANCE,ASPM Optionality Compliance. This bit must be set to 1b in all functions. Components implemented against certain earlier versions of this specification will have this bit set to 0b. Software is permitted to use the value of.." "0,1" bitfld.long 0xC 21. "PCIE_CAP_LINK_BW_NOT_CAP,Link Bandwidth Notification Capability. A value of 1b indicates support for the Link Bandwidth Notification status and interrupt mechanisms. This capability is required for all Root Ports and Switch Downstream Ports supporting.." "0,1" newline rbitfld.long 0xC 20. "PCIE_CAP_DLL_ACTIVE_REP_CAP,Data Link Layer Link Active Reporting Capable. For a Downstream Port the controller hardwires this bit to 1b if the component supports the optional capability of reporting the DL_Active state of the Data Link Control and.." "0,1" bitfld.long 0xC 19. "PCIE_CAP_SURPRISE_DOWN_ERR_REP_CAP,Surprise Down Error Reporting Capable. For a Downstream Port this bit must be set if the component supports the optional capability of detecting and reporting a Surprise Down error condition. For Upstream Ports and.." "0,1" newline rbitfld.long 0xC 18. "PCIE_CAP_CLOCK_POWER_MAN,Clock Power Management. For Upstream Ports a value of 1b in this bit indicates that the component tolerates the removal of any reference clock(s) via the 'clock request' (CLKREQ#) mechanism when the Link is in the L1 and L2/L3.." "0,1" bitfld.long 0xC 15.--17. "PCIE_CAP_L1_EXIT_LATENCY,L1 Exit Latency. This field indicates the L1 exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from ASPM L1 to L0. If ASPM L1 is not supported.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 12.--14. "PCIE_CAP_L0S_EXIT_LATENCY,L0s Exit Latency. This field indicates the L0s exit latency for the given PCI Express Link. The value reported indicates the length of time this Port requires to complete transition from L0s to L0. If L0s is not supported the.." "0,1,2,3,4,5,6,7" bitfld.long 0xC 10.--11. "PCIE_CAP_ACTIVE_STATE_LINK_PM_SUPPORT,Active State Power Management (ASPM) Support. This field indicates the level of ASPM supported on the given PCI Express Link. For more details on ASPM support requirements see section 5.4.1 of PCI Express Base.." "0,1,2,3" newline hexmask.long.byte 0xC 4.--9. 1. "PCIE_CAP_MAX_LINK_WIDTH,Maximum Link Width. This field indicates the maximum Link width (xN - corresponding to N Lanes) implemented by the component. This value is permitted to exceed the number of Lanes routed to the slot (Downstream Port) adapter.." hexmask.long.byte 0xC 0.--3. 1. "PCIE_CAP_MAX_LINK_SPEED,Max Link Speed. This field indicates the maximum Link speed of the associated Port. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to the.." line.long 0x10 "LINK_CONTROL_LINK_STATUS_REG,Link Control and Link Status Register. This register controls and provides information about PCI Express Link specific parameters." bitfld.long 0x10 31. "PCIE_CAP_LINK_AUTO_BW_STATUS,Link Autonomous Bandwidth Status. This bit is set by hardware to indicate that hardware has autonomously changed Link speed or width without the Port transitioning through DL_Down status for reasons other than to attempt to.." "0,1" bitfld.long 0x10 30. "PCIE_CAP_LINK_BW_MAN_STATUS,Link Bandwidth Management Status. This bit is set by hardware to indicate that either of the following has occurred without the Port transitioning through DL_Down status: - A Link retraining has completed following a write of.." "0,1" newline rbitfld.long 0x10 29. "PCIE_CAP_DLL_ACTIVE,Data Link Layer Link Active. This bit indicates the status of the Data Link Control and Management State Machine. It returns a 1b to indicate the DL_Active state 0b otherwise. This bit must be implemented if the Data Link Layer Link.." "0,1" bitfld.long 0x10 28. "PCIE_CAP_SLOT_CLK_CONFIG,Slot Clock Configuration. This bit indicates that the component uses the same physical reference clock that the platform provides on the connector. If the device uses an independent clock irrespective of the presence of a.." "0,1" newline rbitfld.long 0x10 27. "PCIE_CAP_LINK_TRAINING,Link Training. This read-only bit indicates that the Physical Layer LTSSM is in the Configuration or Recovery state or that 1b was written to the Retrain Link bit but Link training has not yet begun. Hardware clears this bit when.." "0,1" rbitfld.long 0x10 26. "RSVDP_26,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 20.--25. 1. "PCIE_CAP_NEGO_LINK_WIDTH,Negotiated Link Width. This field indicates the negotiated width of the given PCI Express Link. Defined encodings are: -00 0001b: x1 -00 0010b: x2 -00 0100b: x4 -00 1000b: x8 -00 1100b: x12 -01 0000b: x16 -10 0000b: x32 All other.." hexmask.long.byte 0x10 16.--19. 1. "PCIE_CAP_LINK_SPEED,Current Link Speed. This field indicates the negotiated Link speed of the given PCI Express Link. The encoded value specifies a bit location in the Supported Link Speeds Vector (in the Link Capabilities 2 register) that corresponds to.." newline bitfld.long 0x10 14.--15. "PCIE_CAP_DRS_SIGNALING_CONTROL,DRS Signaling Control. Indicates the mechanism used to report reception of a DRS message. Must be implemented for Downstream Ports with the DRS Supported bit Set in the Link Capabilities 2 Register. Encodings are: - 00b:.." "0,1,2,3" rbitfld.long 0x10 12.--13. "RSVDP_12,Reserved for future use." "0,1,2,3" newline bitfld.long 0x10 11. "PCIE_CAP_LINK_AUTO_BW_INT_EN,Link Autonomous Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Autonomous Bandwidth Status bit has been set. The write value is gated with the.." "0,1" bitfld.long 0x10 10. "PCIE_CAP_LINK_BW_MAN_INT_EN,Link Bandwidth Management Interrupt Enable. When set this bit enables the generation of an interrupt to indicate that the Link Bandwidth Management Status bit has been set. The write value is gated with the.." "0,1" newline bitfld.long 0x10 9. "PCIE_CAP_HW_AUTO_WIDTH_DISABLE,Hardware Autonomous Width Disable. When set this bit disables hardware from changing the Link width for reasons other than attempting to correct unreliable Link operation by reducing Link width. For a Multi-Function Device.." "0,1" bitfld.long 0x10 8. "PCIE_CAP_EN_CLK_POWER_MAN,Enable Clock Power Management. Applicable only for Upstream Ports and with form factors that support a 'Clock Request' (CLKREQ#) mechanism this bit operates as follows: - 0b: Clock power management is disabled and device must.." "0,1" newline bitfld.long 0x10 7. "PCIE_CAP_EXTENDED_SYNCH,Extended Synch. When set this bit forces the transmission of additional Ordered Sets when exiting the L0s state (see section 4.2.4.5 of PCI Express Base Specification) and when in the Recovery state (see section 4.2.6.4.1 of PCI.." "0,1" bitfld.long 0x10 6. "PCIE_CAP_COMMON_CLK_CONFIG,Common Clock Configuration. When set this bit indicates that this component and the component at the opposite end of this Link are operating with a distributed common reference clock. A value of 0b indicates that this.." "0,1" newline bitfld.long 0x10 5. "PCIE_CAP_RETRAIN_LINK,Retrain Link. A write of 1b to this bit initiates Link retraining by directing the Physical Layer LTSSM to the Recovery state. If the LTSSM is already in Recovery or Configuration re-entering Recovery is permitted but not required." "0,1" bitfld.long 0x10 4. "PCIE_CAP_LINK_DISABLE,Link Disable. This bit disables the Link by directing the LTSSM to the Disabled state when set; this bit is Reserved on Endpoints PCI Express to PCI/PCI-X bridges and Upstream Ports of Switches. Writes to this bit are immediately.." "0,1" newline bitfld.long 0x10 3. "PCIE_CAP_RCB,Read Completion Boundary (RCB). Root Ports: Indicates the RCB value for the Root Port. Refer to section 2.3.1.1 of PCI Express Base Specification for the definition of the parameter RCB. Defined encodings are: - 0b: 64 byte - 1b: 128 byte.." "0,1" rbitfld.long 0x10 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x10 0.--1. "PCIE_CAP_ACTIVE_STATE_LINK_PM_CONTROL,Active State Power Management (ASPM) Control. This field controls the level of ASPM enabled on the given PCI Express Link. See section 5.4.1.3 of PCI Express Base Specification for requirements on when and how to.." "0,1,2,3" line.long 0x14 "SLOT_CAPABILITIES_REG,Slot Capabilities Register. The Slot Capabilities register identifies PCI Express slot specific capabilities." hexmask.long.word 0x14 19.--31. 1. "PCIE_CAP_PHY_SLOT_NUM,Physical Slot Number. This field indicates the physical slot number attached to this Port. This field must be hardware initialized to a value that assigns a slot number that is unique within the chassis regardless of the form.." bitfld.long 0x14 18. "PCIE_CAP_NO_CMD_CPL_SUPPORT,No Command Completed Support. When set this bit indicates that this slot does not generate software notification when an issued command is completed by the Hot-Plug Controller. This bit is only permitted to be set if the.." "0,1" newline bitfld.long 0x14 17. "PCIE_CAP_ELECTROMECH_INTERLOCK,Electromechanical Interlock Present. When set this bit indicates that an Electromechanical Interlock is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access." "0,1" bitfld.long 0x14 15.--16. "PCIE_CAP_SLOT_POWER_LIMIT_SCALE,Slot Power Limit Scale. Specifies the scale used for the Slot Power Limit Value (for more details see Section 6.9 of PCI Express Base Specification). Range of Values: - 00b: 1.0x - 01b: 0.1x - 10b: 0.01x - 11b: 0.001x.." "0,1,2,3" newline hexmask.long.byte 0x14 7.--14. 1. "PCIE_CAP_SLOT_POWER_LIMIT_VALUE,Slot Power Limit Value. In combination with the Slot Power Limit Scale value specifies the upper limit on power supplied by the slot (for more detais see Section 6.9 of PCI Express Base Specification) or by other means.." bitfld.long 0x14 6. "PCIE_CAP_HOT_PLUG_CAPABLE,Hot-Plug Capable. When set this bit indicates that this slot is capable of supporting hot-plug operations. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 5. "PCIE_CAP_HOT_PLUG_SURPRISE,Hot-Plug Surprise. When set this bit indicates that an adapter present in this slot might be removed from the system without any prior notification. This is a form factor specific capability. This bit is an indication to the.." "0,1" bitfld.long 0x14 4. "PCIE_CAP_POWER_INDICATOR,Power Indicator Present. When set this bit indicates that a Power Indicator is electrically controlled by the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" newline bitfld.long 0x14 3. "PCIE_CAP_ATTENTION_INDICATOR,Attention Indicator Present. When set this bit indicates that an Attention Indicator is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if.." "0,1" bitfld.long 0x14 2. "PCIE_CAP_MRL_SENSOR,MRL Sensor Present. When set this bit indicates that an MRL Sensor is implemented on the chassis for this slot. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W else R" "0,1" newline bitfld.long 0x14 1. "PCIE_CAP_POWER_CONTROLLER,Power Controller Present. When set this bit indicates that a software programmable Power Controller is implemented for this slot/adapter (depending on form factor). Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x14 0. "PCIE_CAP_ATTENTION_INDICATOR_BUTTON,Attention Button Present. When set this bit indicates that an Attention Button for this slot is electrically controlled by the chassis. Note: The access attributes of this field are as follows: - Wire: No access. -.." "0,1" line.long 0x18 "SLOT_CONTROL_SLOT_STATUS,Slot Control and Status Register. This register controls and provides information about PCI Express Slot specific parameters." hexmask.long.byte 0x18 25.--31. 1. "RSVDP_25,Reserved for future use." bitfld.long 0x18 24. "PCIE_CAP_DLL_STATE_CHANGED,Data Link Layer State Changed. This bit is set when the value reported in the Data Link Layer Link Active bit of the Link Status register is changed. In response to a Data Link Layer State Changed event software must read the.." "0,1" newline rbitfld.long 0x18 23. "PCIE_CAP_ELECTROMECH_INTERLOCK_STATUS,Electromechanical Interlock Status. If an Electromechanical Interlock is implemented this bit indicates the status of the Electromechanical Interlock. Defined encodings are: - 0b: Electromechanical Interlock.." "0,1" rbitfld.long 0x18 22. "PCIE_CAP_PRESENCE_DETECT_STATE,Presence Detect State. This bit indicates the presence of an adapter in the slot reflected by the logical 'OR' of the Physical Layer in-band presence detect mechanism and if present any out-of-band presence detect.." "0,1" newline rbitfld.long 0x18 21. "PCIE_CAP_MRL_SENSOR_STATE,MRL Sensor State. This bit reports the status of the MRL sensor if implemented. Defined encodings are: - 0b: MRL Closed - 1b: MRL Open" "0,1" bitfld.long 0x18 20. "PCIE_CAP_CMD_CPLD,Command Completed. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) this bit is set when a hot-plug command has completed and the Hot-Plug Controller is.." "0,1" newline bitfld.long 0x18 19. "PCIE_CAP_PRESENCE_DETECTED_CHANGED,Presence Detect Changed. This bit is set when the value reported in the Presence Detect State bit is changed." "0,1" bitfld.long 0x18 18. "PCIE_CAP_MRL_SENSOR_CHANGED,MRL Sensor Changed. If an MRL sensor is implemented this bit is set when a MRL Sensor state change is detected. If an MRL sensor is not implemented this bit must not be set." "0,1" newline bitfld.long 0x18 17. "PCIE_CAP_POWER_FAULT_DETECTED,Power Fault Detected. If a Power Controller that supports power fault detection is implemented this bit issSet when the Power Controller detects a power fault at this slot. Note: Depending on hardware capability it is.." "0,1" bitfld.long 0x18 16. "PCIE_CAP_ATTENTION_BUTTON_PRESSED,Attention Button Pressed. If an Attention Button is implemented this bit is set when the attention button is pressed. If an Attention Button is not supported this bit must not be set." "0,1" newline rbitfld.long 0x18 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" bitfld.long 0x18 12. "PCIE_CAP_DLL_STATE_CHANGED_EN,Data Link Layer State Changed Enable. If the Data Link Layer Link Active Reporting capability is 1b this bit enables software notification when Data Link Layer Link Active bit is changed. If the Data Link Layer Link Active.." "0,1" newline bitfld.long 0x18 11. "PCIE_CAP_ELECTROMECH_INTERLOCK_CTRL,Electromechanical Interlock Control. If an Electromechanical Interlock is implemented a write of 1b to this bit causes the state of the interlock to toggle. A write of 0b to this bit has no effect. A read of this bit.." "0,1" bitfld.long 0x18 10. "PCIE_CAP_POWER_CONTROLLER_CTRL,Power Controller Control. If a Power Controller is implemented this bit when written sets the power state of the slot per the defined encodings. Reads of this bit must reflect the value from the latest write even if the.." "0,1" newline bitfld.long 0x18 8.--9. "PCIE_CAP_POWER_INDICATOR_CTRL,Power Indicator Control. If a Power Indicator is implemented writes to this field set the Power Indicator to the written state. Reads of this field must reflect the value from the latest write even if the corresponding.." "0,1,2,3" bitfld.long 0x18 6.--7. "PCIE_CAP_ATTENTION_INDICATOR_CTRL,Attention Indicator Control. If an Attention Indicator is implemented writes to this field set the Attention Indicator to the written state. Reads of this field must reflect the value from the latest write even if the.." "0,1,2,3" newline bitfld.long 0x18 5. "PCIE_CAP_HOT_PLUG_INT_EN,Hot-Plug Interrupt Enable. When set this bit enables generation of an interrupt on enabled hot-plug events. If the Hot Plug Capable bit in the Slot Capabilities register is clear this bit is permitted to be read-only with a.." "0,1" bitfld.long 0x18 4. "PCIE_CAP_CMD_CPL_INT_EN,Command Completed Interrupt Enable. If Command Completed notification is supported (if the No Command Completed Support bit in the Slot Capabilities register is 0b) when set this bit enables software notification when a hot-plug.." "0,1" newline bitfld.long 0x18 3. "PCIE_CAP_PRESENCE_DETECT_CHANGE_EN,Presence Detect Changed Enable. When set this bit enables software notification on a presence detect changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Hot-Plug Capable bit in.." "0,1" bitfld.long 0x18 2. "PCIE_CAP_MRL_SENSOR_CHANGED_EN,MRL Sensor Changed Enable. When set this bit enables software notification on a MRL sensor changed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the MRL Sensor Present bit in the Slot.." "0,1" newline bitfld.long 0x18 1. "PCIE_CAP_POWER_FAULT_DETECTED_EN,Power Fault Detected Enable. When set this bit enables software notification on a power fault event (for more details see Section 6.7.3 of PCI Express Base Specification). If a Power Controller that supports power fault.." "0,1" bitfld.long 0x18 0. "PCIE_CAP_ATTENTION_BUTTON_PRESSED_EN,Attention Button Pressed Enable. When set to 1b this bit enables software notification on an attention button pressed event (for more details see Section 6.7.3 of PCI Express Base Specification). If the Attention.." "0,1" line.long 0x1C "ROOT_CONTROL_ROOT_CAPABILITIES_REG,Root Control and Capabilities Register. This register controls PCI Express Root Complex specific parameters and identifies PCI Express Root Port specific capabilities." hexmask.long.word 0x1C 17.--31. 1. "RSVDP_17,Reserved for future use." bitfld.long 0x1C 16. "PCIE_CAP_CRS_SW_VISIBILITY,CRS Software Visibility Capable. For a description of this standard PCIe register field see the PCI Express Base Specification. CRS Software Visibility. When set this bit indicates that the Root Port is capable of returning.." "0,1" newline hexmask.long.word 0x1C 5.--15. 1. "RSVDP_5,Reserved for future use." bitfld.long 0x1C 4. "PCIE_CAP_CRS_SW_VISIBILITY_EN,CRS Software Visibility Enable. When set this bit enables the Root Port to return Configuration Request Retry Status (CRS) Completion Status to software (for more details see section 2.3.1 of PCI Express Base.." "0,1" newline bitfld.long 0x1C 3. "PCIE_CAP_PME_INT_EN,PME Interrupt Enable. When set this bit enables PME interrupt generation upon receipt of a PME Message as reflected in the PME Status bit (for more details see Table 7-29 of PCI Express Base Specification). A PME interrupt is also.." "0,1" bitfld.long 0x1C 2. "PCIE_CAP_SYS_ERR_ON_FATAL_ERR_EN,System Error on Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Fatal error (ERR_FATAL) is reported by any of the devices in the Hierarchy Domain associated with this Root Port.." "0,1" newline bitfld.long 0x1C 1. "PCIE_CAP_SYS_ERR_ON_NON_FATAL_ERR_EN,System Error on Non-Fatal Error Enable. If set this bit indicates that a System Error should be generated if a Non-fatal error (ERR_NONFATAL) is reported by any of the devices in the Hierarchy Domain associated with.." "0,1" bitfld.long 0x1C 0. "PCIE_CAP_SYS_ERR_ON_CORR_ERR_EN,System Error on Correctable Error Enable. If set this bit indicates that a System Error should be generated if a correctable error (ERR_COR) is reported by any of the devices in the Hierarchy Domain associated with this.." "0,1" line.long 0x20 "ROOT_STATUS_REG,Root Status Register. The Root Status register provides information about PCI Express device specific parameters." hexmask.long.word 0x20 18.--31. 1. "RSVDP_18,Reserved for future use." rbitfld.long 0x20 17. "PCIE_CAP_PME_PENDING,PME Pending. This bit indicates that another PME is pending when the PME Status bit is s et. When the PME Status bit is cleared by software; the PME is delivered by hardware by setting the PME Status bit again and updating the PME.." "0,1" newline bitfld.long 0x20 16. "PCIE_CAP_PME_STATUS,PME Status. This bit indicates that PME was asserted by the PME Requester indicated in the PME Requester ID field. Subsequent PMEs are kept pending until the status register is cleared by software by writing a 1b." "0,1" hexmask.long.word 0x20 0.--15. 1. "PCIE_CAP_PME_REQ_ID,PME Requester ID. This field indicates the PCI Requester ID of the last PME Requester. This field is only valid when the PME Status bit is set." line.long 0x24 "DEVICE_CAPABILITIES2_REG,Device Capabilities 2 Register." bitfld.long 0x24 31. "PCIE_CAP_FRS_SUPPORTED,FRS Supported. When set indicates support for the optional Function Readiness Status (FRS) capability. Must be set for all Functions that support generation or reception capabilities of FRS Messages. Must not be set by Switch.." "0,1" hexmask.long.byte 0x24 24.--30. 1. "RSVDP_24,Reserved for future use." newline rbitfld.long 0x24 17. "PCIE_CAP2_10_BIT_TAG_REQ_SUPPORT,10-Bit Tag Requester Supported. If this bit is set the Function supports 10-Bit Tag Requester capability; otherwise the Function does not. This bit must not be set if the 10-Bit Tag Completer Supported bit is clear." "0,1" rbitfld.long 0x24 16. "PCIE_CAP2_10_BIT_TAG_COMP_SUPPORT,10-Bit Tag Completer Supported. If this bit is set the Function supports 10-Bit Tag Completer capability; otherwise the Function does not. For more details see section 2.2.6.2. of PCI Express Base Specification." "0,1" newline rbitfld.long 0x24 13. "PCIE_CAP_TPH_CMPLT_SUPPORT_1,TPH Completer Supported Bit 1." "0,1" rbitfld.long 0x24 12. "PCIE_CAP_TPH_CMPLT_SUPPORT_0,TPH Completer Supported Bit 0. Value of this bit along with TPH Completer Supported Bit 1 indicates Completer support for TPH or Extended TPH. Applicable only to Root Ports and Endpoints. For all other Functions this field.." "0,1" newline bitfld.long 0x24 11. "PCIE_CAP_LTR_SUPP,LTR Mechanism Supported. A value of 1b indicates support for the optional Latency Tolerance Reporting (LTR) mechanism. Root Ports Switches and Endpoints are permitted to implement this capability. For a Multi-Function Device associated.." "0,1" rbitfld.long 0x24 10. "PCIE_CAP_NO_RO_EN_PR2PR_PAR,No RO-enabled PR-PR Passing. If this bit is set the routing element never carries out the passing permitted by Table 2-39 of PCI Express Base Specification entry A2b that is associated with the Relaxed Ordering Attribute.." "0,1" newline rbitfld.long 0x24 9. "PCIE_CAP_128_CAS_CPL_SUPP,128-bit CAS Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. This bit must be set to 1b if the Function supports this optional capability. For more details see.." "0,1" rbitfld.long 0x24 8. "PCIE_CAP_64_ATOMIC_CPL_SUPP,64-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" newline rbitfld.long 0x24 7. "PCIE_CAP_32_ATOMIC_CPL_SUPP,32-bit AtomicOp Completer Supported. Applicable to Functions with Memory Space BARs as well as all Root Ports; must be 0b otherwise. Includes FetchAdd Swap and CAS AtomicOps. This bit must be set to 1b if the Function.." "0,1" rbitfld.long 0x24 6. "PCIE_CAP_ATOMIC_ROUTING_SUPP,AtomicOp Routing Supported. Applicable only to Switch Upstream Ports Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if the Port supports this optional capability. For.." "0,1" newline rbitfld.long 0x24 5. "PCIE_CAP_ARI_FORWARD_SUPPORT,ARI Forwarding Supported. Applicable only to Switch Downstream Ports and Root Ports; must be 0b for other Function types. This bit must be set to 1b if a Switch Downstream Port or Root Port supports this optional capability." "0,1" rbitfld.long 0x24 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE_SUPPORT,Completion Timeout Disable Supported. A value of 1b indicates support for the Completion Timeout Disable mechanism. The Completion Timeout Disable mechanism is required for Endpoints that issue Requests on their own.." "0,1" newline hexmask.long.byte 0x24 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_RANGE,Completion Timeout Ranges Supported. This field indicates device Function support for the optional Completion Timeout programmability mechanism. This mechanism allows system software to modify the Completion Timeout value. This.." line.long 0x28 "DEVICE_CONTROL2_DEVICE_STATUS2_REG,Device Control 2 and Status 2 Register." bitfld.long 0x28 10. "PCIE_CAP_LTR_EN,LTR Mechanism Enable. When set to 1b this bit enables Upstream Ports to send LTR messages and Downstream Ports to process LTR Messages. For a Multi-Function Device associated with an Upstream Port of a device that implements LTR the bit.." "0,1" rbitfld.long 0x28 5. "PCIE_CAP_ARI_FORWARD_SUPPORT_CS,ARI Forwarding Enable. When set the Downstream Port disables its traditional Device Number field being 0 enforcement when turning a Type 1 Configuration Request into a Type 0 Configuration Request permitting access to.." "0,1" newline bitfld.long 0x28 4. "PCIE_CAP_CPL_TIMEOUT_DISABLE,Completion Timeout Disable. When set this bit disables the Completion Timeout mechanism. This bit is required for all Functions that support the Completion Timeout Disable Capability. Functions that do not support this.." "0,1" hexmask.long.byte 0x28 0.--3. 1. "PCIE_CAP_CPL_TIMEOUT_VALUE,Completion Timeout Value. In device Functions that support Completion Timeout programmability this field allows system software to modify the Completion Timeout value. This field is applicable to Root Ports Endpoints that.." line.long 0x2C "LINK_CAPABILITIES2_REG,Link Capabilities 2 Register." bitfld.long 0x2C 31. "DRS_SUPPORTED,DRS Supported. When set indicates support for the optional Device Readiness Status (DRS) capability. Must be Set in Downstream Ports that support DRS. Must be Set in Downstream Ports that support FRS. For Upstream Ports that support DRS.." "0,1" hexmask.long.byte 0x2C 25.--30. 1. "RSVDP_25,Reserved for future use." newline hexmask.long.word 0x2C 9.--22. 1. "RSVDP_9,Reserved for future use." rbitfld.long 0x2C 8. "PCIE_CAP_CROSS_LINK_SUPPORT,Crosslink Supported. When set to 1b this bit indicates that the associated Port supports crosslinks (for more details see section 4.2.6.3.1 of PCI Express Base Specification). When set to 0b on a Port that supports Link.." "0,1" newline hexmask.long.byte 0x2C 1.--7. 1. "PCIE_CAP_SUPPORT_LINK_SPEED_VECTOR,Supported Link Speeds Vector. This field indicates the supported Link speed(s) of the associated Port. For each bit a value of 1b indicates that the corresponding Link speed is supported; otherwise the Link speed is.." rbitfld.long 0x2C 0. "RSVDP_0,Reserved for future use." "0,1" line.long 0x30 "LINK_CONTROL2_LINK_STATUS2_REG,Link Control 2 and Status 2 Register." bitfld.long 0x30 31. "DRS_MESSAGE_RECEIVED,DRS Message Received. This bit must be set whenever the Port receives a DRS Message. This bit must be cleared in DL_Down. This bit must be implemented in any Downstream Port where the DRS Supported bit is Set in the Link Capabilities.." "0,1" rbitfld.long 0x30 28.--30. "DOWNSTREAM_COMPO_PRESENCE,Downstream Component Presence. This field indicates the presence and DRS status for the Downstream Component if any connected to the Link; defined values are: - 000b: Link Down - Presence Not Determined - 001b: Link Down -.." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x30 26.--27. "RSVDP_26,Reserved for future use." "0,1,2,3" bitfld.long 0x30 21. "PCIE_CAP_LINK_EQ_REQ,Link Equalization Request 8.0 GT/s. This bit is set by hardware to request the 8.0 GT/s Link equalization process to be performed on the Link. For more details see sections 4.2.3 and 4.2.6.4.2 of PCI Express Base Specification. For.." "0,1" newline rbitfld.long 0x30 20. "PCIE_CAP_EQ_CPL_P3,EEqualization 8.0 GT/s Phase 3 Successful. When set to 1b this bit indicates that Phase 3 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 19. "PCIE_CAP_EQ_CPL_P2,Equalization 8.0 GT/s Phase 2 Successful. When set to 1b this bit indicates that Phase 2 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" newline rbitfld.long 0x30 18. "PCIE_CAP_EQ_CPL_P1,Equalization 8.0 GT/s Phase 1 Successful. When set to 1b this bit indicates that Phase 1 of the 8.0 GT/s Transmitter Equalization procedure has successfully completed. Details of the Transmitter Equalization process and when this bit.." "0,1" rbitfld.long 0x30 17. "PCIE_CAP_EQ_CPL,Equalization 8.0 GT/s Complete. When set to 1b this bit indicates that the Transmitter Equalization procedure at the 8.0 GT/s data rate has completed. Details of the Transmitter Equalization process and when this bit needs to be set to.." "0,1" newline rbitfld.long 0x30 16. "PCIE_CAP_CURR_DEEMPHASIS,Current De-emphasis Level. When the Link is operating at 5.0 GT/s speed this bit reflects the level of de-emphasis. Encodings: - 1b: -3.5 dB - 0b: -6 dB The value in this bit is undefined when the Link is not operating at 5.0.." "0,1" hexmask.long.byte 0x30 12.--15. 1. "PCIE_CAP_COMPLIANCE_PRESET,Compliance Preset/De-emphasis. For 8.0 GT/s and higher Data Rate: This field sets the Transmitter Preset in Polling.Compliance state if the entry occurred due to the Enter Compliance bit being 1b. The encodings are defined in.." newline bitfld.long 0x30 11. "PCIE_CAP_COMPLIANCE_SOS,Compliance SOS. When set to 1b the LTSSM is required to send SKP Ordered Sets between sequences when sending the Compliance Pattern or Modified Compliance Pattern. For a Multi-Function Device associated with an Upstream Port the.." "0,1" bitfld.long 0x30 10. "PCIE_CAP_ENTER_MODIFIED_COMPLIANCE,Enter Modified Compliance. When this bit is set to 1b the device transmits Modified Compliance Pattern if the LTSSM enters Polling.Compliance substate. Components that support only the 2.5 GT/s speed are permitted to.." "0,1" newline bitfld.long 0x30 7.--9. "PCIE_CAP_TX_MARGIN,Transmit Margin - This field controls the value of the non-deemphasized voltage level at the Transmitter pins. This field is reset to 000b on entry to the LTSSM Polling.Configuration substate (see Chapter 4 of PCI Express Base.." "0,1,2,3,4,5,6,7" bitfld.long 0x30 6. "PCIE_CAP_SEL_DEEMPHASIS,Selectable De-emphasis. When the Link is operating at 5.0 GT/s speed this bit is used to control the transmit de-emphasis of the link in specific situations. For more details see section 4.2.6 of PCI Express Base Specification." "0,1" newline bitfld.long 0x30 5. "PCIE_CAP_HW_AUTO_SPEED_DISABLE,Hardware Autonomous Speed Disable. When set this bit disables hardware from changing the Link speed for device-specific reasons other than attempting to correct unreliable Link operation by reducing Link speed. Initial.." "0,1" bitfld.long 0x30 4. "PCIE_CAP_ENTER_COMPLIANCE,Enter Compliance. Software is permitted to force a Link to enter Compliance mode (at the speed indicated in the Target Link Speed field and the de-emphasis/preset level indicated by the Compliance Preset/De-emphasis field) by.." "0,1" newline hexmask.long.byte 0x30 0.--3. 1. "PCIE_CAP_TARGET_LINK_SPEED,Target Link Speed. For Downstream Ports this field sets an upper limit on Link operational speed by restricting the values advertised by the Upstream component in its training sequences. The encoded value specifies a bit.." tree.end tree "PF0_AER_CAP (PF Advanced Error Reporting Capability Structure)" group.long (0x0+0x100)++0x1B line.long 0x0 "AER_EXT_CAP_HDR_OFF,Advanced Error Reporting Extended Capability Header. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 20.--31. 1. "NEXT_OFFSET,Next Capability Offset. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky).." hexmask.long.byte 0x0 16.--19. 1. "CAP_VERSION,Capability Version. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." newline hexmask.long.word 0x0 0.--15. 1. "CAP_ID,AER Extended Capability ID. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN == 1) then R/W(sticky) else.." line.long 0x4 "UNCORR_ERR_STATUS_OFF,Uncorrectable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x4 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x4 22. "INTERNAL_ERR_STATUS,Uncorrectable Internal Error Status. For a description of this standard PCIe register field see the PCI Express Specification. The controller sets this bit when your application asserts app_err_bus[9]. It does not set this bit when.." "0,1" bitfld.long 0x4 20. "UNSUPPORTED_REQ_ERR_STATUS,Unsupported Request Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 19. "ECRC_ERR_STATUS,ECRC Error Status. For a description of this standard PCIe register field see the PCI Express Specification. Note:If CX_ECRC_ENABLE=0 the register field always reads 0." "0,1" bitfld.long 0x4 18. "MALF_TLP_ERR_STATUS,Malformed TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 17. "REC_OVERFLOW_ERR_STATUS,Receiver Overflow Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 16. "UNEXP_CMPLT_ERR_STATUS,Unexpected Completion Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 15. "CMPLT_ABORT_ERR_STATUS,Completer Abort Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 14. "CMPLT_TIMEOUT_ERR_STATUS,Completion Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 13. "FC_PROTOCOL_ERR_STATUS,Flow Control Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 12. "POIS_TLP_ERR_STATUS,Poisoned TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline hexmask.long.byte 0x4 6.--11. 1. "RSVDP_6,Reserved for future use." bitfld.long 0x4 5. "SURPRISE_DOWN_ERR_STATUS,Surprise Down Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "DL_PROTOCOL_ERR_STATUS,Data Link Protocol Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x4 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x8 "UNCORR_ERR_MASK_OFF,Uncorrectable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0x8 23. "RSVDP_23,Reserved for future use." "0,1" newline bitfld.long 0x8 22. "INTERNAL_ERR_MASK,Uncorrectable Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x8 21. "ACS_VIOLATION_MASK,ACS Violation Mask. Setting the ACS Violation Mask bit disables error logging and signaling for ACS Violation errors. The bit is Read-Only Zero for upstream ports when ACS P2P Egress Control Enable is not set. For a description of.." "0,1" newline bitfld.long 0x8 20. "UNSUPPORTED_REQ_ERR_MASK,Unsupported Request Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 19. "ECRC_ERR_MASK,ECRC Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This register.." "0,1" newline bitfld.long 0x8 18. "MALF_TLP_ERR_MASK,Malformed TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 17. "REC_OVERFLOW_ERR_MASK,Receiver Overflow Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 16. "UNEXP_CMPLT_ERR_MASK,Unexpected Completion Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 15. "CMPLT_ABORT_ERR_MASK,Completer Abort Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 14. "CMPLT_TIMEOUT_ERR_MASK,Completion Timeout Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x8 13. "FC_PROTOCOL_ERR_MASK,Flow Control Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 12. "POIS_TLP_ERR_MASK,Poisoned TLP Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x8 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0x8 5. "SURPRISE_DOWN_ERR_MASK,Surprise Down Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0x8 4. "DL_PROTOCOL_ERR_MASK,Data Link Protocol Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0xC "UNCORR_ERR_SEV_OFF,Uncorrectable Error Severity Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 27.--31. 1. "RSVDP_27,Reserved for future use." rbitfld.long 0xC 24. "ATOMIC_EGRESS_BLOCKED_ERR_SEVERITY,AtomicOp Egress Blocked Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" newline rbitfld.long 0xC 23. "RSVDP_23,Reserved for future use." "0,1" bitfld.long 0xC 22. "INTERNAL_ERR_SEVERITY,Uncorrectable Internal Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 20. "UNSUPPORTED_REQ_ERR_SEVERITY,Unsupported Request Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 19. "ECRC_ERR_SEVERITY,ECRC Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W (sticky) Note: This.." "0,1" newline bitfld.long 0xC 18. "MALF_TLP_ERR_SEVERITY,Malformed TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 17. "REC_OVERFLOW_ERR_SEVERITY,Receiver Overflow Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 16. "UNEXP_CMPLT_ERR_SEVERITY,Unexpected Completion Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 15. "CMPLT_ABORT_ERR_SEVERITY,Completer Abort Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 14. "CMPLT_TIMEOUT_ERR_SEVERITY,Completion Timeout Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0xC 13. "FC_PROTOCOL_ERR_SEVERITY,Flow Control Protocol Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 12. "POIS_TLP_ERR_SEVERITY,Poisoned TLP Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0xC 6.--11. 1. "RSVDP_6,Reserved for future use." newline rbitfld.long 0xC 5. "SURPRISE_DOWN_ERR_SVRITY,Surprise Down Error Severity (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi:.." "0,1" bitfld.long 0xC 4. "DL_PROTOCOL_ERR_SEVERITY,Data Link Protocol Error Severity. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0xC 0.--3. 1. "RSVDP_0,Reserved for future use." line.long 0x10 "CORR_ERR_STATUS_OFF,Correctable Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x10 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x10 15. "HEADER_LOG_OVERFLOW_STATUS,Header Log Overflow Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 14. "CORRECTED_INT_ERR_STATUS,Corrected Internal Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 13. "ADVISORY_NON_FATAL_ERR_STATUS,Advisory Non-Fatal Error Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 12. "RPL_TIMER_TIMEOUT_STATUS,Replay Timer Timeout Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rbitfld.long 0x10 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 8. "REPLAY_NO_ROLEOVER_STATUS,REPLAY_NUM Rollover Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x10 7. "BAD_DLLP_STATUS,Bad DLLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x10 6. "BAD_TLP_STATUS,Bad TLP Status. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" hexmask.long.byte 0x10 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x10 0. "RX_ERR_STATUS,Receiver Error Status (Optional). For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x14 "CORR_ERR_MASK_OFF,Correctable Error Mask Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x14 16.--31. 1. "RSVDP_16,Reserved for future use." bitfld.long 0x14 15. "HEADER_LOG_OVERFLOW_MASK,Header Log Overflow Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 14. "CORRECTED_INT_ERR_MASK,Corrected Internal Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 13. "ADVISORY_NON_FATAL_ERR_MASK,Advisory Non-Fatal Error Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 12. "RPL_TIMER_TIMEOUT_MASK,Replay Timer Timeout Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x14 9.--11. "RSVDP_9,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x14 8. "REPLAY_NO_ROLEOVER_MASK,REPLAY_NUM Rollover Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" bitfld.long 0x14 7. "BAD_DLLP_MASK,Bad DLLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 6. "BAD_TLP_MASK,Bad TLP Mask. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" hexmask.long.byte 0x14 1.--5. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x14 0. "RX_ERR_MASK,Receiver Error Mask (Optional). For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" line.long 0x18 "ADV_ERR_CAP_CTRL_OFF,Advanced Error Capabilities and Control Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.tbyte 0x18 13.--31. 1. "RSVDP_13,Reserved for future use." rbitfld.long 0x18 12. "CTO_PRFX_HDR_LOG_CAP,TLP Prefix Log Present. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline rbitfld.long 0x18 10. "MULTIPLE_HEADER_EN,Multiple Header Recording Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." "0,1" rbitfld.long 0x18 9. "MULTIPLE_HEADER_CAP,Multiple Header Recording Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 8. "ECRC_CHECK_EN,ECRC Check Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 7. "ECRC_CHECK_CAP,ECRC Check Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline bitfld.long 0x18 6. "ECRC_GEN_EN,ECRC Generation Enable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" rbitfld.long 0x18 5. "ECRC_GEN_CAP,ECRC Generation Capable. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x18 0.--4. 1. "FIRST_ERR_POINTER,First Error Pointer. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." rgroup.long (0x1C+0x100)++0xF line.long 0x0 "HDR_LOG_0_OFF,Header Log Register 0. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x0 24.--31. 1. "FIRST_DWORD_FOURTH_BYTE,Byte 3 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 16.--23. 1. "FIRST_DWORD_THIRD_BYTE,Byte 2 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x0 8.--15. 1. "FIRST_DWORD_SECOND_BYTE,Byte 1 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x0 0.--7. 1. "FIRST_DWORD_FIRST_BYTE,Byte 0 of Header log register of First 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "HDR_LOG_1_OFF,Header Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "SECOND_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "SECOND_DWORD_THIRD_BYTE,Byte 2 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "SECOND_DWORD_SECOND_BYTE,Byte 1 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "SECOND_DWORD_FIRST_BYTE,Byte 0 of Header log register of Second 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "HDR_LOG_2_OFF,Header Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "THIRD_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "THIRD_DWORD_THIRD_BYTE,Byte 2 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "THIRD_DWORD_SECOND_BYTE,Byte 1 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "THIRD_DWORD_FIRST_BYTE,Byte 0 of Header log register of Third 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "HDR_LOG_3_OFF,Header Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "FOURTH_DWORD_FOURTH_BYTE,Byte 3 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "FOURTH_DWORD_THIRD_BYTE,Byte 2 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "FOURTH_DWORD_SECOND_BYTE,Byte 1 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "FOURTH_DWORD_FIRST_BYTE,Byte 0 of Header log register of Fourth 32 bit Data Word. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." group.long (0x2C+0x100)++0x7 line.long 0x0 "ROOT_ERR_CMD_OFF,Root Error Command Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long 0x0 3.--31. 1. "RSVDP_3,Reserved for future use." bitfld.long 0x0 2. "FATAL_ERR_REPORTING_EN,Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x0 1. "NON_FATAL_ERR_REPORTING_EN,Non-Fatal Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x0 0. "CORR_ERR_REPORTING_EN,Correctable Error Reporting Enable. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" line.long 0x4 "ROOT_ERR_STATUS_OFF,Root Error Status Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 27.--31. 1. "ADV_ERR_INT_MSG_NUM,Advanced Error Interrupt Message Number. For a description of this standard PCIe register field see the PCI Express Specification. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: if (DBI_RO_WR_EN.." hexmask.long.tbyte 0x4 7.--26. 1. "RSVDP_7,Reserved for future use." newline bitfld.long 0x4 6. "FATAL_ERR_MSG_RX,One or more Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 5. "NON_FATAL_ERR_MSG_RX,One or more Non-Fatal Error Messages Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 4. "FIRST_UNCORR_FATAL,First Uncorrectable Error is Fatal. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 3. "MUL_ERR_FATAL_NON_FATAL_RX,Multiple Fatal or Non-Fatal Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 2. "ERR_FATAL_NON_FATAL_RX,Fatal or Non-Fatal Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" bitfld.long 0x4 1. "MUL_ERR_COR_RX,Multiple Correctable Errors Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" newline bitfld.long 0x4 0. "ERR_COR_RX,Correctable Error Received. For a description of this standard PCIe register field see the PCI Express Specification." "0,1" rgroup.long (0x34+0x100)++0x13 line.long 0x0 "ERR_SRC_ID_OFF,Error Source Identification Register. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.word 0x0 16.--31. 1. "ERR_FATAL_NON_FATAL_SOURCE_ID,Source of Fatal/Non-Fatal Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.word 0x0 0.--15. 1. "ERR_COR_SOURCE_ID,Source of Correctable Error. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x4 "TLP_PREFIX_LOG_1_OFF,TLP Prefix Log Register 1. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x4 24.--31. 1. "CFG_TLP_PFX_LOG_1_FOURTH_BYTE,Byte 3 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 16.--23. 1. "CFG_TLP_PFX_LOG_1_THIRD_BYTE,Byte 2 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_TLP_PFX_LOG_1_SECOND_BYTE,Byte 1 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x4 0.--7. 1. "CFG_TLP_PFX_LOG_1_FIRST_BYTE,Byte 0 of Error TLP Prefix Log 1. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x8 "TLP_PREFIX_LOG_2_OFF,TLP Prefix Log Register 2. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x8 24.--31. 1. "CFG_TLP_PFX_LOG_2_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 16.--23. 1. "CFG_TLP_PFX_LOG_2_THIRD_BYTE,Byte 2 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x8 8.--15. 1. "CFG_TLP_PFX_LOG_2_SECOND_BYTE,Byte 1 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x8 0.--7. 1. "CFG_TLP_PFX_LOG_2_FIRST_BYTE,Byte 0 Error TLP Prefix Log 2. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0xC "TLP_PREFIX_LOG_3_OFF,TLP Prefix Log Register 3. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0xC 24.--31. 1. "CFG_TLP_PFX_LOG_3_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 16.--23. 1. "CFG_TLP_PFX_LOG_3_THIRD_BYTE,Byte 2 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0xC 8.--15. 1. "CFG_TLP_PFX_LOG_3_SECOND_BYTE,Byte 1 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0xC 0.--7. 1. "CFG_TLP_PFX_LOG_3_FIRST_BYTE,Byte 0 Error TLP Prefix Log 3. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." line.long 0x10 "TLP_PREFIX_LOG_4_OFF,TLP Prefix Log Register 4. For a description of this standard PCIe register. see the PCI Express Specification." hexmask.long.byte 0x10 24.--31. 1. "CFG_TLP_PFX_LOG_4_FOURTH_BYTE,Byte 3 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 16.--23. 1. "CFG_TLP_PFX_LOG_4_THIRD_BYTE,Byte 2 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." newline hexmask.long.byte 0x10 8.--15. 1. "CFG_TLP_PFX_LOG_4_SECOND_BYTE,Byte 1 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." hexmask.long.byte 0x10 0.--7. 1. "CFG_TLP_PFX_LOG_4_FIRST_BYTE,Byte 0 Error TLP Prefix Log 4. For a description of this standard PCIe register field see the PCI Express Specification. Note: This register field is sticky." tree.end tree "PF0_MSI_CAP (PF MSI Capability Structure)" group.long (0x0+0x50)++0x13 line.long 0x0 "PCI_MSI_CAP_ID_NEXT_CTRL_REG,MSI Capability Header and Message Control Register." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." bitfld.long 0x0 26. "PCI_MSI_EXT_DATA_EN,Extended Message Data Enable. - If set the function is enabled to provide Extended Message Data. - If clear the function is not enabled to provide Extended Message Data. Note: The access attributes of this field are as follows: -.." "0,1" bitfld.long 0x0 25. "PCI_MSI_EXT_DATA_CAP,Extended Message Data Capable. - If set the function is capable of providing Extended Message Data. - If clear the function does not support providing Extended Message Data. Note: The access attributes of this field are as follows:.." "0,1" newline rbitfld.long 0x0 24. "PCI_PVM_SUPPORT,Per-Vector Masking Capable. - If set the function supports MSI Per-Vector Masking. - If clear the function does not support MSI Per-Vector Masking. This bit must be set if the function is a PF or VF within an SR-IOV Device." "0,1" bitfld.long 0x0 23. "PCI_MSI_64_BIT_ADDR_CAP,64 bit address capable. - If set the function is capable of sending a 64-bit message address. - If clear the function is not capable of sending a 64-bit message address. This bit must be set if the function is a PCI Express.." "0,1" bitfld.long 0x0 20.--22. "PCI_MSI_MULTIPLE_MSG_EN,Multiple Message Enable. Software writes to this field to indicate the number of allocated vectors (equal to or less than the number of requested vectors). The number of allocated vectors is aligned to a power of two. If a.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 17.--19. "PCI_MSI_MULTIPLE_MSG_CAP,Multiple Message Capable. System software reads this field to determine the number of requested vectors. The number of requested vectors must be aligned to a power of two (if a function requires three vectors it requests four by.." "0,1,2,3,4,5,6,7" bitfld.long 0x0 16. "PCI_MSI_ENABLE,MSI Enable. - If set and the MSI-X Enable bit in the MSI-X Message Control register is clear the function is permitted to use MSI to request service and is prohibited from using INTx interrupts. System configuration software sets this bit.." "0,1" hexmask.long.byte 0x0 8.--15. 1. "PCI_MSI_CAP_NEXT_OFFSET,Next Capability Pointer. This field contains the offset to the next PCI Capability structure or 00h if no other items exist in the linked list of Capabilities. Note: The access attributes of this field are as follows: - Wire: No.." newline hexmask.long.byte 0x0 0.--7. 1. "PCI_MSI_CAP_ID,Capability ID. Indicates the MSI Capability structure. This field returns a Capability ID of 05h indicating that this is an MSI Capability structure." line.long 0x4 "MSI_CAP_OFF_04H_REG,Message Address Register for MSI (Offset 04h)." hexmask.long 0x4 2.--31. 1. "PCI_MSI_CAP_OFF_04H,Message Address - System-specified message address. If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG register) is set the contents of this field specify the DWORD-aligned address (Address[31:02]) for the MSI.." rbitfld.long 0x4 0.--1. "RSVDP_0,Reserved for future use." "0,1,2,3" line.long 0x8 "MSI_CAP_OFF_08H_REG,For a function that supports a 32-bit message address. - bits[31:16] of this register represent the Extended Message Data. and - bits[15:0] of this register represent the Message Data For a function that supports a 64-bit message.." hexmask.long.word 0x8 16.--31. 1. "PCI_MSI_CAP_OFF_0AH,For a function that supports a 32-bit message address this field contains Extended Message Data (System-specified message data). For the MSI Capability structures without per-vector masking it must be implemented if the Extended.." hexmask.long.word 0x8 0.--15. 1. "PCI_MSI_CAP_OFF_08H,For a function that supports a 32-bit message address this field contains Message Data (System-specified message data). If the Message Enable bit (bit 16 of the PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set the function sends a DWORD Memory.." line.long 0xC "MSI_CAP_OFF_0CH_REG,For a function that supports a 32-bit message address. this register contains the Mask Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long.word 0xC 16.--31. 1. "PCI_MSI_CAP_OFF_0EH,For a function that supports a 32-bit message address this field contains the upper Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." hexmask.long.word 0xC 0.--15. 1. "PCI_MSI_CAP_OFF_0CH,For a function that supports a 32-bit message address this field contains the lower Mask Bits when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For a function that supports a 64-bit.." line.long 0x10 "MSI_CAP_OFF_10H_REG,For a function that supports a 32-bit message address. this register contains the Pending Bits when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set. For a function that supports a 64-bit message.." hexmask.long 0x10 0.--31. 1. "PCI_MSI_CAP_OFF_10H,Used for MSI when the Per Vector Masking Capable bit (PCI_MSI_CAP_ID_NEXT_CTRL_REG.PCI_PVM_SUPPORT) is set. For 32-bit contains Pending Bits. For 64-bit contains Mask Bits. For a description of this standard PCIe register field see.." rgroup.long (0x14+0x50)++0x3 line.long 0x0 "MSI_CAP_OFF_14H_REG,Pending Bits Register for MSI. This register is used for a function that supports a 64-bit message address when the Per-Vector Masking Capable bit (bit 24 of PCI_MSI_CAP_ID_NEXT_CTRL_REG) is set." hexmask.long 0x0 0.--31. 1. "PCI_MSI_CAP_OFF_14H,Pending Bits. For each pending bit that is set the function has a pending associated message." tree.end tree "PF0_DMA_CAP (DMA Port Logic Structure)" group.long (0x0+0xC8000)++0x3 line.long 0x0 "DMA_CTRL_DATA_ARB_PRIOR_OFF,DMA Arbitration Scheme for TRGT1 Interface. This register is used to control traffic priorities among various sources that are delivered to your application through TRGT1 where 0x0 represents the highest priority. - Non-DMA Rx.." hexmask.long.tbyte 0x0 12.--31. 1. "RSVDP_12,Reserved for future use." bitfld.long 0x0 9.--11. "RDBUFF_TRGT_WEIGHT,DMA Read Channel MWr Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6.--8. "RD_CTRL_TRGT_WEIGHT,DMA Read Channel MRd Requests. For LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" bitfld.long 0x0 3.--5. "WR_CTRL_TRGT_WEIGHT,DMA Write Channel MRd Requests. For DMA data requests and LL element/descriptor access. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 0.--2. "RTRGT1_WEIGHT,Non-DMA Rx Requests. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" group.long (0x8+0xC8000)++0xB line.long 0x0 "DMA_CTRL_OFF,DMA Number of Channels Register." hexmask.long.byte 0x0 26.--31. 1. "RSVDP_26,Reserved for future use." bitfld.long 0x0 25. "DIS_C2W_CACHE_RD,Disable DMA Read Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DIS_C2W_CACHE_WR,Disable DMA Write Channels 'completion to memory write' context cache pre-fetch function. Note: For internal debugging only. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.byte 0x0 20.--23. 1. "RSVDP_20,Reserved for future use." newline hexmask.long.byte 0x0 16.--19. 1. "NUM_DMA_RD_CHAN,Number of Read Channels. You can read this register to determine the number of read channels the DMA controller has been configured to support." hexmask.long.word 0x0 4.--15. 1. "RSVDP_4,Reserved for future use." newline hexmask.long.byte 0x0 0.--3. 1. "NUM_DMA_WR_CHAN,Number of Write Channels. You can read this register to determine the number of write channels the DMA controller has been configured to support." line.long 0x4 "DMA_WRITE_ENGINE_EN_OFF,DMA Write Engine Enable Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x4 23. "DMA_WRITE_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Write Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 22. "DMA_WRITE_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Write Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 21. "DMA_WRITE_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Write Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 20. "DMA_WRITE_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Write Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 19. "DMA_WRITE_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Write Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 18. "DMA_WRITE_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Write Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x4 17. "DMA_WRITE_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Write Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x4 16. "DMA_WRITE_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Write Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x4 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x4 0. "DMA_WRITE_ENGINE,DMA Write Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal.." "Disable,Enable" line.long 0x8 "DMA_WRITE_DOORBELL_OFF,DMA Write Doorbell Register." bitfld.long 0x8 31. "WR_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA write channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the.." "0,1" hexmask.long 0x8 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x8 0.--2. "WR_DOORBELL_NUM,Doorbell Number. You must write the channel number to this register to start the DMA write transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. You do not need to.." "0,1,2,3,4,5,6,7" group.long (0x18+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Write Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for write channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "WRITE_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 10.--14. 1. "WRITE_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x0 5.--9. 1. "WRITE_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x0 0.--4. 1. "WRITE_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." line.long 0x4 "DMA_WRITE_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Write Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for write channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "WRITE_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 10.--14. 1. "WRITE_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." hexmask.long.byte 0x4 5.--9. 1. "WRITE_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." newline hexmask.long.byte 0x4 0.--4. 1. "WRITE_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. A value of '0' means that one TLP is issued.." group.long (0x2C+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_EN_OFF,DMA Read Engine Enable Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." bitfld.long 0x0 23. "DMA_READ_ENGINE_EN_HSHAKE_CH7,Enable Handshake for DMA Read Engine Channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_READ_ENGINE_EN_HSHAKE_CH6,Enable Handshake for DMA Read Engine Channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 21. "DMA_READ_ENGINE_EN_HSHAKE_CH5,Enable Handshake for DMA Read Engine Channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 20. "DMA_READ_ENGINE_EN_HSHAKE_CH4,Enable Handshake for DMA Read Engine Channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 19. "DMA_READ_ENGINE_EN_HSHAKE_CH3,Enable Handshake for DMA Read Engine Channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 18. "DMA_READ_ENGINE_EN_HSHAKE_CH2,Enable Handshake for DMA Read Engine Channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 17. "DMA_READ_ENGINE_EN_HSHAKE_CH1,Enable Handshake for DMA Read Engine Channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 16. "DMA_READ_ENGINE_EN_HSHAKE_CH0,Enable Handshake for DMA Read Engine Channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" hexmask.long.word 0x0 1.--15. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x0 0. "DMA_READ_ENGINE,DMA Read Engine Enable. - 1: Enable - 0: Disable (Soft Reset) For normal operation you must initially set this bit to '1' before any other software setup actions. You do not need to toggle or rewrite to this bit during normal operation." "Disable,Enable" line.long 0x4 "DMA_READ_DOORBELL_OFF,DMA Read Doorbell Register." bitfld.long 0x4 31. "RD_STOP,Stop. Set in conjunction with the Doorbell Number field. The DMA read channel stops issuing requests sets the channel status to 'Stopped' and asserts the 'Abort' interrupt if it is enabled. Before setting the Stop bit you must read the channel.." "0,1" hexmask.long 0x4 3.--30. 1. "RSVDP_3,Reserved for future use." newline bitfld.long 0x4 0.--2. "RD_DOORBELL_NUM,Doorbell Number. You must write 0x0 to this register to start the DMA read transfer for that channel. The DMA detects a write to this register field even if the value of this field does not change. The range of this field is 0x0 to 0x7.." "0,1,2,3,4,5,6,7" group.long (0x38+0xC8000)++0x7 line.long 0x0 "DMA_READ_CHANNEL_ARB_WEIGHT_LOW_OFF,DMA Read Engine Channel Arbitration Weight Low Register. The 5-bit channel weight (for read channels 0-3) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x0 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x0 15.--19. 1. "READ_CHANNEL3_WEIGHT,Channel 3 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 10.--14. 1. "READ_CHANNEL2_WEIGHT,Channel 2 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x0 5.--9. 1. "READ_CHANNEL1_WEIGHT,Channel 1 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x0 0.--4. 1. "READ_CHANNEL0_WEIGHT,Channel 0 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." line.long 0x4 "DMA_READ_CHANNEL_ARB_WEIGHT_HIGH_OFF,DMA Read Engine Channel Arbitration Weight High Register. The 5-bit channel weight (for read channels 4-7) specifies the maximum number of TLP requests that the DMA can issue for that channel before it must return to.." hexmask.long.word 0x4 20.--31. 1. "RSVDP_20,Reserved for future use." hexmask.long.byte 0x4 15.--19. 1. "READ_CHANNEL7_WEIGHT,Channel 7 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 10.--14. 1. "READ_CHANNEL6_WEIGHT,Channel 6 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." hexmask.long.byte 0x4 5.--9. 1. "READ_CHANNEL5_WEIGHT,Channel 5 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." newline hexmask.long.byte 0x4 0.--4. 1. "READ_CHANNEL4_WEIGHT,Channel 4 Weight. The weight is initialized by software before ringing the doorbell. The value is used by the channel weighted round robin arbiter to select the next channel read request. Note: The access attributes of this field are.." group.long (0x4C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_INT_STATUS_OFF,DMA Write Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_STATUS,Abort Interrupt Status. The DMA write channel has detected an error or you manually stopped the transfer as described in 'Error Handling Assistance by Remote Software'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_STATUS,Done Interrupt Status. The DMA write channel has successfully completed the DMA transfer. For more details see 'Interrupts and Error Handling'. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For.." group.long (0x54+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_INT_MASK_OFF,DMA Write Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA write interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_WRITE_INT_CLEAR_OFF,DMA Write Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "WR_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "WR_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA write interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0x5C+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_ERR_STATUS_OFF,DMA Write Error Status Register" hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINKLIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading a linked list element from local memory." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_READ_ERR_DETECT,Application Read Error Detected. The DMA write channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while reading data from it. Each bit corresponds to a DMA channel. Bit [0].." group.long (0x60+0xC8000)++0x1F line.long 0x0 "DMA_WRITE_DONE_IMWR_LOW_OFF,DMA Write Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_WRITE_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_WRITE_DONE_IMWR_HIGH_OFF,DMA Write Done IMWr Interrupt Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_WRITE_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_WRITE_ABORT_IMWR_LOW_OFF,DMA Write Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_WRITE_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP it generates. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: -.." line.long 0xC "DMA_WRITE_ABORT_IMWR_HIGH_OFF,DMA Write Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_WRITE_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_WRITE_CH01_IMWR_DATA_OFF,DMA Write Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "WR_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "WR_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_WRITE_CH23_IMWR_DATA_OFF,DMA Write Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "WR_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "WR_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_WRITE_CH45_IMWR_DATA_OFF,DMA Write Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "WR_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "WR_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_WRITE_CH67_IMWR_DATA_OFF,DMA Write Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "WR_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "WR_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for write channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x90+0xC8000)++0x3 line.long 0x0 "DMA_WRITE_LINKED_LIST_ERR_EN_OFF,DMA Write Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and.." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "WR_CHANNEL_LLLAIE,Write Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the write channel local abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "WR_CHANNEL_LLRAIE,Write Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the write channel remote abort interrupt through this bit. The LIE and RIE bits in the LL element enable the write channel done interrupts. Each bit corresponds to a.." group.long (0xA0+0xC8000)++0x3 line.long 0x0 "DMA_READ_INT_STATUS_OFF,DMA Read Interrupt Status Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_STATUS,Abort Interrupt Status. The DMA read channel has detected an error or you manually stopped the transfer as described in 'Stopping the DMA Transfer (Software Stop)'. Each bit corresponds to a DMA channel. Bit [0] corresponds to.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_STATUS,Done Interrupt Status. The DMA read channel has successfully completed the DMA read transfer. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. - Enabling: For details see 'Interrupts and Error Handling'. -.." group.long (0xA8+0xC8000)++0x7 line.long 0x0 "DMA_READ_INT_MASK_OFF,DMA Read Interrupt Mask Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_ABORT_INT_MASK,Abort Interrupt Mask. Prevents the Abort interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_DONE_INT_MASK,Done Interrupt Mask. Prevents the Done interrupt status field in the DMA read interrupt status register from asserting the edma_int output. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note: The access.." line.long 0x4 "DMA_READ_INT_CLEAR_OFF,DMA Read Interrupt Clear Register." hexmask.long.byte 0x4 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x4 16.--23. 1. "RD_ABORT_INT_CLEAR,Abort Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Abort interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." newline hexmask.long.byte 0x4 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x4 0.--7. 1. "RD_DONE_INT_CLEAR,Done Interrupt Clear. You must write a 1'b1 to clear the corresponding bit in the Done interrupt status field of the DMA read interrupt status register. Each bit corresponds to a DMA channel. Bit [0] corresponds to channel 0. Note:.." rgroup.long (0xB4+0xC8000)++0x7 line.long 0x0 "DMA_READ_ERR_STATUS_LOW_OFF,DMA Read Error Status Low Register." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "LINK_LIST_ELEMENT_FETCH_ERR_DETECT,Linked List Element Fetch Error Detected. - The DMA read channel has received an error response from the AXI bus while reading a linked list element from local memory. Each bit corresponds to a DMA channel. Bit [0].." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "APP_WR_ERR_DETECT,Application Write Error Detected. The DMA read channel has received an error response from the AXI bus (or TRGT1 interface when the AXI Bridge is not used) while writing data to it. This error is fatal. You must restart the transfer.." line.long 0x4 "DMA_READ_ERR_STATUS_HIGH_OFF,DMA Read Error Status High Register." hexmask.long.byte 0x4 24.--31. 1. "DATA_POISIONING,Data Poisoning. The DMA read channel has detected data poisoning in the completion from the remote device (in response to the MRd request). The DMA read channel will drop the completion and then be halted. The CX_FLT_MASK_UR_POIS filter.." hexmask.long.byte 0x4 16.--23. 1. "CPL_TIMEOUT,Completion Time Out. The DMA read channel has timed-out while waiting for the remote device to respond to the MRd request or a malformed CplD has been received. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." newline hexmask.long.byte 0x4 8.--15. 1. "CPL_ABORT,Completer Abort. The DMA read channel has received a PCIe completer abort completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel. Bit [0].." hexmask.long.byte 0x4 0.--7. 1. "UNSUPPORTED_REQ,Unsupported Request. The DMA read channel has received a PCIe unsupported request completion status from the remote device in response to the MRd request. For more details see 'Linked List Mode'. Each bit corresponds to a DMA channel." group.long (0xC4+0xC8000)++0x3 line.long 0x0 "DMA_READ_LINKED_LIST_ERR_EN_OFF,DMA Read Linked List Error Enable Register. The LIE and RIE bits in the LL element enable the channel 'done' interrupts (local and remote). The LLLAIE and LLRAIE bits enable the channel 'abort' interrupts (local and remote)." hexmask.long.byte 0x0 24.--31. 1. "RSVDP_24,Reserved for future use." hexmask.long.byte 0x0 16.--23. 1. "RD_CHANNEL_LLLAIE,Read Channel LL Local Abort Interrupt Enable (LLLAIE). You enable the read channel Local Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." newline hexmask.long.byte 0x0 8.--15. 1. "RSVDP_8,Reserved for future use." hexmask.long.byte 0x0 0.--7. 1. "RD_CHANNEL_LLRAIE,Read Channel LL Remote Abort Interrupt Enable (LLRAIE). You enable the read channel Remote Abort interrupt through this bit. The LIE and RIE bits in the LL element enable the read channel done interrupts. Each bit corresponds to a DMA.." group.long (0xCC+0xC8000)++0x1F line.long 0x0 "DMA_READ_DONE_IMWR_LOW_OFF,DMA Read Done IMWr Address Low Register." hexmask.long 0x0 0.--31. 1. "DMA_READ_DONE_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Done IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No access." line.long 0x4 "DMA_READ_DONE_IMWR_HIGH_OFF,DMA Read Done IMWr Address High Register." hexmask.long 0x4 0.--31. 1. "DMA_READ_DONE_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Done IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x8 "DMA_READ_ABORT_IMWR_LOW_OFF,DMA Read Abort IMWr Address Low Register." hexmask.long 0x8 0.--31. 1. "DMA_READ_ABORT_LOW_REG,The DMA uses this field to generate bits [31:0] of the address field for the Abort IMWr TLP. Bits [1:0] must be '00' as this address must be dword aligned. Note: The access attributes of this field are as follows: - Wire: No.." line.long 0xC "DMA_READ_ABORT_IMWR_HIGH_OFF,DMA Read Abort IMWr Address High Register." hexmask.long 0xC 0.--31. 1. "DMA_READ_ABORT_HIGH_REG,The DMA uses this field to generate bits [63:32] of the address field for the Abort IMWr TLP. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x10 "DMA_READ_CH01_IMWR_DATA_OFF,DMA Read Channel 1 and 0 IMWr Data Register." hexmask.long.word 0x10 16.--31. 1. "RD_CHANNEL_1_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x10 0.--15. 1. "RD_CHANNEL_0_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x14 "DMA_READ_CH23_IMWR_DATA_OFF,DMA Read Channel 3 and 2 IMWr Data Register." hexmask.long.word 0x14 16.--31. 1. "RD_CHANNEL_3_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x14 0.--15. 1. "RD_CHANNEL_2_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x18 "DMA_READ_CH45_IMWR_DATA_OFF,DMA Read Channel 5 and 4 IMWr Data Register." hexmask.long.word 0x18 16.--31. 1. "RD_CHANNEL_5_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x18 0.--15. 1. "RD_CHANNEL_4_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" line.long 0x1C "DMA_READ_CH67_IMWR_DATA_OFF,DMA Read Channel 7 and 6 IMWr Data Register." hexmask.long.word 0x1C 16.--31. 1. "RD_CHANNEL_7_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" hexmask.long.word 0x1C 0.--15. 1. "RD_CHANNEL_6_DATA,The DMA uses this field to generate the data field for the Done or Abort IMWr TLPs it generates for read channel 6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" rgroup.long (0x108+0xC8000)++0x7 line.long 0x0 "DMA_WRITE_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Write Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Write Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Write Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Write Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Write Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_WRITE_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Write Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Write Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Write Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Write Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_WRITE_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Write Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." rgroup.long (0x118+0xC8000)++0x7 line.long 0x0 "DMA_READ_ENGINE_HSHAKE_CNT_LOW_OFF,DMA Read Engine Handshake Counter Channel 0/1/2/3 Register." bitfld.long 0x0 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH3,DMA handshake counter for DMA Read Engine Channel 3. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH2,DMA handshake counter for DMA Read Engine Channel 2. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH1,DMA handshake counter for DMA Read Engine Channel 1. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x0 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x0 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH0,DMA handshake counter for DMA Read Engine Channel 0. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." line.long 0x4 "DMA_READ_ENGINE_HSHAKE_CNT_HIGH_OFF,DMA Read Engine Handshake Counter Channel 4/5/6/7 Register." bitfld.long 0x4 29.--31. "RSVDP_29,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 24.--28. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH7,DMA handshake counter for DMA Read Engine Channel 7. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 21.--23. "RSVDP_21,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 16.--20. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH6,DMA handshake counter for DMA Read Engine Channel 6. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 13.--15. "RSVDP_13,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 8.--12. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH5,DMA handshake counter for DMA Read Engine Channel 5. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." newline bitfld.long 0x4 5.--7. "RSVDP_5,Reserved for future use." "0,1,2,3,4,5,6,7" hexmask.long.byte 0x4 0.--4. 1. "DMA_READ_ENGINE_HSHAKE_CNT_CH4,DMA handshake counter for DMA Read Engine Channel 4. If CC_DMA_HSHAKE =1 the data transfer in Linked List mode starts only when the counter is non-zero." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x200+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_WRCH_$1,DMA Write Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Write Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x208+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_WRCH_$1,DMA Write Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA write.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x20C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_WRCH_$1,DMA Write SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x210+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_WRCH_$1,DMA Write SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x214+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_WRCH_$1,DMA Write DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x218+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_WRCH_$1,DMA Write DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x21C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_WRCH_$1,DMA Write Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x220+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_WRCH_$1,DMA Write Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x300+0xC8000)++0x3 line.long 0x0 "DMA_CH_CONTROL1_OFF_RDCH_$1,DMA Read Channel Control 1 Register." bitfld.long 0x0 30.--31. "DMA_AT,Address Translation TLP Header Bit (AT) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" bitfld.long 0x0 27.--29. "DMA_TC,Traffic Class TLP Header Bit (TC) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "DMA_RESERVED5,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 25. "DMA_RO,Relaxed Ordering TLP Header Bit (RO) The DMA uses this TLP header field when generating MRd/MWr (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 24. "DMA_NS_SRC,Source No Snoop TLP Header Bit (DMA_NS_SRC). The DMA uses this TLP header field when generating MRd (SAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 23. "DMA_NS_DST,Destination No Snoop TLP Header Bit (DMA_NS_DST). The DMA uses this TLP header field when generating MWr (DAR addressing space) (not IMWr) TLPs. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline bitfld.long 0x0 22. "DMA_MEM_TYPE,Master AXI ACE-Lite Cache Coherency Control. This field sets the DMA channel memory type of the address space of the data transfer as follows: - 0x0:peripheral type - 0x1:memory type For more details see 'ACE-Lite Features and Limitations'.." "peripheral type,memory type" hexmask.long.byte 0x0 17.--21. 1. "DMA_RESERVED2,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline hexmask.long.byte 0x0 12.--16. 1. "DMA_FUNC_NUM,Function Number (FN). The controller uses this field when generating the requester ID for the MRd/MWr DMA TLP. When you have enabled SR-IOV then this field is ignored if you have set the VFE field in the 'DMA Read Channel Control 2.." bitfld.long 0x0 10.--11. "DMA_RESERVED1,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3" newline bitfld.long 0x0 9. "LLE,Linked List Enable (LLE). - 0: Disable linked list operation - 1: Enable linked list operation Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "Disable linked list operation,Enable linked list operation" bitfld.long 0x0 8. "CCS,Consumer Cycle State (CCS). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. You must initialize this bit. The DMA.." "0,1" newline bitfld.long 0x0 7. "DMA_RESERVED0,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" bitfld.long 0x0 5.--6. "CS,Channel Status (CS). The channel status bits identify the current operational state of the DMA channel. The operation state encoding for each DMA channel is a s follows: - 00: Reserved - 01: Running. This channel is active and transferring data. - 10:.." "Reserved,Running,?,?" newline bitfld.long 0x0 4. "RIE,Remote Interrupt Enable (RIE). You must set this bit to enable the generation of the Done or Abort Remote interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the RIE of the LL element. The RIE.." "0,1" bitfld.long 0x0 3. "LIE,Local Interrupt Enable (LIE). You must set this bit to enable the generation of the Done or Abort Local interrupts. For more details see 'Interrupts and Error Handling'. In LL mode the DMA overwrites this with the LIE of the LL element. The LIE bit.." "0,1" newline bitfld.long 0x0 2. "LLP,Load Link Pointer (LLP). Used in linked list mode only. Indicates that this linked list element is a link element and its LL element pointer dwords are pointing to the next (non-contiguous) element. The DMA loads this field with the LLP of the.." "0,1" bitfld.long 0x0 1. "TCB,Toggle Cycle Bit (TCB). Indicates to the DMA to toggle its interpretation of the CB. Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer.." "0,1" newline bitfld.long 0x0 0. "CB,Cycle Bit (CB). Used in linked list mode only. It is used to synchronize the producer (software) and the consumer (DMA). For more details see 'PCS-CCS-CB-TCB Producer-Consumer Synchronization'. The DMA loads this field with the CB of the linked list.." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x308+0xC8000)++0x3 line.long 0x0 "DMA_TRANSFER_SIZE_OFF_RDCH_$1,DMA Read Transfer Size Register." hexmask.long 0x0 0.--31. 1. "DMA_TRANSFER_SIZE,DMA Transfer Size. You program this register with the size of the DMA transfer. The maximum DMA transfer size is 4Gbytes. The minimum transfer size is one byte (0x1). This field is automatically decremented by the DMA as the DMA read.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x30C+0xC8000)++0x3 line.long 0x0 "DMA_SAR_LOW_OFF_RDCH_$1,DMA Read SAR Low Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_LOW,Source Address Register (Lower 32 bits). Indicates the next address to be read from. The DMA increments the SAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. - DMA.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x310+0xC8000)++0x3 line.long 0x0 "DMA_SAR_HIGH_OFF_RDCH_$1,DMA Read SAR High Register." hexmask.long 0x0 0.--31. 1. "SRC_ADDR_REG_HIGH,Source Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x314+0xC8000)++0x3 line.long 0x0 "DMA_DAR_LOW_OFF_RDCH_$1,DMA Read DAR Low Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_LOW,Destination Address Register (Lower 32 bits). Indicates the next address to be written to. The DMA increments the DAR as the DMA transfer progresses. In LL mode the DMA overwrites this with the corresponding dword of the LL element. -.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x318+0xC8000)++0x3 line.long 0x0 "DMA_DAR_HIGH_OFF_RDCH_$1,DMA Read DAR High Register." hexmask.long 0x0 0.--31. 1. "DST_ADDR_REG_HIGH,Destination Address Register (Higher 32 bits). In LL mode the DMA overwrites this with the corresponding dword of the LL element. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x31C+0xC8000)++0x3 line.long 0x0 "DMA_LLP_LOW_OFF_RDCH_$1,DMA Read Linked List Pointer Low Register." hexmask.long 0x0 0.--31. 1. "LLP_LOW,Lower bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list after the previous element is consumed. - When the current element is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x320+0xC8000)++0x3 line.long 0x0 "DMA_LLP_HIGH_OFF_RDCH_$1,DMA Read Linked List Pointer High Register." hexmask.long 0x0 0.--31. 1. "LLP_HIGH,Upper 32 bits of the address of the linked list transfer list in local memory. Used in linked list mode only. Updated by the DMA to point to the next element in the transfer list as elements are consumed. Note: The access attributes of this.." repeat.end tree.end tree "PF0_ATU_CAP (ATU Por Logic Structure)" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_OUTBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - When the address of an outbound TLP is matched to this region and the FUNC_BYPASS field in the 'iATU Control 2 Register' is '0' then the function number used in generating the function part of the requester ID (RID).." "0,1" newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the address of an outbound TLP is matched to this region then the ATTR field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 8. "TD,This is a reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the address of an outbound TLP is matched to this region then the TC field of the TLP is changed to the value in this register. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the address of an outbound TLP is matched to this region then the TYPE field of the TLP is changed to the value in this register. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x4+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_OUTBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. The iATU uses bits [27:12] of the untranslated address (on the XALI0/1/2 interface or AXI slave interface address) to form the BDF number of the outgoing CFG TLP. This supports the Enhanced Configuration Address Mapping.." "0,1" newline bitfld.long 0x0 27. "DMA_BYPASS,DMA Bypass Mode. Allows request TLPs which are initiated by the DMA controller to pass through the iATU untranslated. Note: This field is reserved for the SW product. You must set it to '0'. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 23. "HEADER_SUBSTITUTE_EN,Header Substitute Enable. When enabled and region address is matched the iATU fully substitutes bytes 8-11 (for 3 DWORD header) or bytes 12-15 (for 4 DWORD header) of the outbound TLP header with the contents of the LWR_TARGET_RW.." "LWR_TARGET_RW in the..,LWR_TARGET_RW in the.." newline bitfld.long 0x0 22. "INHIBIT_PAYLOAD,Inhibit TLP Payload Data for TLP's in Matched Region; assign iATU region to be TLP without data. When enabled and region address is matched the iATU marks all TLPs as having no payload data by forcing the TLP header Fmt[1] bit =0.." "Fmt[1] =0/1 so that TLPs with or without data..,Fmt[1] =0 so that only TLP type without data is.." newline bitfld.long 0x0 21. "TLP_HEADER_FIELDS_BYPASS,TLP Header Fields Translation Bypass. In this mode header fields of the translated TLP is taken from your application transmit interface or if AMBA is configured from the AMBA sideband bus (slv_awmisc_info) and not from the.." "0,1" newline bitfld.long 0x0 20. "SNP,Serialize Non-Posted Requests. In this mode when the AXI Bridge is populated same AXI ID Non-Posted Read/Write Requests are transmitted on the wire if there are no other same ID Non-Posted Requests outstanding. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 19. "FUNC_BYPASS,Function Number Translation Bypass. In this mode the function number of the translated TLP is taken from your application transmit interface and not from the CTRL_1_FUNC_NUM field of the 'iATU Control 1 Register' or the VF_NUMBER field of.." "0,1" newline bitfld.long 0x0 16. "TAG_SUBSTITUTE_EN,TAG Substitute Enable. When enabled and region address is matched the iATU substitutes the TAG field of the outbound TLP header with the contents of the TAG field in this register. The expected usage scenario is translation from AXI.." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "TAG,TAG. The substituted TAG field (byte 6) in the outgoing TLP header when TAG_SUBSTITUTE_EN is set. Note: This register field is sticky." newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs (Message Code). When the address of an outbound TLP is matched to this region and the translated TLP TYPE field is Msg or MsgD; then the message field of the TLP is changed to the value in this register. Memory TLPs: (ST;Steering Tag)." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x8+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_OUTBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0xC+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_OUTBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This register field is.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_OUTBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x14+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Lower Target Address Register." hexmask.long 0x0 0.--31. 1. "LWR_TARGET_RW_OUTBOUND,When HEADER_SUBSTITUTE_EN in IATU_REGION_CTRL_2_OFF_OUTBOUND_ is '0' (normal operation): - LWR_TARGET_RW[31:n] forms MSB's of the Lower Target part of the new address of the translated region; - LWR_TARGET_RW[n-1:0] are not used." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x18+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_OUTBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x100+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_1_OFF_INBOUND_$1,iATU Region Control 1 Register." bitfld.long 0x0 20. "CTRL_1_FUNC_NUM,Function Number. - MEM-I/O: When the Address and BAR matching logic in the controller indicate that a MEM-I/O transaction matches a BAR in the function corresponding to this value then address translation proceeds. This check is only.." "?,When the destination function number as.." newline bitfld.long 0x0 13. "INCREASE_REGION_SIZE,Increase the maximum ATU Region size. When set the maximum ATU Region size is determined by CX_ATU_MAX_REGION_SIZE. When clear the maximum ATU Region size is 4 GB (default). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 9.--10. "ATTR,When the ATTR field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'ATTR Match Enable' bit of the 'iATU Control 2 Register' is.." "0,1,2,3" newline bitfld.long 0x0 8. "TD,When the TD field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TD Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1" newline bitfld.long 0x0 5.--7. "TC,When the TC field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'TC Match Enable' bit of the 'iATU Control 2 Register' is set." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--4. 1. "TYPE,When the TYPE field of an inbound TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x104+0xC0000)++0x3 line.long 0x0 "IATU_REGION_CTRL_2_OFF_INBOUND_$1,iATU Region Control 2 Register." bitfld.long 0x0 31. "REGION_EN,Region Enable. This bit must be set to '1' for address translation to take place. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 30. "MATCH_MODE,Match Mode. Determines Inbound matching mode for TLPs. The mode depends on the type of TLP that is received as follows: For MEM-I/O TLPs this field is interpreted as follows: - 0: Address Match Mode. The iATU operates using addresses as in.." "Address Match Mode,Vendor ID Match Mode" newline bitfld.long 0x0 29. "INVERT_MODE,Invert Mode. When set the address matching region is inverted. Therefore an address match occurs when the untranslated address is in the region outside the defined range (Base Address to Limit Address). Note: This register field is sticky." "0,1" newline bitfld.long 0x0 28. "CFG_SHIFT_MODE,CFG Shift Mode. This is useful for CFG transactions where the PCIe configuration mechanism maps bits [27:12] of the address to the bus/device and function number. This allows a CFG configuration space to be located in any 256MB window of.." "0,1" newline bitfld.long 0x0 27. "FUZZY_TYPE_MATCH_CODE,Fuzzy Type Match Mode. When enabled the iATU relaxes the matching of the TLP TYPE field against the expected TYPE field so that - CfgRd0 and CfgRd1 TLPs are seen as identical. Similarly with CfgWr0 and CfgWr1. - MWr MRd and MRdLk.." "0,1" newline bitfld.long 0x0 24.--25. "RESPONSE_CODE,Response Code. Defines the type of response to give for accesses matching this region. This overrides the normal RADM filter response. Note that this feature is not available for any region where Single Address Location Translate is.." "Normal RADM filter response is used,Unsupported request,?,?" newline bitfld.long 0x0 23. "SINGLE_ADDR_LOC_TRANS_EN,Single Address Location Translate Enable. When enabled Rx TLPs can be translated to a single address location as determined by the target address register of the iATU region. The main usage scenario is translation of Messages.." "0,1" newline bitfld.long 0x0 21. "MSG_CODE_MATCH_EN,Message Code Match Enable (Msg TLPS). Ensures that a successful message Code TLP field comparison match (see Message Code field of the 'iATU Control 2 Register') occurs (in MSG transactions) for address translation to proceed. ST Match.." "0,1" newline bitfld.long 0x0 19. "FUNC_NUM_MATCH_EN,Function Number Match Enable. Ensures that a successful Function Number TLP field comparison match (see Function Number field of the 'iATU Control 1 Register') occurs (in MEM-I/O and CFG0/CFG1 transactions) for address translation to.." "0,1" newline bitfld.long 0x0 16. "ATTR_MATCH_EN,ATTR Match Enable. Ensures that a successful ATTR TLP field comparison match (see ATTR field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 15. "TD_MATCH_EN,TD Match Enable. Ensures that a successful TD TLP field comparison match (see TD field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 14. "TC_MATCH_EN,TC Match Enable. Ensures that a successful TC TLP field comparison match (see TC field of the 'iATU Control 1 Register') occurs for address translation to proceed. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 13. "MSG_TYPE_MATCH_MODE,Message Type Match Mode. When enabled and if single address location translate enable is set then inbound TLPs of type MSG/MSGd which match the type field of the iatu_region_ctrl_1_OFF_inbound register (=>TYPE[4:3]=2'b10) will be.." "0,1" newline bitfld.long 0x0 8.--10. "BAR_NUM,BAR Number. When the BAR number of an inbound MEM or IO TLP ' that is matched by the normal internal BAR address matching mechanism ' is the same as this field address translation proceeds (when all other enabled field-matches are successful)." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 0.--7. 1. "MSG_CODE,MSG TLPs: (Message Code). When the TYPE field of an inbound Msg/MsgD TLP is matched to this value then address translation proceeds (when all other enabled field-matches are successful). This check is only performed if the 'Message Code Match.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x108+0xC0000)++0x3 line.long 0x0 "IATU_LWR_BASE_ADDR_OFF_INBOUND_$1,iATU Lower Base Address Register. The CX_ATU_MIN_REGION_SIZE configuration parameter (Value Range: 4 kB. 8 kB. 16 kB. 32 kB. 64 kB defaults to 64 kB) specifies the minimum size of an address translation region. For.." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_BASE_RW,Forms bits [31:n] of the start address of the address region to be translated. n is log2(CX_ATU_MIN_REGION_SIZE) Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LWR_BASE_HW,Forms bits [n-1:0] of the start address of the address region to be translated. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always 0. A write to this location is ignored by the PCIe controller." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x10C+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_BASE_ADDR_OFF_INBOUND_$1,iATU Upper Base Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_BASE_RW,Forms bits [63:32] of the start (and end) address of the address region to be translated. Note: This register field is sticky." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x110+0xC0000)++0x3 line.long 0x0 "IATU_LIMIT_ADDR_OFF_INBOUND_$1,iATU Limit Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LIMIT_ADDR_RW,Forms upper bits of the end address of the address region to be translated. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "LIMIT_ADDR_HW,Forms lower bits of the end address of the address region to be translated. The end address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary so these bits are always all ones. A write to this location is ignored by the PCIe.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x114+0xC0000)++0x3 line.long 0x0 "IATU_LWR_TARGET_ADDR_OFF_INBOUND_$1,iATU Lower Target Address Register." hexmask.long.tbyte 0x0 12.--31. 1. "LWR_TARGET_RW,Forms MSB's of the Lower Target part of the new address of the translated region. These bits are always '0'. - Field size depends on log2(CX_ATU_MIN_REGION_SIZE) in address match mode. - Field size depends on log2(BAR_MASK+1) in BAR match.." newline hexmask.long.word 0x0 0.--11. 1. "LWR_TARGET_HW,Forms the LSB's of the Lower Target part of the new address of the translated region. The start address must be aligned to a CX_ATU_MIN_REGION_SIZE kB boundary (in address match mode); and to the Bar size boundary (in BAR match mode) so.." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x200 0x400 0x600 0x800 0xA00 0xC00 0xE00 ) group.long ($2+0x118+0xC0000)++0x3 line.long 0x0 "IATU_UPPER_TARGET_ADDR_OFF_INBOUND_$1,iATU Upper Target Address Register." hexmask.long 0x0 0.--31. 1. "UPPER_TARGET_RW,Forms bits [63:32] of the start address (Upper Target part) of the new address of the translated region. In systems with a 32-bit address space this register is not used and therefore writing to this register has no effect. Note: This.." repeat.end tree.end tree "PF0_PORT_LOGIC (Port Logic)" group.long (0x0+0x700)++0x27 line.long 0x0 "ACK_LATENCY_TIMER_OFF,Ack Latency Timer and Replay Timer Register." hexmask.long.word 0x0 16.--31. 1. "REPLAY_TIME_LIMIT,Replay Timer Limit. The replay timer expires when it reaches this limit. The controller initiates a replay upon reception of a NAK or when the replay timer expires. For more details see 'Transmit Replay'. You can modify the effective.." newline hexmask.long.word 0x0 0.--15. 1. "ROUND_TRIP_LATENCY_TIME_LIMIT,Ack Latency Timer Limit. The Ack latency timer expires when it reaches this limit. For more details see 'Ack Scheduling'. You can modify the effective timer limit with the TIMER_MOD_ACK_NAK field of the.." line.long 0x4 "VENDOR_SPEC_DLLP_OFF,Vendor Specific DLLP Register." hexmask.long 0x4 0.--31. 1. "VENDOR_SPEC_DLLP,Vendor Specific DLLP Register. Used to send a specific PCI Express DLLP. Your application writes the 8-bit DLLP Type and 24-bits of Payload data into this register then sets the field VENDOR_SPECIFIC_DLLP_REQ of PORT_LINK_CTRL_OFF to.." line.long 0x8 "PORT_FORCE_OFF,Port Force Link Register." hexmask.long.byte 0x8 24.--31. 1. "RSVDP_24,Reserved for future use." newline bitfld.long 0x8 23. "DO_DESKEW_FOR_SRIS,Use the transitions from TS2 to Logical Idle Symbol SKP OS to Logical Idle Symbol EIEOS to Logical Idle Symbol and FTS Sequence to SKP OS to do deskew instead of using received SKP OS or TS1 to TS2 transition if DO_DESKEW_FOR_SRIS.." "0,1" newline rbitfld.long 0x8 22. "RSVDP_22,Reserved for future use." "0,1" newline hexmask.long.byte 0x8 16.--21. 1. "LINK_STATE,Forced LTSSM State. The LTSSM state that the controller is forced to when you set the FORCE_EN bit (Force Link). LTSSM state encoding is defined by the lts_state variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register field is sticky." newline bitfld.long 0x8 15. "FORCE_EN,Force Link. The controller supports a testing and debug capability to allow your software to force the LTSSM state machine into a specific state and to force the controller to transmit a specific Link Command. Asserting this bit triggers the.." "0,1" newline rbitfld.long 0x8 12.--14. "RSVDP_12,Reserved for future use." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x8 8.--11. 1. "FORCED_LTSSM,Forced Link Command. The link command that the controller is forced to transmit when you set FORCE_EN bit (Force Link). Link command encoding is defined by the ltssm_cmd variable in workspace/src/Layer1/smlh_ltssm.v. Note: This register.." newline hexmask.long.byte 0x8 0.--7. 1. "LINK_NUM,Link Number. Not used for endpoint. Not used for M-PCIe. Note: This register field is sticky." line.long 0xC "ACK_F_ASPM_CTRL_OFF,Ack Frequency and L0-L1 ASPM Control Register." rbitfld.long 0xC 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0xC 30. "ENTER_ASPM,ASPM L1 Entry Control. - 1: Controller enters ASPM L1 after a period in which it has been idle. - 0: Controller enters ASPM L1 only after idle period during which both receive and transmit are in L0s. Note: This register field is sticky." "Controller enters ASPM L1 only after idle period..,Controller enters ASPM L1 after a period in.." newline bitfld.long 0xC 27.--29. "L1_ENTRANCE_LATENCY,L1 Entrance Latency. Value range is: - 000: 1 us - 001: 2 us - 010: 4 us - 011: 8 us - 100: 16 us - 101: 32 us - 110 or 111: 64 us Note: Programming this timer with a value greater that 32us has no effect unless extended sync is used.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 24.--26. "L0S_ENTRANCE_LATENCY,L0s Entrance Latency. Values correspond to: - 000: 1 us - 001: 2 us - 010: 3 us - 011: 4 us - 100: 5 us - 101: 6 us - 110 or 111: 7 us This field is applicable to STALL while in L0 for M-PCIe. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0xC 16.--23. 1. "COMMON_CLK_N_FTS,Common Clock N_FTS. This is the N_FTS when common clock is used. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request.." newline hexmask.long.byte 0xC 8.--15. 1. "ACK_N_FTS,N_FTS. The number of Fast Training Sequence ordered sets to be transmitted when transitioning from L0s to L0. The maximum number of FTS ordered-sets that a component can request is 255. The controller does not support a value of zero; a value.." newline hexmask.long.byte 0xC 0.--7. 1. "ACK_FREQ,Ack Frequency. The controller accumulates the number of pending ACKs specified here (up to 255) before scheduling an ACK DLLP. - 0: Indicates that this Ack Frequency Counter feature is turned off. The controller generates a low-priority ACK.." line.long 0x10 "PORT_LINK_CTRL_OFF,Port Link Control Register." hexmask.long.byte 0x10 28.--31. 1. "RSVDP_28,Reserved for future use." newline bitfld.long 0x10 27. "TRANSMIT_LANE_REVERSALE_ENABLE,TRANSMIT_LANE_REVERSALE_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 26. "EXTENDED_SYNCH,EXTENDED_SYNCH is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 25. "CORRUPT_LCRC_ENABLE,CORRUPT_LCRC_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 24. "BEACON_ENABLE,BEACON_ENABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x10 16.--21. 1. "LINK_CAPABLE,Link Mode Enable. Sets the number of lanes in the link that you want to connect to the link partner. When you have unused lanes in your system then you must change the value in this register to reflect the number of lanes. You must also.." newline hexmask.long.byte 0x10 12.--15. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x10 8.--11. 1. "LINK_RATE,LINK_RATE is an internally reserved field. Do not use. Note: This register field is sticky." newline bitfld.long 0x10 7. "FAST_LINK_MODE,Fast Link Mode. Sets all internal LTSSM millisecond timers to Fast Mode for speeding up simulation. Forces the LTSSM training (link initialization) to use shorter time-outs and to link up faster. The default scaling factor can be changed.." "0,1" newline bitfld.long 0x10 6. "LINK_DISABLE,LINK_DISABLE is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 5. "DLL_LINK_EN,DLL Link Enable. Enables link initialization. When DLL Link Enable =0 the controller does not transmit InitFC DLLPs and does not establish a link. Note: This register field is sticky." "0,1" newline rbitfld.long 0x10 4. "RSVDP_4,Reserved for future use." "0,1" newline bitfld.long 0x10 3. "RESET_ASSERT,Reset Assert. Triggers a recovery and forces the LTSSM to the hot reset state (downstream port only). Note: This register field is sticky." "0,1" newline bitfld.long 0x10 2. "LOOPBACK_ENABLE,Loopback Enable. Turns on loopback. For more details see 'Loopback'. For M-PCIe to force the master to enter Digital Loopback mode you must set this field to '1' during Configuration.start state(initial discovery/configuration). M-PCIe.." "0,1" newline bitfld.long 0x10 1. "SCRAMBLE_DISABLE,Scramble Disable. Turns off data scrambling. Note: This register field is sticky." "0,1" newline bitfld.long 0x10 0. "VENDOR_SPECIFIC_DLLP_REQ,Vendor Specific DLLP Request. When software writes a '1' to this bit the controller transmits the DLLP contained in the VENDOR_SPEC_DLLP field of VENDOR_SPEC_DLLP_OFF. Reading from this self-clearing register field always.." "0,1" line.long 0x14 "LANE_SKEW_OFF,Lane Skew Register." bitfld.long 0x14 31. "DISABLE_LANE_TO_LANE_DESKEW,Disable Lane-to-Lane Deskew. Causes the controller to disable the internal Lane-to-Lane deskew logic. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x14 27.--30. 1. "IMPLEMENT_NUM_LANES,Implementation-specific Number of Lanes. Set the implementation-specific number of lanes. Allowed values are: - 4'b0000: 1 lane - 4'b0001: 2 lanes - 4'b0011: 4 lanes - 4'b0111: 8 lanes - 4'b1111: 16 lanes The number of lanes to be.." newline bitfld.long 0x14 26. "ELASTIC_BUFFER_MODE,Selects Elasticity Buffer operating mode: 0: Nominal Half Full Buffer mode 1: Nominal Empty Buffer Mode Note: This register field is sticky." "Nominal Half Full Buffer mode,Nominal Empty Buffer Mode" newline bitfld.long 0x14 25. "ACK_NAK_DISABLE,Ack/Nak Disable. Prevents the controller from sending ACK and NAK DLLPs. Note: This register field is sticky." "0,1" newline bitfld.long 0x14 24. "FLOW_CTRL_DISABLE,Flow Control Disable. Prevents the controller from sending FC DLLPs. Note: This register field is sticky." "0,1" newline hexmask.long.tbyte 0x14 0.--23. 1. "INSERT_LANE_SKEW,INSERT_LANE_SKEW is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x18 "TIMER_CTRL_MAX_FUNC_NUM_OFF,Timer Control and Max Function Number Register." rbitfld.long 0x18 31. "RSVDP_31,Reserved for future use." "0,1" newline bitfld.long 0x18 29.--30. "FAST_LINK_SCALING_FACTOR,Fast Link Timer Scaling Factor. Sets the scaling factor of LTSSM timer when FAST_LINK_MODE field in PORT_LINK_CTRL_OFF is set to '1'. - 0: Scaling Factor is 1024 (1ms is 1us *a) - 1: Scaling Factor is 256 (1ms is 4us) - 2:.." "Scaling Factor is 1024,Scaling Factor is 256,Scaling Factor is 64,Scaling Factor is 16" newline hexmask.long.byte 0x18 24.--28. 1. "UPDATE_FREQ_TIMER,UPDATE_FREQ_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.byte 0x18 19.--23. 1. "TIMER_MOD_ACK_NAK,Ack Latency Timer Modifier. Increases the timer value for the Ack latency timer in increments of 64 clock cycles. A value of '0' represents no modification to the timer value. For more details see the ROUND_TRIP_LATENCY_TIME_LIMIT.." newline hexmask.long.byte 0x18 14.--18. 1. "TIMER_MOD_REPLAY_TIMER,Replay Timer Limit Modifier. Increases the time-out value for the replay timer in increments of 64 clock cycles at Gen1 or Gen2 speed and in increments of 256 clock cycles at Gen3 speed. A value of '0' represents no modification.." newline hexmask.long.byte 0x18 8.--13. 1. "RSVDP_8,Reserved for future use." newline hexmask.long.byte 0x18 0.--7. 1. "MAX_FUNC_NUM,Maximum function number that can be used in a request. Configuration requests targeted at function numbers above this value are returned with UR (unsupported request). Note: This register field is sticky." line.long 0x1C "SYMBOL_TIMER_FILTER_1_OFF,Symbol Timer Register and Filter Mask 1 Register. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated.." hexmask.long.word 0x1C 16.--31. 1. "MASK_RADM_1,Filter Mask 1. The Filter Mask 1 Register modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated.." newline bitfld.long 0x1C 15. "DISABLE_FC_WD_TIMER,Disable FC Watchdog Timer. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x1C 11.--14. 1. "EIDLE_TIMER,EIDLE_TIMER is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x1C 0.--10. 1. "SKP_INT_VAL,SKP Interval Value. The number of symbol times to wait between transmitting SKP ordered sets. Note that the controller actually waits the number of symbol times in this register plus 1 between transmitting SKP ordered sets. Your application.." line.long 0x20 "FILTER_MASK_2_OFF,Filter Mask 2 Register. This register modifies the RADM filtering and error handling rules. For more details. see the 'Receive Filtering' section. In each case. '0' applies the associated filtering rule and '1' masks the associated.." hexmask.long 0x20 0.--31. 1. "MASK_RADM_2,Filter Mask 2. This field modifies the RADM filtering and error handling rules. For more details see the 'Receive Filtering' section. In each case '0' applies the associated filtering rule and '1' masks the associated filtering rule." line.long 0x24 "AMBA_MUL_OB_DECOMP_NP_SUB_REQ_CTRL_OFF,AMBA Multiple Outbound Decomposed NP SubRequests Control Register." hexmask.long 0x24 1.--31. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x24 0. "OB_RD_SPLIT_BURST_EN,Enable AMBA Multiple Outbound Decomposed NP SubRequests. This bit when set to '0' disables the possibility of having multiple outstanding non-posted requests that were derived from decomposition of an outbound AMBA request. For more.." "0,1" rgroup.long (0x28+0x700)++0x13 line.long 0x0 "PL_DEBUG0_OFF,Debug Register 0" hexmask.long 0x0 0.--31. 1. "DEB_REG_0,The value on cxpl_debug_info[31:0]." line.long 0x4 "PL_DEBUG1_OFF,Debug Register 1" hexmask.long 0x4 0.--31. 1. "DEB_REG_1,The value on cxpl_debug_info[63:32]." line.long 0x8 "TX_P_FC_CREDIT_STATUS_OFF,Transmit Posted FC Credit Status" hexmask.long.word 0x8 20.--31. 1. "RSVDP_TX_P_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x8 12.--19. 1. "TX_P_HEADER_FC_CREDIT,Transmit Posted Header FC Credits. The posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." newline hexmask.long.word 0x8 0.--11. 1. "TX_P_DATA_FC_CREDIT,Transmit Posted Data FC Credits. The posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data Scaled.." line.long 0xC "TX_NP_FC_CREDIT_STATUS_OFF,Transmit Non-Posted FC Credit Status" hexmask.long.word 0xC 20.--31. 1. "RSVDP_TX_NP_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0xC 12.--19. 1. "TX_NP_HEADER_FC_CREDIT,Transmit Non-Posted Header FC Credits. The non-posted Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and.." newline hexmask.long.word 0xC 0.--11. 1. "TX_NP_DATA_FC_CREDIT,Transmit Non-Posted Data FC Credits. The non-posted Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." line.long 0x10 "TX_CPL_FC_CREDIT_STATUS_OFF,Transmit Completion FC Credit Status" hexmask.long.word 0x10 20.--31. 1. "RSVDP_TX_CPL_FC_CREDIT_STATUS,Reserved for future use." newline hexmask.long.byte 0x10 12.--19. 1. "TX_CPL_HEADER_FC_CREDIT,Transmit Completion Header FC Credits. The Completion Header credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header.." newline hexmask.long.word 0x10 0.--11. 1. "TX_CPL_DATA_FC_CREDIT,Transmit Completion Data FC Credits. The Completion Data credits advertised by the receiver at the other end of the link updated with each UpdateFC DLLP. Default value depends on the number of advertised credits for header and data.." group.long (0x3C+0x700)++0x3 line.long 0x0 "QUEUE_STATUS_OFF,Queue Status" bitfld.long 0x0 31. "TIMER_MOD_FLOW_CONTROL_EN,FC Latency Timer Override Enable. When this bit is set the value from the 'FC Latency Timer Override Value' field in this register will override the FC latency timer value that the controller calculates according to the PCIe.." "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline hexmask.long.word 0x0 16.--28. 1. "TIMER_MOD_FLOW_CONTROL,FC Latency Timer Override Value. When you set the 'FC Latency Timer Override Enable' in this register the value in this field will override the FC latency timer value that the controller calculates according to the PCIe.." newline rbitfld.long 0x0 13. "RX_SERIALIZATION_Q_NON_EMPTY,Receive Serialization Queue Not Empty. Indicates there is data in the serialization queue." "0,1" newline hexmask.long.word 0x0 4.--12. 1. "RSVDP_4,Reserved for future use." newline bitfld.long 0x0 3. "RX_QUEUE_OVERFLOW,Receive Credit Queue Overflow. Indicates insufficient buffer space available to write to the P/NP/CPL credit queue." "0,1" newline rbitfld.long 0x0 2. "RX_QUEUE_NON_EMPTY,Receive Credit Queue Not Empty. Indicates there is data in one or more of the receive buffers." "0,1" newline rbitfld.long 0x0 1. "TX_RETRY_BUFFER_NE,Transmit Retry Buffer Not Empty. Indicates that there is data in the transmit retry buffer." "0,1" newline rbitfld.long 0x0 0. "RX_TLP_FC_CREDIT_NON_RETURN,Received TLP FC Credits Not Returned. Indicates that the controller has received a TLP but has not yet sent an UpdateFC DLLP indicating that the credits for that TLP have been restored by the receiver at the other end of the.." "0,1" rgroup.long (0x40+0x700)++0x7 line.long 0x0 "VC_TX_ARBI_1_OFF,VC Transmit Arbitration Register 1" hexmask.long.byte 0x0 24.--31. 1. "WRR_WEIGHT_VC_3,WRR Weight for VC3. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 16.--23. 1. "WRR_WEIGHT_VC_2,WRR Weight for VC2. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 8.--15. 1. "WRR_WEIGHT_VC_1,WRR Weight for VC1. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x0 0.--7. 1. "WRR_WEIGHT_VC_0,WRR Weight for VC0. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" line.long 0x4 "VC_TX_ARBI_2_OFF,VC Transmit Arbitration Register 2" hexmask.long.byte 0x4 24.--31. 1. "WRR_WEIGHT_VC_7,WRR Weight for VC7. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 16.--23. 1. "WRR_WEIGHT_VC_6,WRR Weight for VC6. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 8.--15. 1. "WRR_WEIGHT_VC_5,WRR Weight for VC5. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" newline hexmask.long.byte 0x4 0.--7. 1. "WRR_WEIGHT_VC_4,WRR Weight for VC4. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R" group.long (0x48+0x700)++0xB line.long 0x0 "VC0_P_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Posted Receive Queue Control." bitfld.long 0x0 31. "VC_ORDERING_RX_Q,VC Ordering for Receive Queues. Determines the VC ordering rule for the receive queues used only in the segmented-buffer configuration: - 1: Strict ordering higher numbered VCs have higher priority - 0: Round robin Note: This register.." "Round robin,Strict ordering" newline bitfld.long 0x0 30. "TLP_TYPE_ORDERING_VC0,TLP Type Ordering for VC0. Determines the TLP type ordering rule for VC0 receive queues used only in the segmented-buffer configuration: - 1: PCIe ordering rules (recommended) - 0: Strict ordering: posted completion then.." "Strict ordering: posted,PCIe ordering rules" newline bitfld.long 0x0 28.--29. "RESERVED5,Reserved. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 26.--27. "VC0_P_DATA_SCALE,VC0 Scale Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 24.--25. "VC0_P_HDR_SCALE,VC0 Scale Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x0 21.--23. "VC0_P_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "RESERVED4,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 12.--19. 1. "VC0_P_HEADER_CREDIT,VC0 Posted Header Credits. The number of initial posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." newline hexmask.long.word 0x0 0.--11. 1. "VC0_P_DATA_CREDIT,VC0 Posted Data Credits. The number of initial posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note: This.." line.long 0x4 "VC0_NP_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Non-Posted Receive Queue Control." hexmask.long.byte 0x4 28.--31. 1. "RESERVED7,Reserved. Note: This register field is sticky." newline bitfld.long 0x4 26.--27. "VC0_NP_DATA_SCALE,VC0 Scale Non-Posted Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 24.--25. "VC0_NP_HDR_SCALE,VC0 Scale Non-Posted Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x4 21.--23. "VC0_NP_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x4 20. "RESERVED6,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "VC0_NP_HEADER_CREDIT,VC0 Non-Posted Header Credits. The number of initial non-posted header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x4 0.--11. 1. "VC0_NP_DATA_CREDIT,VC0 Non-Posted Data Credits. The number of initial non-posted data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." line.long 0x8 "VC0_CPL_RX_Q_CTRL_OFF,Segmented-Buffer VC0 Completion Receive Queue Control." hexmask.long.byte 0x8 28.--31. 1. "RESERVED9,Reserved. Note: This register field is sticky." newline bitfld.long 0x8 26.--27. "VC0_CPL_DATA_SCALE,VC0 Scale CPL Data Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 24.--25. "VC0_CPL_HDR_SCALE,VC0 Scale CPL Header Credites. Note: This register field is sticky." "0,1,2,3" newline bitfld.long 0x8 21.--23. "VC0_CPL_TLP_Q_MODE,Reserved. Note: This register field is sticky." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 20. "RESERVED8,Reserved. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x8 12.--19. 1. "VC0_CPL_HEADER_CREDIT,VC0 Completion Header Credits. The number of initial Completion header credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky).." newline hexmask.long.word 0x8 0.--11. 1. "VC0_CPL_DATA_CREDIT,VC0 Completion Data Credits. The number of initial Completion data credits for VC0 used only in the segmented-buffer configuration. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky) Note:.." group.long (0x10C+0x700)++0x3 line.long 0x0 "GEN2_CTRL_OFF,This register is used to control various functions of the controller related to link training. lane reversal. and equalization." rbitfld.long 0x0 31. "RSVDP_31,Reserved for future use." "0,1" newline rbitfld.long 0x0 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 21. "GEN1_EI_INFERENCE,Electrical Idle Inference Mode at Gen1 Rate. Programmable mode to determine inferred electrical idle (EI) in Recovery.Speed or Loopback.Active (as slave) state at Gen1 speed by looking for a '1' value on RxElecIdle instead of looking.." "Use RxElecIdle signal to infer Electrical Idle,Use RxValid signal to infer Electrical Idle" newline bitfld.long 0x0 20. "SEL_DEEMPHASIS,Used to set the de-emphasis level for upstream ports. This bit selects the level of de-emphasis the link operates at. - 0: -6 dB - 1: -3.5 dB This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this field are.." "0,1" newline bitfld.long 0x0 19. "CONFIG_TX_COMP_RX,Config Tx Compliance Receive Bit. When set to 1 signals LTSSM to transmit TS ordered sets with the compliance receive bit assert (equal to '1'). This field is reserved (fixed to '0') for M-PCIe. Note: The access attributes of this.." "0,1" newline bitfld.long 0x0 18. "CONFIG_PHY_TX_CHANGE,Config PHY Tx Swing. Controls the PHY transmitter voltage swing level. The controller drives the mac_phy_txswing output from this register bit field. - 0: Full Swing - 1: Low Swing This field is reserved (fixed to '0') for M-PCIe." "Full Swing,Low Swing" newline bitfld.long 0x0 17. "DIRECT_SPEED_CHANGE,Directed Speed Change. Writing '1' to this field instructs the LTSSM to initiate a speed change to Gen2 or Gen3 after the link is initialized at Gen1 speed. When the speed change occurs the controller will clear the contents of this.." "0,1" newline bitfld.long 0x0 16. "AUTO_LANE_FLIP_CTRL_EN,Enable Auto flipping of the lanes. You must set the CX_AUTO_LANE_FLIP_CTRL_EN configuration parameter to include the hardware for this feature in the controller. For more details see the 'Lane Reversal' appendix in the Databook." "0,1" newline bitfld.long 0x0 13.--15. "PRE_DET_LANE,Predetermined Lane for Auto Flip. This field defines which physical lane is connected to logical Lane0 by the flip operation performed in Detect. This field is used to restrict the receiver detect procedure to a particular lane when the.." "LANE0,LANE1,LANE3,LANE7,LANE15,?,?,?" newline hexmask.long.byte 0x0 8.--12. 1. "NUM_OF_LANES,Predetermined Number of Lanes. Defines the number of lanes which are connected and not bad. Used to limit the effective link width to ignore 'broken' or 'unused' lanes that detect a receiver. Indicates the number of lanes to check for exit.." newline hexmask.long.byte 0x0 0.--7. 1. "FAST_TRAINING_SEQ,Sets the Number of Fast Training Sequences (N_FTS) that the controller advertises as its N_FTS during Gen2 or Gen3 link training. This value is used to inform the link partner about the PHY's ability to recover synchronization after a.." rgroup.long (0x110+0x700)++0x3 line.long 0x0 "PHY_STATUS_OFF,PHY Status Register. Memory mapped register from phy_cfg_status GPIO input pins." hexmask.long 0x0 0.--31. 1. "PHY_STATUS,PHY Status. Data received directly from the phy_cfg_status bus. These is a GPIO register reflecting the values on the static phy_cfg_status input signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x114+0x700)++0x3 line.long 0x0 "PHY_CONTROL_OFF,PHY Control Register. Memory mapped register to cfg_phy_control GPIO output pins." hexmask.long 0x0 0.--31. 1. "PHY_CONTROL,PHY Control. Data sent directly to the cfg_phy_control bus. These is a GPIO register driving the values on the static cfg_phy_control output signals. The usage is left completely to the user and does not in any way influence controller.." group.long (0x11C+0x700)++0x77 line.long 0x0 "TRGT_MAP_CTRL_OFF,Programmable Target Map Control Register." hexmask.long.word 0x0 21.--31. 1. "TARGET_MAP_RESERVED_21_31,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" newline hexmask.long.byte 0x0 16.--20. 1. "TARGET_MAP_INDEX,The number of the PF Function on which the Target Values are set. This register does not respect the Byte Enable setting. any write will affect all register bits." newline rbitfld.long 0x0 13.--15. "TARGET_MAP_RESERVED_13_15,Reserved. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R (sticky)" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 6. "TARGET_MAP_ROM,Target Value for the ROM page of the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." "0,1" newline hexmask.long.byte 0x0 0.--5. 1. "TARGET_MAP_PF,Target Values for each BAR on the PF Function selected by the index number. This register does not respect the Byte Enable setting. any write will affect all register bits." line.long 0x4 "MSI_CTRL_ADDR_OFF,Integrated MSI Reception Module (iMRM) Address Register." hexmask.long 0x4 0.--31. 1. "MSI_CTRL_ADDR,Integrated MSI Reception Module Address. System specified address for MSI memory write transaction termination. Within the AXI Bridge every received Memory Write request is examined to see if it targets the MSI Address that has been.." line.long 0x8 "MSI_CTRL_UPPER_ADDR_OFF,Integrated MSI Reception Module Upper Address Register." hexmask.long 0x8 0.--31. 1. "MSI_CTRL_UPPER_ADDR,Integrated MSI Reception Module Upper Address. System specified upper address for MSI memory write transaction termination. Allows functions to support a 64-bit MSI address. Note: This register field is sticky." line.long 0xC "MSI_CTRL_INT_0_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0xC 0.--31. 1. "MSI_CTRL_INT_0_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x10 "MSI_CTRL_INT_0_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x10 0.--31. 1. "MSI_CTRL_INT_0_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x14 "MSI_CTRL_INT_0_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x14 0.--31. 1. "MSI_CTRL_INT_0_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x18 "MSI_CTRL_INT_1_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x18 0.--31. 1. "MSI_CTRL_INT_1_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x1C "MSI_CTRL_INT_1_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x1C 0.--31. 1. "MSI_CTRL_INT_1_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x20 "MSI_CTRL_INT_1_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x20 0.--31. 1. "MSI_CTRL_INT_1_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x24 "MSI_CTRL_INT_2_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x24 0.--31. 1. "MSI_CTRL_INT_2_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x28 "MSI_CTRL_INT_2_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x28 0.--31. 1. "MSI_CTRL_INT_2_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x2C "MSI_CTRL_INT_2_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x2C 0.--31. 1. "MSI_CTRL_INT_2_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x30 "MSI_CTRL_INT_3_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x30 0.--31. 1. "MSI_CTRL_INT_3_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x34 "MSI_CTRL_INT_3_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x34 0.--31. 1. "MSI_CTRL_INT_3_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x38 "MSI_CTRL_INT_3_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x38 0.--31. 1. "MSI_CTRL_INT_3_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x3C "MSI_CTRL_INT_4_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x3C 0.--31. 1. "MSI_CTRL_INT_4_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x40 "MSI_CTRL_INT_4_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x40 0.--31. 1. "MSI_CTRL_INT_4_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x44 "MSI_CTRL_INT_4_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x44 0.--31. 1. "MSI_CTRL_INT_4_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x48 "MSI_CTRL_INT_5_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x48 0.--31. 1. "MSI_CTRL_INT_5_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x4C "MSI_CTRL_INT_5_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x4C 0.--31. 1. "MSI_CTRL_INT_5_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x50 "MSI_CTRL_INT_5_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x50 0.--31. 1. "MSI_CTRL_INT_5_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x54 "MSI_CTRL_INT_6_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x54 0.--31. 1. "MSI_CTRL_INT_6_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x58 "MSI_CTRL_INT_6_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x58 0.--31. 1. "MSI_CTRL_INT_6_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x5C "MSI_CTRL_INT_6_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x5C 0.--31. 1. "MSI_CTRL_INT_6_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x60 "MSI_CTRL_INT_7_EN_OFF,Integrated MSI Reception Module Interrupt#i Enable Register." hexmask.long 0x60 0.--31. 1. "MSI_CTRL_INT_7_EN,MSI Interrupt#i Enable. Specifies which interrupts are enabled. When an MSI is received from a disabled interrupt no status bit gets set in MSI controller interrupt status register. Each bit corresponds to a single MSI Interrupt.." line.long 0x64 "MSI_CTRL_INT_7_MASK_OFF,Integrated MSI Reception Module Interrupt#i Mask Register." hexmask.long 0x64 0.--31. 1. "MSI_CTRL_INT_7_MASK,MSI Interrupt#i Mask. Allows enabled interrupts to be masked. When an MSI is received for a masked interrupt the corresponding status bit gets set in the interrupt status register but the msi_ctrl_int output is not set HIGH. Each bit.." line.long 0x68 "MSI_CTRL_INT_7_STATUS_OFF,Integrated MSI Reception Module Interrupt#i Status Register." hexmask.long 0x68 0.--31. 1. "MSI_CTRL_INT_7_STATUS,MSI Interrupt#i Status. When an MSI is detected for EP#i one bit in this register is set. The decoding of the data payload of the MSI Memory Write request determines which bit gets set. A status is bit is cleared by writing a 1 to.." line.long 0x6C "MSI_GPIO_IO_OFF,Integrated MSI Reception Module General Purpose IO Register." hexmask.long 0x6C 0.--31. 1. "MSI_GPIO_REG,MSI GPIO Register. The contents of this register drives the top-level GPIO msi_ctrl_io[31:0] Note: This register field is sticky." line.long 0x70 "CLOCK_GATING_CTRL_OFF,This register enables you to disable dynamic clock gating. By default dynamic clock gating is on. allowing the controller to autonomously enable and disable its clocks. The clock gating is performed in the clock and reset module." hexmask.long 0x70 2.--31. 1. "RSVDP_2,Reserved for future use." newline bitfld.long 0x70 1. "AXI_CLK_GATING_EN,AXI Clock Gating Enable. This register enables the AXI Bridge to autonomously enable and disable the AXI Master clock the AXI Slave clock and the AXI DBI slave clock. The DWC_pcie_clk_rst.v module provides the gated clock.." "Disable,Enable" newline bitfld.long 0x70 0. "RADM_CLK_GATING_EN,RADM Clock Gating Enable. This register if set enables the RADM to autonomously enable and disable its clock. The DWC_pcie_clk_rst.v module provides the gated clock radm_clk_g to the RADM and is enabled when the controllers clock.." "Disable,Enable" line.long 0x74 "GEN3_RELATED_OFF,Gen3 Control Register. There is no Gen3-specific N_FTS field. The N_FTS field in the 'Link Width and Speed Change Control Register' is used for both Gen2 and Gen3 speed modes. There is no Gen3-specific 'Directed Speed Change' field. The.." hexmask.long.byte 0x74 26.--31. 1. "RSVDP_26,Reserved for future use." newline bitfld.long 0x74 23. "GEN3_EQ_INVREQ_EVAL_DIFF_DISABLE,Eq InvalidRequest and RxEqEval Different Time Assertion Disable. Disable the assertion of Eq InvalidRequest and RxEqEval at different time. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and.." "0,1" newline rbitfld.long 0x74 19.--20. "RSVDP_19,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 18. "GEN3_DC_BALANCE_DISABLE,DC Balance Disable. Disable DC Balance feature. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 17. "GEN3_DLLP_XMT_DELAY_DISABLE,DLLP Transmission Delay Disable. Disable delay transmission of DLLPs before equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 16. "GEN3_EQUALIZATION_DISABLE,Equalization Disable. Disable equalization feature. This bit cannot be changed once the LTSSM starts link training. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This.." "0,1" newline rbitfld.long 0x74 14.--15. "RSVDP_14,Reserved for future use." "0,1,2,3" newline bitfld.long 0x74 13. "RXEQ_RGRDLESS_RXTS,When set to '1' the controller as Gen3 EQ master asserts RxEqEval to instruct the PHY to do Rx adaptation and evaluation after a 500ns timeout from a new preset request. - 0: mac_phy_rxeqeval asserts after 1us and 2 TS1 received from.." "mac_phy_rxeqeval asserts after 1us and 2 TS1..,mac_phy_rxeqeval asserts after 500ns regardless.." newline bitfld.long 0x74 12. "RXEQ_PH01_EN,Rx Equalization Phase 0/Phase 1 Hold Enable. When this bit is set the upstream port holds phase 0 (the downstream port holds phase 1) for 10ms. Holding phase 0 or phase 1 can be used to allow sufficient time for Rx Equalization to be.." "Tx equalization only in phase 2/3,No Tx equalization" newline bitfld.long 0x74 11. "EQ_REDO,Equalization Redo Disable. Disable autonomous mechanism for requesting to redo the equalization process. The received presets or coefficients mismatch in Recovery.RcvrLock after Recovery EQ phases causes the EQ redo requests. If the EQ redo is.." "0,1" newline bitfld.long 0x74 10. "EQ_EIEOS_CNT,Equalization EIEOS Count Reset Disable. Disable requesting reset of EIEOS count during equalization. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shared for Gen3 and Gen4/Gen5 data rate. Note: This register field is sticky." "0,1" newline bitfld.long 0x74 9. "EQ_PHASE_2_3,Equalization Phase 2 and Phase 3 Disable. This applies to downstream ports only. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3 and Gen4/Gen5 data rate. If RATE_SHADOW_SEL==00b this register is for Gen3.." "0,1" newline bitfld.long 0x74 8. "DISABLE_SCRAMBLER_GEN_3,Disable Scrambler for Gen3 and Gen4 Data Rate. The Gen3 and Gen4 scrambler/descrambler within the controller needs to be disabled when the scrambling function is implemented outside of the controller (for example within the PHY)." "0,1" newline hexmask.long.byte 0x74 1.--7. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x74 0. "GEN3_ZRXDC_NONCOMPL,Gen3 Receiver Impedance ZRX-DC Not Compliant. Receivers that operate at 8.0 GT/s with an impedance other than the range defined by the ZRX-DC parameter for 2.5 GT/s (40-60 Ohms) must meet additional behavior requirements in the.." "The receiver complies with the ZRX-DC parameter..,The receiver does not comply with the ZRX-DC.." group.long (0x1A8+0x700)++0x7 line.long 0x0 "GEN3_EQ_CONTROL_OFF,Gen3 EQ Control Register. This register controls equalization for Phase2 in an upstream port (USP). or Phase3 in a downstream port (DSP). M-PCIe doesn't have Conventional PCIe Gen3 feature. The registers of this section do not exist." hexmask.long.byte 0x0 27.--31. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x0 26. "GEN3_REQ_SEND_CONSEC_EIEOS_FOR_PSET_MAP,Request controller to send back-to-back EIEOS in Recovery.RcvrLock state until presets to coefficients mapping is complete. - 0: Do not request - 1: request Note: Gen3 and Gen4 share the same register bit and have.." "Do not request,request" newline bitfld.long 0x0 25. "GEN3_EQ_PSET_REQ_AS_COEF,GEN3_EQ_PSET_REQ_AS_COEF is an internally reserved field. Do not use. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 24. "GEN3_EQ_FOM_INC_INITIAL_EVAL,Include Initial FOM. Include or not the FOM feedback from the initial preset evaluation performed in the EQ Master when finding the highest FOM among all preset evaluations. - 0: Do not include - 1: Include Note: When.." "Do not include,Include" newline hexmask.long.word 0x0 8.--23. 1. "GEN3_EQ_PSET_REQ_VEC,Preset Request Vector. Requesting of Presets during the initial part of the EQ Master Phase. Encoding scheme is as follows: Bit [15:0] =0x0: No preset is requested and evaluated in EQ Master Phase. Bit [i] =1: 'Preset=i' is requested.." newline rbitfld.long 0x0 7. "RSVDP_7,Reserved for future use." "0,1" newline bitfld.long 0x0 6. "GEN3_LOWER_RATE_EQ_REDO_ENABLE,Support EQ redo and lower rate change: - 0: not support - 1: support Note: Gen3 and Gen4 share the same register bit and have the same feature. Note: This register field is sticky." "not support,support" newline bitfld.long 0x0 5. "GEN3_EQ_EVAL_2MS_DISABLE,Phase2_3 2 ms Timeout Disable. Determine behavior in Phase2 for USP (Phase3 if DSP) when the PHY does not respond within 2ms to the assertion of RxEqEval: - 0: abort the current evaluation stop any attempt to modify the remote.." "abort the current evaluation,ignore the 2ms timeout and continue as normal" newline bitfld.long 0x0 4. "GEN3_EQ_PHASE23_EXIT_MODE,Behavior After 24 ms Timeout (when optimal settings are not found). For a USP: Determine next LTSSM state from Phase2 after 24ms Timeout - 0: Recovery.Speed - 1: Recovery.Equalization.Phase3 When optimal settings are not found.." "Equalization Phase 3 Successful status bit is..,Recovery" newline hexmask.long.byte 0x0 0.--3. 1. "GEN3_EQ_FB_MODE,Feedback Mode. - 0000b: Direction Change - 0001b: Figure Of Merit - 0010b: Reserved - .....: Reserved - 1111b: Reserved Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is a shadow register for Gen3 and Gen4/Gen5 data rate. If.." line.long 0x4 "GEN3_EQ_FB_MODE_DIR_CHANGE_OFF,Gen3 EQ Direction Change Feedback Mode Control Register. Equalization controls to be used in Phase2 (USP) or Phase 3 (DSP). when you set the Feedback Mode in 'Gen3 EQ Control Register' to 'Direction Change.' These fields.." hexmask.long.word 0x4 18.--31. 1. "RSVDP_18,Reserved for future use." newline hexmask.long.byte 0x4 14.--17. 1. "GEN3_EQ_FMDC_MAX_POST_CUSROR_DELTA,Convergence Window Aperture for C+1. Post-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for.." newline hexmask.long.byte 0x4 10.--13. 1. "GEN3_EQ_FMDC_MAX_PRE_CUSROR_DELTA,Convergence Window Aperture for C-1. Pre-cursor coefficients maximum delta within the convergence window depth. Allowed range: 0 1 2 ..15. Note: When CX_GEN4_SPEED/CX_GEN5_SPEED this register is shadow register for Gen3.." newline hexmask.long.byte 0x4 5.--9. 1. "GEN3_EQ_FMDC_N_EVALS,Convergence Window Depth. Number of consecutive evaluations considered in Phase 2/3 when determining if optimal coefficients have been found. Allowed range: 0 1 2 ..16 up to a maximum of CX_GEN3_EQ_COEFQ_DEPTH. When set to 0 EQ.." newline hexmask.long.byte 0x4 0.--4. 1. "GEN3_EQ_FMDC_T_MIN_PHASE23,Minimum Time (in ms) To Remain in EQ Master Phase. The LTSSM stays in EQ Master phase for at least this amount of time before starting to check for convergence of the coefficients. Allowed values 0 1 ... 24. Note: When.." group.long (0x1B4+0x700)++0x27 line.long 0x0 "ORDER_RULE_CTRL_OFF,Order Rule Control Register." hexmask.long.word 0x0 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x0 8.--15. 1. "CPL_PASS_P,Completion Passing Posted Ordering Rule Control. Determines if CPL can pass halted P queue. - 0: CPL can not pass P (recommended) - 1: CPL can pass P" newline hexmask.long.byte 0x0 0.--7. 1. "NP_PASS_P,Non-Posted Passing Posted Ordering Rule Control. Determines if NP can pass halted P queue. - 0 : NP can not pass P (recommended). - 1 : NP can pass P" line.long 0x4 "PIPE_LOOPBACK_CONTROL_OFF,PIPE Loopback Control Register." bitfld.long 0x4 31. "PIPE_LOOPBACK,PIPE Loopback Enable. Indicates RMMI Loopback if M-PCIe. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x4 27.--30. 1. "RSVDP_27,Reserved for future use." newline bitfld.long 0x4 24.--26. "RXSTATUS_VALUE,RXSTATUS_VALUE is an internally reserved field. Do not use." "0,1,2,3,4,5,6,7" newline rbitfld.long 0x4 22.--23. "RSVDP_22,Reserved for future use." "0,1,2,3" newline hexmask.long.byte 0x4 16.--21. 1. "RXSTATUS_LANE,RXSTATUS_LANE is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.word 0x4 0.--15. 1. "LPBK_RXVALID,LPBK_RXVALID is an internally reserved field. Do not use. Note: This register field is sticky." line.long 0x8 "MISC_CONTROL_1_OFF,DBI Read-Only Write Enable Register." hexmask.long.word 0x8 22.--31. 1. "RSVDP_22,Reserved for future use." newline bitfld.long 0x8 21. "P2P_ERR_RPT_CTRL,Determines whether to track completion of transmitted Non-Posted TLPs in P2P mode. - 0: Do not track completion - 1: Track completion Note: This register field is sticky." "Do not track completion,Track completion" newline bitfld.long 0x8 20. "P2P_TRACK_CPL_TO_REG,Determines whether to enable Peer to Peer (P2P) error reporting. - 0: Disable P2P error reporting - 1: Enable P2P error reporting Note: This register field is sticky." "Disable P2P error reporting,Enable P2P error reporting" newline bitfld.long 0x8 18.--19. "TARGET_ABOVE_CONFIG_LIMIT_REG,Configuration requests with an address greater than CONFIG_LIMIT_REG are directed to either ELBI or TRGT1 interface based on the setting of this field. This field can have the following values: - 1: ELBI - 2: TRGT1 Note:.." "?,ELBI,TRGT1,?" newline hexmask.long.word 0x8 8.--17. 1. "CONFIG_LIMIT_REG,Configuration requests are directed either to CDM or ELBI/RTRGT1 based on the value of this field. - Configuration requests with an address less CONFIG_LIMIT_REG are directed to the CDM - Configuration requests with an address greater.." newline bitfld.long 0x8 7. "CFG_TLP_BYPASS_EN_REG,Setting of this field defines which field TARGET_ABOVE_CONFIG_LIMIT_REG or CONFIG_LIMIT_REG decides the destination of Configuration requests. This field can have the following values: - 0: Configuration TLPs are routed according to.." "Configuration TLPs are routed according to the..,Configuration TLPs are routed according to the.." newline bitfld.long 0x8 6. "CPLQ_MNG_EN,This field enables the Completion Queue Management feature. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 5. "ARI_DEVICE_NUMBER,When ARI is enabled this field enables use of the device ID. Note: This register field is sticky." "0,1" newline bitfld.long 0x8 4. "DISABLE_AUTO_LTR_CLR_MSG,Disable the autonomous generation of LTR clear message in upstream port. This field can have the following values: - 0: Allow the autonomous generation of LTR clear message. - 1: Disable the autonomous generation of LTR clear.." "Allow the autonomous generation of LTR clear..,Disable the autonomous generation of LTR clear.." newline bitfld.long 0x8 3. "SIMPLIFIED_REPLAY_TIMER,Enables Simplified Replay Timer (Gen4). For more details see 'Transmit Replay' in 'Transmit TLP Processing' section in the 'Controller Operations' chapter of the Databook. Simplified Replay Timer can have the following Values: -.." "0,1" newline bitfld.long 0x8 2. "UR_CA_MASK_4_TRGT1,When this field is set to '1' the controller suppresses error logging error message generation and CPL generation for non-posted requests TLPs (with UR filtering status) forwarded to your application (that is when DEFAULT_TARGET.." "0,1" newline bitfld.long 0x8 1. "DEFAULT_TARGET,Default target for an IO or MEM request with UR/CA/CRS received. Based on the value of this field the controller either drops or forwards these requests to your application. - 0: The controller drops all incoming I/O or MEM requests (after.." "The controller drops all incoming I/O or MEM..,The controller forwards all incoming I/O or MEM.." newline bitfld.long 0x8 0. "DBI_RO_WR_EN,Write to RO Registers Using DBI. Your application can write to some RO and HwInit register fields through the DBI when you set this field to '1'. For more details see 'Writing to Read-Only Registers' in 'Register Module LBC and DBI'.." "0,1" line.long 0xC "MULTI_LANE_CONTROL_OFF,UpConfigure Multi-lane Control Register. Used when upsizing or downsizing the link width through Configuration state without bringing the link down. For more details. see the 'Link Establishment' section in the 'Controller.." hexmask.long.tbyte 0xC 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0xC 7. "UPCONFIGURE_SUPPORT,Upconfigure Support. The controller sends this value as the Link Upconfigure Capability in TS2 Ordered Sets in Configuration.Complete state. This field is reserved (fixed to '0') for M-PCIe. Note: This register field is sticky." "0,1" newline bitfld.long 0xC 6. "DIRECT_LINK_WIDTH_CHANGE,Directed Link Width Change. The controller always moves to Configuration state through Recovery state when this bit is set to '1'. - If the upconfigure_capable variable is '1' and the PCIE_CAP_HW_AUTO_WIDTH_DISABLE bit in.." "0,1" newline hexmask.long.byte 0xC 0.--5. 1. "TARGET_LINK_WIDTH,Target Link Width. Values correspond to: - 6'b000000: Controller does not start upconfigure or autonomous width downsizing in the Configuration state. - 6'b000001: x1 - 6'b000010: x2 - 6'b000100: x4 - 6'b001000: x8 - 6'b010000: x16 -.." line.long 0x10 "PHY_INTEROP_CTRL_OFF,PHY Interoperability Control Register." hexmask.long.tbyte 0x10 12.--31. 1. "RSVDP_12,Reserved for future use." newline bitfld.long 0x10 10. "L1_CLK_SEL,L1 Clock control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not request aux_clk switch and core_clk gating in.." "Controller requests aux_clk switch and core_clk..,Controller does not request aux_clk switch and.." newline rbitfld.long 0x10 9. "L1_NOWAIT_P1,L1 entry control bit. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller does not wait for PHY to acknowledge transition to.." "Controller waits for the PHY to acknowledge..,Controller does not wait for PHY to acknowledge.." newline bitfld.long 0x10 8. "L1SUB_EXIT_MODE,L1 Exit Control Using phy_mac_pclkack_n. This field is reserved for internal use. You should not write to this field and change the default unless specifically instructed by Synopsys support. - 1: Controller exits L1 without waiting for.." "Controller waits for the PHY to assert..,Controller exits L1 without waiting for the PHY.." newline rbitfld.long 0x10 7. "RSVDP_7,Reserved for future use." "0,1" newline hexmask.long.byte 0x10 0.--6. 1. "RXSTANDBY_CONTROL,Rxstandby Control. Bits 0..5 determine if the controller asserts the RxStandby signal (mac_phy_rxstandby) in the indicated condition. Bit 6 enables the controller to perform the RxStandby/RxStandbyStatus handshake. This field is.." line.long 0x14 "TRGT_CPL_LUT_DELETE_ENTRY_OFF,TRGT_CPL_LUT Delete Entry Control register. Using this register you can delete one entry in the target completion LUT. You should only use this register when you know that your application will never send the completion.." bitfld.long 0x14 31. "DELETE_EN,This is a one-shot bit. A '1' write to this bit triggers the deletion of the target completion LUT entry that is specified in the LOOK_UP_ID field. This is a self-clearing register field. Reading from this register field always returns a '0'." "0,1" newline hexmask.long 0x14 0.--30. 1. "LOOK_UP_ID,This number selects one entry to delete of the TRGT_CPL_LUT." line.long 0x18 "LINK_FLUSH_CONTROL_OFF,Link Reset Request Flush Control Register." hexmask.long.byte 0x18 24.--31. 1. "RSVD_I_8,This is an internally reserved field. Do not use. Note: This register field is sticky." newline hexmask.long.tbyte 0x18 1.--23. 1. "RSVDP_1,Reserved for future use." newline bitfld.long 0x18 0. "AUTO_FLUSH_EN,Enables automatic flushing of pending requests before sending the reset request to the application logic to reset the PCIe controller and the AXI Bridge. The flushing process is initiated if any of the following events occur: - Hot reset.." "0,1" line.long 0x1C "AMBA_ERROR_RESPONSE_DEFAULT_OFF,AXI Bridge Slave Error Response Register." hexmask.long.word 0x1C 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.byte 0x1C 10.--15. 1. "AMBA_ERROR_RESPONSE_MAP,AXI Slave Response Error Map. Allows you to selectively map the errors received from the PCIe completion (for non-posted requests) to the AXI slave responses slv_rresp or slv_bresp. The recommended setting is SLVERR. CRS is.." newline hexmask.long.byte 0x1C 5.--9. 1. "RSVDP_5,Reserved for future use." newline bitfld.long 0x1C 3.--4. "AMBA_ERROR_RESPONSE_CRS,CRS Slave Error Response Mapping. Determines the AXI slave response for CRS completions. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - always returns OKAY AXI: - 00: OKAY - 01: OKAY with all.." "OKAY,OKAY with all FFFF_FFFF data for all CRS..,?,?" newline bitfld.long 0x1C 2. "AMBA_ERROR_RESPONSE_VENDORID,Vendor ID Non-existent Slave Error Response Mapping. Determines the AXI slave response for errors on reads to non-existent Vendor ID register. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: -.." "OKAY,SLVERR/DECERR" newline rbitfld.long 0x1C 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x1C 0. "AMBA_ERROR_RESPONSE_GLOBAL,Global Slave Error Response Mapping. Determines the AXI slave response for all error scenarios on non-posted requests. For more details see 'Error Handling' in the AXI chapter of the Databook. AHB: - 0: OKAY (with FFFF data for.." "OKAY,SLVERR/DECERR" line.long 0x20 "AMBA_LINK_TIMEOUT_OFF,Link Down AXI Bridge Slave Timeout Register. If your application AXI master issues outbound requests to the AXI bridge slave interface before the PCIe link is operational. the controller starts a 'flush' timer. The timeout value of.." hexmask.long.tbyte 0x20 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x20 8. "LINK_TIMEOUT_ENABLE_DEFAULT,Disable Flush. You can disable the flush feature by setting this field to '1'. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x20 0.--7. 1. "LINK_TIMEOUT_PERIOD_DEFAULT,Timeout Value (ms). The timer will timeout and then flush the bridge TX request queues after this amount of time. The timer counts when there are pending outbound AXI slave interface requests and the PCIe TX link is not.." line.long 0x24 "AMBA_ORDERING_CTRL_OFF,AMBA Ordering Control." hexmask.long.tbyte 0x24 8.--31. 1. "RSVDP_8,Reserved for future use." newline bitfld.long 0x24 7. "AX_MSTR_ZEROLREAD_FW,AXI Master Zero Length Read Forward to the application. The DW PCIe controller AXI bridge is able to terminate in order with the Posted transactions the zero length read implementing the PCIe express flush semantics of the Posted.." "The zero length Read is terminated at the DW..,The zero length Read is forward to the application" newline rbitfld.long 0x24 5.--6. "RSVDP_5,Reserved for future use." "0,1,2,3" newline bitfld.long 0x24 3.--4. "AX_MSTR_ORDR_P_EVENT_SEL,AXI Master Posted Ordering Event Selector. This field selects how the master interface determines when a P write is completed when enforcing the PCIe ordering rule 'NP must not pass P' at the AXI Master Interface. The AXI.." "B'last event: wait for the all of the write..,AW'last event: wait until the complete Posted..,This setting will not affect:,?" newline rbitfld.long 0x24 2. "RSVDP_2,Reserved for future use." "0,1" newline bitfld.long 0x24 1. "AX_SNP_EN,AXI Serialize Non-Posted Requests Enable. This field enables the AXI Bridge to serialize same ID Non-Posted Read/Write Requests on the wire. Serialization implies one outstanding same ID NP Read or Write on the wire and used to avoid AXI RAR.." "0,1" newline rbitfld.long 0x24 0. "RSVDP_0,Reserved for future use." "0,1" group.long (0x1E0+0x700)++0xB line.long 0x0 "COHERENCY_CONTROL_1_OFF,ACE Cache Coherency Control Register 1" hexmask.long 0x0 2.--31. 1. "CFG_MEMTYPE_BOUNDARY_LOW_ADDR,Boundary Lower Address For Memory Type. Bits [31:0] of dword-aligned address of the boundary for Memory type. The two lower address LSBs are '00'. Addresses up to but not including this value are in the lower address space.." newline rbitfld.long 0x0 1. "RSVDP_1,Reserved for future use." "0,1" newline bitfld.long 0x0 0. "CFG_MEMTYPE_VALUE,Sets the memory type for the lower and upper parts of the address space: - 0: lower = Peripheral; upper = Memory - 1: lower = Memory type; upper = Peripheral Note: This register field is sticky." "lower = Peripheral; upper = Memory,lower = Memory type; upper = Peripheral" line.long 0x4 "COHERENCY_CONTROL_2_OFF,ACE Cache Coherency Control Register 2" hexmask.long 0x4 0.--31. 1. "CFG_MEMTYPE_BOUNDARY_HIGH_ADDR,Boundary Upper Address For Memory Type. Bits [63:32] of the 64-bit dword-aligned address of the boundary for Memory type. Note: This register field is sticky." line.long 0x8 "COHERENCY_CONTROL_3_OFF,ACE Cache Coherency Control Register 3" hexmask.long.byte 0x8 27.--30. 1. "CFG_MSTR_AWCACHE_VALUE,Master Write CACHE Signal Value. Value of the individual bits in mstr_awcache when CFG_MSTR_AWCACHE_MODE is '1'. Note: not applicable to message requests; for message requests the value of mstr_awcache is always '0000' Note: This.." newline hexmask.long.byte 0x8 19.--22. 1. "CFG_MSTR_ARCACHE_VALUE,Master Read CACHE Signal Value. Value of the individual bits in mstr_arcache when CFG_MSTR_ARCACHE_MODE is '1'. Note: This register field is sticky." newline hexmask.long.byte 0x8 11.--14. 1. "CFG_MSTR_AWCACHE_MODE,Master Write CACHE Signal Behavior. Defines how the individual bits in mstr_awcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_AWCACHE_VALUE field Note:.." newline hexmask.long.byte 0x8 3.--6. 1. "CFG_MSTR_ARCACHE_MODE,Master Read CACHE Signal Behavior. Defines how the individual bits in mstr_arcache are controlled: - 0: set automatically by the AXI master - 1: set by the value of the corresponding bit of the CFG_MSTR_ARCACHE_VALUE field Note:.." group.long (0x1F0+0x700)++0x7 line.long 0x0 "AXI_MSTR_MSG_ADDR_LOW_OFF,Lower 20 bits of the programmable AXI address where Messages coming from wire are mapped to. Bits [11:0] of the register are tied to zero for the address to be 4k-aligned. In previous releases. the third and fourth DWORDs of a.." hexmask.long.tbyte 0x0 12.--31. 1. "CFG_AXIMSTR_MSG_ADDR_LOW,Lower 20 bits of the programmable AXI address for Messages. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--11. 1. "CFG_AXIMSTR_MSG_ADDR_LOW_RESERVED,Reserved for future use. Note: This register field is sticky." line.long 0x4 "AXI_MSTR_MSG_ADDR_HIGH_OFF,Upper 32 bits of the programmable AXI address where Messages coming from wire are mapped to." hexmask.long 0x4 0.--31. 1. "CFG_AXIMSTR_MSG_ADDR_HIGH,Upper 32 bits of the programmable AXI address for Messages. Note: This register field is sticky." rgroup.long (0x1F8+0x700)++0x7 line.long 0x0 "PCIE_VERSION_NUMBER_OFF,PCIe Controller IIP Release Version Number. The version number is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which.." hexmask.long 0x0 0.--31. 1. "VERSION_NUMBER,Version Number." line.long 0x4 "PCIE_VERSION_TYPE_OFF,PCIe Controller IIP Release Version Type. The type is given in hex format. You should convert each pair of hex characters to ASCII to interpret. Using 4.70a (GA) as an example: - VERSION_NUMBER = 0x3437302a which translates to 470*.." hexmask.long 0x4 0.--31. 1. "VERSION_TYPE,Version Type." group.long (0x430+0x700)++0x3 line.long 0x0 "PL_LTR_LATENCY_OFF,LTR Latency Register. The function of this register field (and all other fields in this register) differs between an upstream port and a downstream port. For an upstream port. the register fields capture the corresponding fields in the.." bitfld.long 0x0 31. "NO_SNOOP_LATENCY_REQUIRE,No Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 29.--30. "RSVDP_29,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 26.--28. "NO_SNOOP_LATENCY_SCALE,No Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 16.--25. 1. "NO_SNOOP_LATENCY_VALUE,No Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" newline bitfld.long 0x0 15. "SNOOP_LATENCY_REQUIRE,Snoop Latency Requirement. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1" newline rbitfld.long 0x0 13.--14. "RSVDP_13,Reserved for future use." "0,1,2,3" newline bitfld.long 0x0 10.--12. "SNOOP_LATENCY_SCALE,Snoop Latency Scale. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x0 0.--9. 1. "SNOOP_LATENCY_VALUE,Snoop Latency Value. Note: The access attributes of this field are as follows: - Wire: No access. - Dbi: R/W" group.long (0x440+0x700)++0xB line.long 0x0 "AUX_CLK_FREQ_OFF,Auxiliary Clock Frequency Control Register." hexmask.long.tbyte 0x0 10.--31. 1. "RSVDP_10,Reserved for future use." newline hexmask.long.word 0x0 0.--9. 1. "AUX_CLK_FREQ,The aux_clk frequency in MHz. This value is used to provide a 1 us reference for counting time during low-power states with aux_clk when the PHY has removed the pipe_clk. Frequencies lower than 1 MHz are possible but with a loss of accuracy.." line.long 0x4 "L1_SUBSTATES_OFF,L1 Substates Timing Register." hexmask.long.tbyte 0x4 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x4 8. "L1SUB_LOW_POWER_CLOCK_SWITCH_MODE,If this bit is set to 1'b1 the reference clock will be running regardless of the CLKREQ# setting. If this bit is set to 1'b0 the reference clock may be gated off when CLKREQ# is de-asserted. If the bit is set to 1'b1 the.." "0,1" newline bitfld.long 0x4 6.--7. "L1SUB_T_PCLKACK,Max delay (in 1us units) between a MAC request to remove the clock on mac_phy_pclkreq_n and a PHY response on phy_mac_pclkack_n. If the PHY does not respond within this time the request is aborted.The actual timeout value will be.." "0,1,2,3" newline hexmask.long.byte 0x4 2.--5. 1. "L1SUB_T_L1_2,Duration (in 1us units) of L1.2. The actual timeout value will be L1SUB_T_L1_2 + 1. Range is 0.15. Note: This register field is sticky." newline bitfld.long 0x4 0.--1. "L1SUB_T_POWER_OFF,Duration (in 1us units) of L1.2.Entry. The actual timeout value will be L1SUB_T_POWER_OFF + 1. Range is 0.3. Note: This register field is sticky." "0,1,2,3" line.long 0x8 "POWERDOWN_CTRL_STATUS_OFF,Powerdown Control and Status Register." hexmask.long.tbyte 0x8 12.--31. 1. "RSVDP_12,Reserved for future use." newline hexmask.long.byte 0x8 8.--11. 1. "POWERDOWN_PHY_POWERDOWN,This field represents the Powerdown value that has been acknowledged by the PHY. It is updated with the value of Powerdown driven by the controller when the PHY has returned the Phystatus acknowledgment for the Powerdown.." newline hexmask.long.byte 0x8 4.--7. 1. "POWERDOWN_MAC_POWERDOWN,This field represents the Powerdown value driven by the controller to the PHY." newline rbitfld.long 0x8 1.--3. "RSVDP_1,Reserved for future use." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 0. "POWERDOWN_FORCE,This field is a one shot field. Writing a value of 1 to this field causes the controller to complete the P2 Powerdown handshake regardless of whether the PHY has returned Phystatus. This field could be used for debug purposes in event.." "0,1" group.long (0x470+0x700)++0x7 line.long 0x0 "PHY_VIEWPORT_CTLSTS_OFF,PHY Register Viewport Control and Status Register." rbitfld.long 0x0 31. "PHY_VIEWPORT_PENDING,PHY viewport pending. Indicates the access is pending. - 1 : Pending - 0 : Idle This bit is set to 1 when the read/write access has been initiated. This bit is cleared when the access is completed. If phy_reg_clk_g is never activated.." "Idle,Pending" newline rbitfld.long 0x0 30. "PHY_VIEWPORT_STATUS,PHY viewport status. Indicates the status of the latest access. - 1 : Timeout - 0 : No errors If the timeout is detected this bit is set to 1 and PHY_VIEWPORT_PENDING is cleared. This bit is cleared when the read/write access is.." "No errors,Timeout" newline hexmask.long.byte 0x0 23.--29. 1. "RSVDP_23,Reserved for future use." newline bitfld.long 0x0 22. "PHY_VIEWPORT_TIMEOUT_DISABLE,PHY viewport timeout disable. Disables the PHY register access timeout structure. When the timeout is disabled you must ensure that the PHY register accesses are always acknowledged by cr_para_ack. Otherwise.." "0,1" newline bitfld.long 0x0 21. "PHY_VIEWPORT_BCWR,Broadcast write access to all xN sub-blocks. If you need to broadcast the write accesses into all lanes both PHY_VIEWPORT_ADDR[15] and this bit should be 1. Note: This register field is sticky." "0,1" newline bitfld.long 0x0 20. "PHY_VIEWPORT_READ,PHY viewport read. If set a read access is initiated. The value is always 0 when this bit is read. Note: This register field is sticky." "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "PHY_VIEWPORT_NUM,PHY viewport xN sub-block number. Selects one of xN sub-blocks. This register is not used when the write access is initiated with PHY_VIEWPORT_BCWR==1. Note: This register field is sticky." newline hexmask.long.word 0x0 0.--15. 1. "PHY_VIEWPORT_ADDR,PHY viewport address. Indicates the PHY's register address. Please refer to the PHY databook for the address map definition. Note: This register field is sticky." line.long 0x4 "PHY_VIEWPORT_DATA_OFF,PHY Register Viewport Data Register." hexmask.long.word 0x4 16.--31. 1. "RSVDP_16,Reserved for future use." newline hexmask.long.word 0x4 0.--15. 1. "PHY_VIEWPORT_DATA,PHY viewport data. A write access to this register initiates the PHY register write access. By a read access to this register this field returns the latest read data from the PHY register. Note: This register field is sticky." group.long (0x490+0x700)++0x3 line.long 0x0 "PIPE_RELATED_OFF,PIPE Related Register. This register controls the pipe's capabitity. control. and status parameters." hexmask.long.tbyte 0x0 9.--31. 1. "RSVDP_9,Reserved for future use." newline bitfld.long 0x0 8. "PIPE_GARBAGE_DATA_MODE,PIPE Garbage Data Mode. - 0: PIPE Spec compliant mode: The MAC discards any symbols received after the electrical idle ordered-set until RxValid is deasserted. - 1: Special PHY Support mode: The MAC discards any symbols received.." "PIPE Spec compliant mode: The MAC discards any..,Special PHY Support mode: The MAC discards any.." tree.end tree.end tree "PCIE2_NCR" base ad:0x311E0000 group.long 0x0++0x7 line.long 0x0 "INTR_0,INTR_0" bitfld.long 0x0 31. "INTR_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs." "0,1" newline bitfld.long 0x0 30. "INTR_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x0 29. "INTR_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x0 28. "INTR_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x0 27. "INTR_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x0 26. "INTR_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x0 25. "INTR_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x0 23.--24. "INTR_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x0 21.--22. "INTR_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X is.." "0,1,2,3" newline bitfld.long 0x0 19.--20. "INTR_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x0 17.--18. "INTR_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x0 15.--16. "INTR_HP_MSI,INTR_HP_MSI" "0,1,2,3" newline bitfld.long 0x0 14. "INTR_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x0 13. "INTR_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x0 11.--12. "INTR_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status" "0,1,2,3" newline bitfld.long 0x0 10. "INTR_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x0 9. "INTR_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context is.." "0,1" newline bitfld.long 0x0 8. "INTR_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following calculation.." "0,1" newline bitfld.long 0x0 7. "INTR_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x0 6. "INTR_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x0 5. "INTR_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x0 4. "INTR_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x0 3. "INTR_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x0 2. "INTR_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x0 1. "INTR_PERSTN,INTR_PERSTN This interrupt status bit indicates PERST# pad input fall edge detected." "0,1" newline bitfld.long 0x0 0. "INTR_WAKEN,INTR_WAKEN This interrupt status bit indicates WAKE# pad input fall edge detected." "0,1" line.long 0x4 "INTR_1,INTR_1" bitfld.long 0x4 31. "INTR_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x4 30. "INTR_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x4 26.--29. 1. "INTR_INT_D_A,INTR_INT_D_A These Interrupt status bit indicates wheter Legacy A~D transmitted or received by controller. bit 29 : INT_D .. bit26 : INT_A" newline bitfld.long 0x4 24.--25. "INTR_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 22.--23. "INTR_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 20.--21. "INTR_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x4 18.--19. "INTR_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x4 16.--17. "INTR_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x4 8.--15. 1. "INTR_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x4 0.--7. 1. "INTR_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x8)++0x3 line.long 0x0 "INTR_$1,INTR_2" hexmask.long 0x0 0.--31. 1. "INTR_MSI_PF0,INTR_MSI_PF0" repeat.end group.long 0x30++0xB line.long 0x0 "INTR_12,INTR_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTR_RADM_TRGT1_ATU_CBUF_ERR,INTR_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTR_RADM_TRGT1_ATU_SLOC_MATCH,INTR_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTR_RADM_CORRECTABLE_ERR,INTR_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTR_RADM_NONFATAL_ERR,INTR_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTR_RADM_FATAL_ERR,INTR_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTR_CFG_AER_RC_ERR_MSI,INTR_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTR_CFG_SYS_ERR_RC,INTR_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTR_MSTR_AWMISC_INFO_EP,INTR_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "INTEN_0,INTEN_0" bitfld.long 0x4 31. "INTEN_PM_XTLH_BLOCK_TLP,Indicates that your application must stop generating new outgoing request TLPs due to the current power management state. Your application can continue to generate completion TLPs.This output is not used in RC mode." "0,1" newline bitfld.long 0x4 30. "INTEN_LINK_REQ_RST_NOT,Reset request because the link has gone down or the controller received a hot-reset request. A low level indicates that the controller is requesting external logic to reset the controller because the PHY link is down. When the AXI.." "0,1" newline bitfld.long 0x4 29. "INTEN_SMLH_REQ_RST_NOT,Early version of the link_req_rst_not signal." "0,1" newline bitfld.long 0x4 28. "INTEN_SMLH_LINK_UP,PHY Link up/down indicator: 1: Link is up 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x4 27. "INTEN_RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs.." "Link is down,Link is up" newline bitfld.long 0x4 26. "INTEN_VEN_MSI_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send an MSI. After asserting ven_msi_grant for one cycle the controller does not wait for ven_msi_req to be de-asserted then reasserted to generate another.." "0,1" newline bitfld.long 0x4 25. "INTEN_VEN_MSG_GRANT,One-cycle pulse that indicates that the controller has accepted the request to send the vendor-defined Message." "0,1" newline bitfld.long 0x4 23.--24. "INTEN_CFG_UP_DRS_TO_FRS,DRS to FRS Pulse. The DSP controller asserts the cfg_up_drs_to_frs output and sends an FRS message with the reason code set to 'DRS Message Received' when: It receives a DRS message and PCIE_CAP_DRS_SIGNALING_CONTROL in.." "0,1,2,3" newline bitfld.long 0x4 21.--22. "INTEN_CFG_DRS_MSI,DRS Message Received Interrupt Pulse. The DSP controller asserts the cfg_drs_msi output when all of the following are true: It receives a DRS message PCIE_CAP_DRS_SIGNALING_CONTROL in LINK_CONTROL_LINK_STATUS_REG is 2'b01 MSI or MSI-X.." "0,1,2,3" newline bitfld.long 0x4 19.--20. "INTEN_FRSQ_MSI,FRSQ Interrupt Pulse. The RC asserts this output when it receives an FRQ message or when the FRS queue overflows." "0,1,2,3" newline bitfld.long 0x4 17.--18. "INTEN_CFG_PME_MSI,The controller asserts cfg_pme_msi (as a one-cycle pulse) when all of the following conditions are true: MSI or MSI-X is enabled. The PME Interrupt Enable bit in the Root Control register is set to 1. The PME Status bit in the Root.." "0,1,2,3" newline bitfld.long 0x4 15.--16. "INTEN_HP_MSI,The controller asserts hp_msi (as a one-cycle pulse) when the logical AND of the following conditions transitions from false to true: MSI or MSI-X is enabled. Hot-Plug interrupts are enabled in the Slot Control register. Any bit in the Slot.." "0,1,2,3" newline bitfld.long 0x4 14. "INTEN_CFG_LINK_AUTO_BW_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Autonomous Bandwidth Status register (Link Status register bit 15) is updated. The Link Autonomous Bandwidth Interrupt Enable.." "0,1" newline bitfld.long 0x4 13. "INTEN_CFG_BW_MGT_MSI,The controller sets this pin when following conditions are true: MSI or MSI-X is enabled. The Link Bandwidth Management Status register (Link Control Status register bit 14) is updated The Link Bandwidth Management Interrupt Enable.." "0,1" newline bitfld.long 0x4 11.--12. "INTEN_HP_PME,The controller asserts hp_pme when all of the following conditions are true: The PME Enable bit in the Power Management Control and Status register is set to 1. Any bit in the Slot Status register transitions from 0 to 1 and the associated.." "0,1,2,3" newline bitfld.long 0x4 10. "INTEN_PTM_CLOCK_UPDATED,Indicates that the controller has updated the Local Clock." "0,1" newline bitfld.long 0x4 9. "INTEN_PTM_REQ_DUP_RX,PTM Requester Duplicate Received. Single-cycle pulse indicating PTM Requester received a duplicate TLP while PTM Requester is in the process of updating PTM local clock or Following calculation of the update when the PTM context.." "0,1" newline bitfld.long 0x4 8. "INTEN_PTM_REQ_REPLAY_TX,PTM Requester Replay Sent. Single-cycle pulse indicating PTM Requester detected a TLP replay being sent when ResponseD messages are in use while PTM Requester is in the process of updating PTM local clock or Following.." "0,1" newline bitfld.long 0x4 7. "INTEN_RADM_MSG_LTR,One-clock-cycle pulse that indicates that the controller received an LTR message. The controller makes the message header available the radm_msg_payload output. It is also available the app_ltr_latency output. When RX_TLP > 1 and when.." "0,1" newline bitfld.long 0x4 6. "INTEN_RADM_MSG_UNLOCK,One-cycle pulse that indicates that the controller received an Unlock message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no separate indication is given for the.." "0,1" newline bitfld.long 0x4 5. "INTEN_RADM_PM_PME,One-clock-cycle pulse that indicates that the controller received a PM_PME message." "0,1" newline bitfld.long 0x4 4. "INTEN_RADM_PM_TO_ACK,One-clock-cycle pulse that indicates that the controller received a PME_TO_Ack message. Upstream port: Reserved." "0,1" newline bitfld.long 0x4 3. "INTEN_RADM_PM_TURNOFF,One-clock-cycle pulse that indicates that the controller received a PME Turnoff message. When RX_TLP > 1 and when two messages of the same type are received in the same clock cycle (back-to-back) then no indication is given for the.." "0,1" newline bitfld.long 0x4 2. "INTEN_RADM_VENDOR_MSG,One-cycle pulse that indicates the controller received a vendor-defined message. The controller makes the message header available the radm_msg_payload output. When FX_TLP > 1 and when two messages of the same type are received in.." "0,1" newline bitfld.long 0x4 1. "INTEN_PERSTN,INTEN_PERSTN Interrupt Enable bit for INTR_PERSTN." "0,1" newline bitfld.long 0x4 0. "INTEN_WAKEN,INTEN_WAKEN Interrupt Enable bit for INTR_WAKEN." "0,1" line.long 0x8 "INTEN_1,INTEN_1" bitfld.long 0x8 31. "INTEN_TRGT_CPL_TIMEOUT,Indicates that the application has not generated a completion for an incoming request within the required time interval. Information about the timed out completion is available the trgt_timeout_* outputs listed later.When a.." "0,1" newline bitfld.long 0x8 30. "INTEN_RADM_CPL_TIMEOUT,Indicates that the completion TLP for a request has not been received within the expected time window." "0,1" newline hexmask.long.byte 0x8 26.--29. 1. "INTEN_INT_D_A,INTEN_INT_D_A Interrupt Enable bit for INTR_D_A. Bit 29 : INT_D ... Bit26 : INT_A." newline bitfld.long 0x8 24.--25. "INTEN_CFG_SEND_F_ERR,Sent Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained a fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 22.--23. "INTEN_CFG_SEND_NF_ERR,Sent Non-Fatal Error. Controller has sent a message towards the Root Complex indicating that an Rx TLP that contained an non-fatal error and that can not be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 20.--21. "INTEN_CFG_SEND_COR_ERR,Sent Correctable Error. Core has sent a message towards the Root Complex indicating that an Rx TLP that contained an error and that can be corrected was received by the EndPoint" "0,1,2,3" newline bitfld.long 0x8 18.--19. "INTEN_CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline bitfld.long 0x8 16.--17. "INTEN_PF_FRS_GRANT,Indicator of when an FRS message for this function has been scheduled for transmission." "0,1,2,3" newline hexmask.long.byte 0x8 8.--15. 1. "INTEN_CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline hexmask.long.byte 0x8 0.--7. 1. "INTEN_VF_FRS_GRANT,Indicator of when an FRS message for this VF has been scheduled for transmission." repeat 10. (list 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 ) group.long ($2+0x3C)++0x3 line.long 0x0 "INTEN_$1,INTEN_2" hexmask.long 0x0 0.--31. 1. "INTEN_MSI_PF0,INTEN_MSI_PF0" repeat.end group.long 0x64++0x13 line.long 0x0 "INTEN_12,INTEN_12" newline hexmask.long.byte 0x0 16.--23. 1. "INTEN_RADM_TRGT1_ATU_CBUF_ERR,INTEN_RADM_TRGT1_ATU_CBUF_ERR" newline hexmask.long.byte 0x0 8.--15. 1. "INTEN_RADM_TRGT1_ATU_SLOC_MATCH,INTEN_RADM_TRGT1_ATU_SLOC_MATCH" newline bitfld.long 0x0 7. "INTEN_RADM_CORRECTABLE_ERR,INTEN_RADM_CORRECTABLE_ERR" "0,1" newline bitfld.long 0x0 6. "INTEN_RADM_NONFATAL_ERR,INTEN_RADM_NONFATAL_ERR" "0,1" newline bitfld.long 0x0 5. "INTEN_RADM_FATAL_ERR,INTEN_RADM_FATAL_ERR" "0,1" newline bitfld.long 0x0 3.--4. "INTEN_CFG_AER_RC_ERR_MSI,INTEN_CFG_AER_RC_ERR_MSI" "0,1,2,3" newline bitfld.long 0x0 1.--2. "INTEN_CFG_SYS_ERR_RC,INTEN_CFG_SYS_ERR_RC" "0,1,2,3" newline bitfld.long 0x0 0. "INTEN_MSTR_AWMISC_INFO_EP,INTEN_MSTR_AWMISC_INFO_EP" "0,1" line.long 0x4 "CTRL_0,CTRL_0" bitfld.long 0x4 31. "APP_FLR_VF_DONE_7,Indicates that FLR a virtual function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's transmit.." "0,1" newline bitfld.long 0x4 30. "APP_FLR_VF_DONE_6,Similar to above." "0,1" newline bitfld.long 0x4 29. "APP_FLR_VF_DONE_5,Similar to above." "0,1" newline bitfld.long 0x4 28. "APP_FLR_VF_DONE_4,Similar to above." "0,1" newline bitfld.long 0x4 27. "APP_FLR_VF_DONE_3,Similar to above." "0,1" newline bitfld.long 0x4 26. "APP_FLR_VF_DONE_2,Similar to above." "0,1" newline bitfld.long 0x4 25. "APP_FLR_VF_DONE_1,Similar to above." "0,1" newline bitfld.long 0x4 24. "APP_FLR_VF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 23. "APP_FLR_PF_DONE_1,Indicates that FLR a physical function has been completed which means the application has completed initializing its data structures for the function and there are no more TLPs associated with this function in the application's.." "0,1" newline bitfld.long 0x4 22. "APP_FLR_PF_DONE_0,Similar to above." "0,1" newline bitfld.long 0x4 21. "OUTBAND_PWRUP_CMD_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits.." "0,1" newline bitfld.long 0x4 20. "OUTBAND_PWRUP_CMD_0,Similar to above." "0,1" newline bitfld.long 0x4 19. "APP_REQ_EXIT_L1,Application request to Exit L1. Request from your application to exit L1.It is only effective when L1 is enabled." "0,1" newline bitfld.long 0x4 18. "APP_REQ_ENTR_L1,Application request to Enter L1 ASPM state. The app_req_entr_l1 signal is for use by applications that need to control L1 entry instead of using the L1 entry timer as defined in the PCI Express Specification. It is only effective when L1.." "0,1" newline bitfld.long 0x4 17. "APP_UNLOCK_MSG,Request from your application to generate an Unlock message. You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the previous.." "0,1" newline bitfld.long 0x4 16. "APP_PM_XMT_PME_1,Wake Up. If PME is enabled and PME support is configured for current PMCSR D-state asserting this signal causes the controller to wake from either L1 or L2 state. When the controller has transitioned back to the L0 state it transmits a.." "0,1" newline bitfld.long 0x4 15. "APP_PM_XMT_PME_0,Similar to above." "0,1" newline bitfld.long 0x4 14. "APP_PM_XMT_TURNOFF,Request from your application to generate a PM_Turn_Off message.You must assert this signal for one clock cycle. The controller does not return an acknowledgment or grant signal. You must not pulse the same signal again until the.." "0,1" newline bitfld.long 0x4 13. "APP_INIT_RST,Request from your application to send a hot reset to the upstream port.The hot reset request is sent when a single cycle pulse is applied to this pin. In an upstream port you should set this input to '0'." "0,1" newline bitfld.long 0x4 12. "APP_HDR_VALID,One-clock-cycle pulse indicating that the data app_hdr_log app_err_bus app_err_func_num and app_tlp_prfx_log is valid." "0,1" newline bitfld.long 0x4 11. "APP_L1SUB_DISABLE,The application can set this input to 1'b1 to prevent entry to L1 Sub-states. This pin is used to gate the L1 sub-state enable bits from the L1 PM Substates Control 1 Register." "0,1" newline bitfld.long 0x4 10. "APP_CLK_PM_EN,Clock PM feature enabled by application. Used to inhibit the programming of the Clock PM in Link Control Register. For more details see 'L1 with Clock PM (L1 with REFCLK removal/PLL Off)'." "0,1" newline bitfld.long 0x4 9. "APP_XFER_PENDING,Indicates that your application has transfers pending and prevents the controller from entering L1. If the entry into L1 is already in progress assertion of app_xfer_pending causes an exit from L1. This is a level signal used to inform.." "0,1" newline bitfld.long 0x4 8. "APP_READY_ENTR_L23,Application Ready to Enter L23. Indication from your application that it is ready to enter the L23 state. The app_ready_entr_l23 signal is provided for applications that must control L23 entry (in case certain tasks must be performed.." "0,1" newline bitfld.long 0x4 7. "APP_CLK_REQ_N,Indicates that the application logic is ready to have reference clock removed. In designs which support reference clock removal through either L1 PM Sub-states or L1 CPM the application should set this signal to 1'b when it is ready to.." "0,1" newline bitfld.long 0x4 6. "APP_HOLD_PHY_RST,Set this signal to one before the de-assertion of power on reset to hold the PHY in reset. This can be used to configure your PHY. Synopsys PHYs can be configured through the PHY Viewport if desired. Please tie this port to zero if your.." "0,1" newline bitfld.long 0x4 5. "APP_SRIS_MODE,SRIS operating mode: 0b: non-SRIS mode 1b: SRIS mode" "0,1" newline bitfld.long 0x4 4. "APP_DBI_RO_WR_DISABLE,DBI Read-only Write Disable 0: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is read-write. 1: MISC_CONTROL_1_OFF.DBI_RO_WR_EN register field is forced to 0 and is read-only." "MISC_CONTROL_1_OFF,MISC_CONTROL_1_OFF" newline bitfld.long 0x4 3. "DEVICE_TYPE,Device/port type. Indicates the specific type of this PCI Express function. It is also used to set the 'Device/Port Type' field of the 'PCI Express Capabilities Register'. The controller uses this input to determine the operating mode of the.." "PCI Express endpoint,Legacy PCI Express endpoint" newline bitfld.long 0x4 2. "APP_LTSSM_ENABLE,To do otherwise (that is de-assert it outside of the Detect LTSSM state) causes the controller to be reset and the LTSSM moves immediately back to the Detect state. This transition is outside of the PCIe Specification and it might cause.." "0,1" newline bitfld.long 0x4 0. "APP_ERR_VFUNC_ACTIVE,Indicates the function number in app_err_vfunc_num is valid." "0,1" line.long 0x8 "CTRL_1,CTRL_1" bitfld.long 0x8 29.--31. "APP_ERR_VFUNC_NUM,The number of the virtual function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Uncorrected Internal errors (app_err_bus[9]) are not recorded for virtual functions.The PCIe SR-IOV.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 28. "SLV_ARMISC_INFO_ATU_BYPASS,AXI Slave Read Request Internal ATU Bypass. When set it indicates that this request should not be processed by the internal address translation unit" "0,1" newline hexmask.long.word 0x8 15.--27. 1. "APP_ERR_BUS,The type of error that your application detected. The controller combines the values the app_err_bus bits with the internally-detected error signals to set the corresponding bit in the Uncorrectable or Correctable Error Status Registers:.." newline bitfld.long 0x8 14. "APP_REQ_RETRY_EN,Provides a capability to defer incoming configuration requests until initialization is complete. When app_req_retry_en is asserted the controller completes incoming configuration requests with a configuration request retry status. Other.." "0,1" newline hexmask.long.byte 0x8 6.--13. 1. "APP_VF_REQ_RETRY_EN,Provides a per Virtual Function (VF) capability to defer incoming configuration requests until initialization is complete. When app_vf_req_retry_en is asserted for a certain VF the controller completes incoming configuration requests.." newline bitfld.long 0x8 4.--5. "APP_PF_REQ_RETRY_EN,Provides a per Physical Function (PF) capability to defer incoming configuration requests until initialization is complete. When app_pf_req_retry_en is asserted for a certain PF the controller completes incoming configuration.." "0,1,2,3" newline bitfld.long 0x8 2.--3. "APP_RAS_DES_TBA_CTRL,Controls the start/end of time based analysis. You must only set the pins to the required value for the duration of one clock cycle. This signal must be 2'b00 while the TIMER_START field in TIME_BASED_ANALYSIS_CONTROL_REG register is.." "No action,Start,End,Reserved" newline bitfld.long 0x8 1. "APP_RAS_DES_SD_HOLD_LTSSM,Hold and release LTSSM. For as long as this signal is '1' thecontroller stays in the current LTSSM." "0,1" newline bitfld.long 0x8 0. "SYS_AUX_PWR_DET,Auxiliary Power Detected. Used to report to the host software that auxiliary power (Vaux) is present." "0,1" line.long 0xC "CTRL_2,CTRL_2" bitfld.long 0xC 30.--31. "SYS_PWR_FAULT_DET,Power Fault Detected. Indicates the power controller detected a power fault at this slot. There is a separate sys_pwr_fault_det input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0xC 28.--29. "SYS_MRL_SENSOR_STATE,MRL Sensor State. Indicates the state of the manually-operated retention latch (MRL) sensor: 0: MRL is closed 1: MRL is open There is a separate sys_mrl_sensor_state input bit for each function in your controller configuration." "MRL is closed,MRL is open,?,?" newline bitfld.long 0xC 26.--27. "SYS_PRE_DET_STATE,Presence Detect State. Indicates whether or not a card is present in the slot: 0: Slot is empty 1: Card is present in the slot" "Slot is empty,Card is present in the slot,?,?" newline bitfld.long 0xC 24.--25. "SYS_ATTEN_BUTTON_PRESSED,Attention Button Pressed. Indicates that the system attention button was pressed sets the Attention Button Pressed bit in the Slot Status Register." "0,1,2,3" newline bitfld.long 0xC 23. "TX_LANE_FLIP_EN,Performs manual lane reversal for transmit lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases tx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 22. "RX_LANE_FLIP_EN,Performs manual lane reversal for receive lanes. For use when automatic lane reversal does not occur because lane 0 is not detected.In most cases rx_lane_flip_en should be wired to a static value at the chip level." "0,1" newline bitfld.long 0xC 21. "DBG_PBA,MSIX PBA RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the PBA. You can also use the MSIX_RAM_CTRL_DBG_PBA field in MSIX_RAM_CTRL_OFF to activate debug mode. Debug mode turns off the.." "0,1" newline bitfld.long 0xC 20. "DBG_TABLE,MSIX Table RAM Debug Mode. Use this input to activate the debug mode and allow direct read/write access to the Table." "0,1" newline bitfld.long 0xC 19. "APP_ERR_FUNC_NUM,The number of the function that is reporting the error indicated app_err_bus valid when app_hdr_valid is asserted. Correctable and Uncorrected Internal errors (app_err_bus[10:9]) are not function specific and are recorded for all.." "0,1" newline bitfld.long 0xC 18. "APP_ERR_ADVISORY,Indicates that your application error is an advisory error. Your application should assert app_err_advisory under either of the following conditions: The controller is configured to mask completion timeout errors your application is.." "0,1" newline bitfld.long 0xC 17. "WAKEN_DO_OVRDVAL,WAKEN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 16. "WAKEN_DO_OVRDEN,WAKEN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 15. "WAKEN_OE_OVRDVAL,WAKEN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 14. "WAKEN_OE_OVRDEN,WAKEN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 13. "WAKEN_IN_OVRDVAL,WAKEN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 12. "WAKEN_IN_OVRDEN,WAKEN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 11. "PERSTN_DO_OVRDVAL,PERSTN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 10. "PERSTN_DO_OVRDEN,PERSTN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 9. "PERSTN_OE_OVRDVAL,PERSTN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 8. "PERSTN_OE_OVRDEN,PERSTN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 7. "PERSTN_IN_OVRDVAL,PERSTN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 6. "PERSTN_IN_OVRDEN,PERSTN_IN_OVRDEN" "0,1" newline bitfld.long 0xC 5. "CLKREQN_DO_OVRDVAL,CLKREQN_DO_OVRDVAL" "0,1" newline bitfld.long 0xC 4. "CLKREQN_DO_OVRDEN,CLKREQN_DO_OVRDEN" "0,1" newline bitfld.long 0xC 3. "CLKREQN_OE_OVRDVAL,CLKREQN_OE_OVRDVAL" "0,1" newline bitfld.long 0xC 2. "CLKREQN_OE_OVRDEN,CLKREQN_OE_OVRDEN" "0,1" newline bitfld.long 0xC 1. "CLKREQN_IN_OVRDVAL,CLKREQN_IN_OVRDVAL" "0,1" newline bitfld.long 0xC 0. "CLKREQN_IN_OVRDEN,CLKREQN_IN_OVRDEN" "0,1" line.long 0x10 "CTRL_3,CTRL_3" bitfld.long 0x10 30.--31. "SYS_MRL_SENSOR_CHGED,MRL Sensor Changed. Indicates that the state of MRL sensor has changed. There is a separate sys_mrl_sensor_chged input bit for each function in your controller configuration." "0,1,2,3" newline hexmask.long.word 0x10 20.--29. 1. "VEN_MSG_LEN,The Length field for the vendor-defined Message TLP (indicates length of data payload in dwords).Should be set to 0x0." newline hexmask.long.byte 0x10 15.--19. 1. "VEN_MSG_TYPE,The Type field for the vendor-defined Message TLP." newline bitfld.long 0x10 12.--14. "VEN_MSG_VFUNC_NUM,Number of the virtual function accessing the VMI interface. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msg_vfunc_num=0 refers.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 9.--11. "VEN_MSG_TC,The Traffic Class field for the vendor-defined Message TLP." "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 7.--8. "VEN_MSG_ATTR,The Attributes field for the vendor-defined Message TLP. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x10 5.--6. "VEN_MSG_FMT,The Format field for the vendor-defined Message TLP. Should be set to 0x1." "0,1,2,3" newline bitfld.long 0x10 4. "VEN_MSG_VFUNC_ACTIVE,Indicates that a VF is accessing the VMI. - 0: No VF is active and ven_msg_vfunc_num is invalid. A PF is valid and identified by ven_msg_func_num. - 1: A VF is active and is identified by ven_msg_vfunc_num." "No VF is active and ven_msg_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x10 3. "VEN_MSG_FUNC_NUM,Function Number for the vendor-defined Message TLP. Function numbering starts at '0'." "0,1" newline bitfld.long 0x10 2. "VEN_MSG_EP,The Poisoned TLP (EP) bit for the vendor-defined Message TLP." "0,1" newline bitfld.long 0x10 1. "VEN_MSG_TD,The TLP Digest (TD) bit for the vendor-defined Message TLP valid when ven_msg_req is asserted." "0,1" newline bitfld.long 0x10 0. "VEN_MSG_REQ,Request from your application to send a vendor-defined Message. Once asserted ven_msg_req must remain asserted until the controller asserts ven_msg_grant." "0,1" repeat 16. (list 0x4 0x5 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF 0x10 0x11 0x13 0x14 0x15 0x17 )(list 0x0 0x4 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x3C 0x40 0x44 0x4C ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end repeat 12. (list 0x19 0x1A 0x1B 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 0x25 )(list 0x54 0x58 0x5C 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C 0x80 0x84 ) group.long ($2+0x78)++0x3 line.long 0x0 "CTRL_$1,CTRL_4" hexmask.long 0x0 0.--31. 1. "VEN_MSG_DATA_31_0,Third and fourth dwords of the Vendor Defined Message header where: - Bytes 8-11 (third header dword) =ven_msg_data[63:32] - Bytes 12-15 (fourth header dword) =ven_msg_data[31:0] where ven_msg_data[7:0] =byte 15" repeat.end group.long 0x80++0x7 line.long 0x0 "CTRL_6,CTRL_6" bitfld.long 0x0 31. "MSI_FNSEL,MSI_FNSEL" "0,1" newline bitfld.long 0x0 30. "VEN_MSI_OVRDEN,VEN_MSI_OVRDEN" "0,1" newline bitfld.long 0x0 29. "VEN_MSI_REQ,Request from your application to send an MSI when MSI is enabled.When MSI-X is enabled instead of MSI assertion of ven_msi_req causes the controller to generate an MSI-X message. Once asserted ven_msi_req must remain asserted until the.." "0,1" newline hexmask.long.byte 0x0 24.--28. 1. "VEN_MSI_VECTOR,Used to modulate the lower five bits of the MSI Data register when multiple message mode is enabled." newline bitfld.long 0x0 21.--23. "VEN_MSI_TC,Traffic Class of the MSI request valid when ven_msi_req is asserted." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 20. "VEN_MSI_VFUNC_ACTIVE,Indicates that the MSI request is coming from a VF. - 0: No VF is active and ven_msi_vfunc_num is invalid. A PF is valid and identified by ven_msi_func_num. - 1: A VF is active and is identified by ven_msi_vfunc_num." "No VF is active and ven_msi_vfunc_num is invalid,A VF is active and is identified by.." newline bitfld.long 0x0 17.--19. "VEN_MSI_VFUNC_NUM,Identifies the VF which is making the MSI request. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example ven_msi_vfunc_num=0 refers to the.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 16. "VEN_MSI_FUNC_NUM,The function number of the MSI request. Function numbering starts at '0'." "0,1" newline hexmask.long.byte 0x0 8.--15. 1. "VEN_MSG_CODE,The Message Code for the vendor-defined Message TLP." newline hexmask.long.byte 0x0 0.--7. 1. "VEN_MSG_TAG,Tag for the vendor-defined Message TLP." line.long 0x4 "CTRL_7,CTRL_7" hexmask.long.byte 0x4 24.--31. 1. "SLV_AWMISC_INFO_P_TAG,AXI Slave Write Request Tag. Sets the TAG number for output posted requests. It is expected that your application normally sets this to '0' except when generating ATS invalidate requests." newline bitfld.long 0x4 23. "SLV_WMISC_INFO_EP,AXI Slave Write Data transaction related misc information. This is an optional signal that your application can use to poison write requests.When asserted the controller sets the Poisoned TLP (EP) bit in the TLP header of the current.." "0,1" newline bitfld.long 0x4 22. "PTM_AUTO_UPDATE_SIGNAL,Indicates that the controller should update the PTM Requester Context and Clock automatically every 10ms." "0,1" newline bitfld.long 0x4 21. "PTM_MANUAL_UPDATE_PULSE,Indicates that the controller should update the PTM Requester Context and Clock now." "0,1" newline bitfld.long 0x4 20. "PTM_EXTERNAL_MASTER_STROBE,PTM External Master Time Strobe." "0,1" newline hexmask.long.byte 0x4 12.--19. 1. "APP_VF_FRS_READY,Defers FRS messaging for a VF when set to '0'." newline bitfld.long 0x4 10.--11. "APP_PF_FRS_READY,Defers FRS messaging when set to '0'." "0,1,2,3" newline bitfld.long 0x4 9. "APP_DRS_READY,Defers DRS messaging when set to '0'." "0,1" newline bitfld.long 0x4 7.--8. "SYS_EML_INTERLOCK_ENGAGED,System Electromechanical Interlock Engaged. Indicates whether the system electromechanical interlock is engaged and controls the state of the Electromechanical Interlock Status bit in the Slot Status register." "0,1,2,3" newline bitfld.long 0x4 5.--6. "SYS_CMD_CPLED_INT,Command completed Interrupt. Indicates that the Hot-Plug controller completed a command. There is a separate sys_cmd_cpled_int input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 3.--4. "SYS_PRE_DET_CHGED,Presence Detect Changed. Indicates that the state of card present detector has changed. There is a separate sys_pre_det_chged input bit for each function in your controller configuration." "0,1,2,3" newline bitfld.long 0x4 0.--2. "DIAG_CTRL_BUS,Diagnostic Control Bus - x01: Insert LCRC error by inverting the LSB of LCRC - x10: Insert ECRC error by inverting the LSB of ECRC The rising edge of these two signals ([1:0]) enable the controller to assert an LCRC or ECRC to the packet.." "?,Insert LCRC error by inverting the LSB of LCRC,?,?,?,?,?,?" group.long 0xB0++0x3 line.long 0x0 "CTRL_18,CTRL_18" bitfld.long 0x0 31. "SLV_USER_SEL,SLV_USER_SEL" "0,1" newline bitfld.long 0x0 29.--30. "MSTR_ARUSER_OVRDVAL_33_32,MSTR_ARUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 27.--28. "MSTR_AWUSER_OVRDVAL_33_32,MSTR_AWUSER_OVRDVAL_33_32" "0,1,2,3" newline bitfld.long 0x0 26. "APP_LTR_MSG_FUNC_NUM,APP_LTR_MSG_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "APP_LTR_MSG_REQ,APP_LTR_MSG_REQ" "0,1" newline bitfld.long 0x0 24. "APP_PM_VF_XMT_PME_7,APP_PM_VF_XMT_PME_7" "0,1" newline bitfld.long 0x0 23. "APP_PM_VF_XMT_PME_6,APP_PM_VF_XMT_PME_6" "0,1" newline bitfld.long 0x0 22. "APP_PM_VF_XMT_PME_5,APP_PM_VF_XMT_PME_5" "0,1" newline bitfld.long 0x0 21. "APP_PM_VF_XMT_PME_4,APP_PM_VF_XMT_PME_4" "0,1" newline bitfld.long 0x0 20. "APP_PM_VF_XMT_PME_3,APP_PM_VF_XMT_PME_3" "0,1" newline bitfld.long 0x0 19. "APP_PM_VF_XMT_PME_2,APP_PM_VF_XMT_PME_2" "0,1" newline bitfld.long 0x0 18. "APP_PM_VF_XMT_PME_1,APP_PM_VF_XMT_PME_1" "0,1" newline bitfld.long 0x0 17. "APP_PM_VF_XMT_PME_0,APP_PM_VF_XMT_PME_0" "0,1" newline hexmask.long.word 0x0 4.--16. 1. "MSTR_RMISC_INFO,MSTR_RMISC_INFO" newline bitfld.long 0x0 2.--3. "MSTR_RMISC_INFO_CPL_STAT,MSTR_RMISC_INFO_CPL_STAT" "0,1,2,3" newline bitfld.long 0x0 0.--1. "MSTR_BMISC_INFO_CPL_STAT,MSTR_BMISC_INFO_CPL_STAT" "0,1,2,3" group.long 0xC0++0x3 line.long 0x0 "CTRL_22,CTRL_22" bitfld.long 0x0 31. "SLV_WMISC_INFO_SILENTDROP,SLV_WMISC_INFO_SILENTDROP" "0,1" newline bitfld.long 0x0 28.--30. "SLV_AWMISC_INFO_VFUNC_NUM,SLV_AWMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 27. "SLV_AWMISC_INFO_VFUNC_ACTIVE,SLV_AWMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 26. "SLV_AWMISC_INFO_FUNC_NUM,SLV_AWMISC_INFO_FUNC_NUM" "0,1" newline bitfld.long 0x0 25. "SLV_AWMISC_INFO_ATU_BYPASS,SLV_AWMISC_INFO_ATU_BYPASS" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_AWMISC_INFO,SLV_AWMISC_INFO" group.long 0xC8++0x3 line.long 0x0 "CTRL_24,CTRL_24" bitfld.long 0x0 30.--31. "MSTR_USER_SEL,MSTR_USER_SEL" "0,1,2,3" newline bitfld.long 0x0 27.--29. "SLV_ARMISC_INFO_VFUNC_NUM,SLV_ARMISC_INFO_VFUNC_NUM" "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "SLV_ARMISC_INFO_VFUNC_ACTIVE,SLV_ARMISC_INFO_VFUNC_ACTIVE" "0,1" newline bitfld.long 0x0 25. "SLV_ARMISC_INFO_FUNC_NUM,SLV_ARMISC_INFO_FUNC_NUM" "0,1" newline hexmask.long 0x0 0.--24. 1. "SLV_ARMISC_INFO,SLV_ARMISC_INFO" group.long 0xD8++0x3 line.long 0x0 "CTRL_28,CTRL_28" hexmask.long.word 0x0 21.--31. 1. "RSVD0,RSVD0" newline hexmask.long.byte 0x0 13.--20. 1. "APP_BUS_NUM,APP_BUS_NUM" newline hexmask.long.byte 0x0 8.--12. 1. "APP_DEV_NUM,APP_DEV_NUM" newline bitfld.long 0x0 7. "APP_MSI_CTRL_EN,APP_MSI_CTRL_EN" "0,1" newline hexmask.long.byte 0x0 0.--6. 1. "STS_DEBUG_SEL,STS_DEBUG_SEL" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x100)++0x3 line.long 0x0 "MAP_$1,MAP_0" hexmask.long 0x0 0.--31. 1. "LUT_MAP_MID_31_0,LUT_MAP_MID_31_0" repeat.end rgroup.long 0x200++0x3 line.long 0x0 "STS_0,STS_0" bitfld.long 0x0 29.--31. "PM_L1SUB_STATE,Power management L1 sub-states FSM state" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x0 24.--28. 1. "PM_MASTER_STATE,Power management master FSM state." newline bitfld.long 0x0 22.--23. "PM_PME_EN,PME Enable bit in the PMCSR. There is 1 bit of pm_pme_en for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 16.--21. 1. "PM_DSTATE,The current power management D-state of the function: - 000b: D0 - 001b: D1 - 010b: D2 - 011b: D3 - 100b: Uninitialized - Other values: Not applicable" newline bitfld.long 0x0 13.--15. "PM_CURNT_STATE,Indicates the current power state. The pm_curnt_state output is intended for debugging purposes not for system operation." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 12. "PM_L1_ENTRY_STARTED,L1 entry process is in progress." "0,1" newline bitfld.long 0x0 11. "PM_LINKST_IN_L1SUB,Power management is in L1 substate. Indicates when the link has entered L1 substates." "0,1" newline bitfld.long 0x0 10. "PM_LINKST_L2_EXIT,Power management is exiting L2 state. Not applicable for downstream port." "0,1" newline bitfld.long 0x0 9. "PM_LINKST_IN_L2,Power management is in L2 state." "0,1" newline bitfld.long 0x0 8. "PM_LINKST_IN_L1,Power management is in L1 state." "0,1" newline bitfld.long 0x0 7. "PM_LINKST_IN_L0S,Power management is in L0s state. Indicates in L0_STALL state when M-PCIe" "0,1" newline hexmask.long.byte 0x0 1.--6. 1. "SMLH_LTSSM_STATE,Current state of the LTSSM. Encoding is defined as follows: 6'h00: S_DETECT_QUIET 6'h01: S_DETECT_ACT 6'h02: S_POLL_ACTIVE 6'h03: S_POLL_COMPLIANCE 6'h04: S_POLL_CONFIG 6'h05: S_PRE_DETECT_QUIET 6'h06: S_DETECT_WAIT 6'h07:.." newline bitfld.long 0x0 0. "RADM_IDLE,RADM activity status signal. The controller creates the en_radm_clk_g output by gating this signal with the output of the RADM_CLK_GATING_EN field in the CLOCK_GATING_CTRL_OFF register. For debug purposes only." "0,1" group.long 0x204++0xB line.long 0x0 "STS_1,STS_1" bitfld.long 0x0 30.--31. "TRGT_TIMEOUT_CPL_ATTR,The Attributes value of the timed out completion. - Width is three bits when CX_IDO_ENABLE =1 - Width is two bits when CX_IDO_ENABLE =0" "?,Width is two bits when CX_IDO_ENABLE =0,?,?" newline bitfld.long 0x0 27.--29. "TRGT_TIMEOUT_CPL_TC,The TC of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 26. "TRGT_TIMEOUT_CPL_VFUNC_ACTIVE,Indicates that the timeout is coming from a VF (Virtual function). - 0: No VF is active and trgt_timeout_cpl_vfunc_num is invalid. A PF is valid and identified by trgt_timeout_cpl_func_num - 1: A VF is active and is.." "No VF is active and trgt_timeout_cpl_vfunc_num..,A VF is active and is identified by.." newline bitfld.long 0x0 23.--25. "TRGT_TIMEOUT_CPL_VFUNC_NUM,Indicates which virtual function (VF) timed out. The PCIe SR-IOV specification starts numbering VFs at 1. To ease timing during synthesis the PCIe controller starts numbering VFs at 0. For example trgt_timeout_cpl_ vfunc_num=0.." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 22. "TRGT_TIMEOUT_CPL_FUNC_NUM,TRGT_TIMEOUT_CPL_FUNC_NUM" "0,1" newline hexmask.long.byte 0x0 14.--21. 1. "CFG_FLR_VF_ACTIVE,Set when the software initiates FLR a virtual function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until the reset of both the application and internal controller logic has been.." newline rbitfld.long 0x0 12.--13. "CFG_FLR_PF_ACTIVE,Set when the software initiates FLR a physical function by writing to the 'Initiate FLR' register bit of that function. This signal is held asserted until reset of both the application and controller logic has been completed." "0,1,2,3" newline rbitfld.long 0x0 10.--11. "CFG_EML_CONTROL,Electromechanical Interlock Control. The state of the Electromechanical Interlock Control bit in the Slot Control register." "0,1,2,3" newline rbitfld.long 0x0 9. "CFG_L1SUB_EN,Indicates that any of the L1 Substates are enabled in the L1 Substates Control 1 Register. Could be used by your application in a downstream port to determine when not to drive CLKREQ# such as when L1" "0,1" newline rbitfld.long 0x0 7.--8. "AUX_PM_EN,AUX_PM_EN" "0,1,2,3" newline rbitfld.long 0x0 5.--6. "PM_STATUS,PME Status bit from the PMCSR. There is 1 bit of pm_status for each configured function." "0,1,2,3" newline hexmask.long.byte 0x0 0.--4. 1. "PM_SLAVE_STATE,Power management slave FSM state." line.long 0x4 "STS_2,STS_2" bitfld.long 0x4 30.--31. "RADM_TIMEOUT_CPL_ATTR,The Attributes field of the timed out completion" "0,1,2,3" newline rbitfld.long 0x4 29. "RADM_QOVERFLOW,Pulse indicating that one or more of the P/NP/CPL receive queues have overflowed. There is a 1-bit indication for each configured virtual channel. You can connect this output to your internal error reporting mechanism." "?,bit indication for each configured virtual channel" newline rbitfld.long 0x4 28. "RADM_Q_NOT_EMPTY,Level indicating that the receive queues contain TLP header/data." "0,1" newline bitfld.long 0x4 27. "RADM_TIMEOUT_FUNC_NUM,The function Number of the timed out completion. Function numbering starts at '0'." "0,1" newline rbitfld.long 0x4 26. "RADM_XFER_PENDING,Receive request pending status. Indicates Receive TLP requests are pending that is requests sent to the RTRGT1 or RTRGT0 interfaces are awaiting a response from your application." "0,1" newline rbitfld.long 0x4 25. "EDMA_XFER_PENDING,eDMA transfer pending status. Indicates eDMA Write or Read Channel transfers are pending that is DMA Write or Read Channels have not finished transferring data." "0,1" newline rbitfld.long 0x4 24. "BRDG_DBI_XFER_PENDING,AXI Slave DBI transfer pending status. Indicates AXI DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline rbitfld.long 0x4 22.--23. "CFG_INT_DISABLE,When high a functions ability to generate INTx messages is Disabled" "0,1,2,3" newline rbitfld.long 0x4 20.--21. "CFG_CMD_CPLED_INT_EN,Slot Control Command Completed Interrupt Enable." "0,1,2,3" newline hexmask.long.byte 0x4 12.--19. 1. "TRGT_TIMEOUT_LOOKUP_ID,The target completion LUT lookup ID of the timed out completion" newline hexmask.long.word 0x4 0.--11. 1. "TRGT_TIMEOUT_CPL_LEN,The Length of the timed out completion." line.long 0x8 "STS_3,STS_3" rbitfld.long 0x8 30.--31. "CFG_PWR_CTRLER_CTRL,Controls the system power controller (from bit 10 of the Slot Control register) per function: - 0: Power On - 1: Power Off" "Power On,Power Off,?,?" newline rbitfld.long 0x8 28.--29. "CFG_END2END_TLP_PFX_BLCK,The value of the End-End TLP Prefix Blocking field in the Device Control 2 register." "0,1,2,3" newline hexmask.long.byte 0x8 23.--27. 1. "CFG_PBUS_DEV_NUM,The device number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are five bits of cfg_pbus_dev_num ([4:0]) regardless of the number of.." newline hexmask.long.word 0x8 11.--22. 1. "RADM_TIMEOUT_CPL_LEN,Length (in bytes) of the timed out completion. For a split completion it indicates the number of bytes remaining to be delivered when the completion timed out." newline hexmask.long.byte 0x8 3.--10. 1. "RADM_TIMEOUT_CPL_TAG,The Tag field of the timed out completion." newline bitfld.long 0x8 0.--2. "RADM_TIMEOUT_VFUNC_NUM,Indicates which virtual function (VF) had a completion timeout. The PCIe SR-IOV specification starts numbering VFs at '1'. To ease timing during synthesis the PCIe controller starts numbering VFs at '0' ." "0,1,2,3,4,5,6,7" rgroup.long 0x210++0xB line.long 0x0 "STS_4,STS_4" bitfld.long 0x0 31. "SMLH_LTSSM_STATE_RCVRY_EQ,This status signal is asserted during all Recovery Equalization states." "0,1" newline bitfld.long 0x0 29.--30. "CFG_DLL_STATE_CHGED_EN,Slot Control DLL State Change Enable" "0,1,2,3" newline bitfld.long 0x0 27.--28. "CFG_HP_SLOT_CTRL_ACCESS,Slot Control Accessed." "0,1,2,3" newline bitfld.long 0x0 25.--26. "CFG_RELAX_ORDER_EN,Contents of the 'Enable Relaxed Ordering' field(PCIE_CAP_EN_REL_ORDER) in the 'Device Control and Status' register (DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline bitfld.long 0x0 23.--24. "CFG_NO_SNOOP_EN,Contents of the 'Enable No Snoop' field (PCIE_CAP_EN_NO_SNOOP)in the 'Device Control and Status' register(DEVICE_CONTROL_DEVICE_STATUS) register." "0,1,2,3" newline hexmask.long.byte 0x0 15.--22. 1. "PM_VF_STATUS,PME Status bit from the VF PMCSR. There is 1 bit of pm_status for each configured function. Each bit field corresponds to one of the NVF virtual functions." newline bitfld.long 0x0 13.--14. "CFG_VF_EN,Identifies those physical functions that have virtual functions enabled." "0,1,2,3" newline bitfld.long 0x0 11.--12. "CFG_ATTEN_BUTTON_PRESSED_EN,Slot Control Attention Button Pressed Enable." "0,1,2,3" newline bitfld.long 0x0 9.--10. "CFG_PWR_FAULT_DET_EN,Slot Control Power Fault Detect Enable." "0,1,2,3" newline bitfld.long 0x0 7.--8. "CFG_MRL_SENSOR_CHGED_EN,Slot Control MRL Sensor Changed Enable." "0,1,2,3" newline bitfld.long 0x0 5.--6. "CFG_PRE_DET_CHGED_EN,Slot Control Presence Detect Changed Enable." "0,1,2,3" newline bitfld.long 0x0 4. "PTM_REQ_RESPONSE_TIMEOUT,PTM Requester Response Timeout. Single-cycle pulse indicating 100us timeout occurred while waiting for a PTM Response or PTM ResponseD message." "0,1" newline bitfld.long 0x0 3. "PTM_TRIGGER_ALLOWED,Indicates that a PTM Requester manual update trigger is allowed." "0,1" newline bitfld.long 0x0 2. "PTM_UPDATING,Indicates that a PTM update is in progress." "0,1" newline bitfld.long 0x0 1. "PTM_RESPONDER_RDY_TO_VALIDATE,PTM Responder Ready to Validate." "0,1" newline bitfld.long 0x0 0. "PTM_CONTEXT_VALID,O Context Valid." "0,1" line.long 0x4 "STS_5,STS_5" bitfld.long 0x4 30.--31. "CFG_HP_INT_EN,Slot Control Hot Plug Interrupt Enable." "0,1,2,3" newline bitfld.long 0x4 28.--29. "CFG_CRS_SW_VIS_EN,Indicates the value of the CRS Software Visibility enable bit in the Root Control register. Applicable only for RC devices." "0,1,2,3" newline bitfld.long 0x4 26.--27. "CFG_PM_NO_SOFT_RST,This is the value of the No Soft Reset bit in the Power Management Control and Status Register." "0,1,2,3" newline hexmask.long.word 0x4 16.--25. 1. "FRSQ_INT_MSG_NUM,FRSQ Interrupt Message Number." newline hexmask.long.byte 0x4 8.--15. 1. "CFG_PBUS_NUM,The primary bus number assigned to the function. The number of bits depends the value of MULTI_DEVICE_AND_BUS_PER_FUNC_EN: - If MULTI_DEVICE_AND_BUS_PER_FUNC_EN =0 there are eight bits of cfg_pbus_num ([7:0]) regardless of the number of.." newline bitfld.long 0x4 6.--7. "CFG_RCB,The value of the RCB bit in the Link Control register. There is 1 bit of cfg_rcb assigned to each configured function." "0,1,2,3" newline hexmask.long.byte 0x4 0.--5. 1. "CFG_MAX_PAYLOAD_SIZE,The value of the Max_Payload_Size field in the Device Control register.There are 3 bits of cfg_max_payload_size assigned to each configured function." line.long 0x8 "STS_6,STS_6" newline bitfld.long 0x8 2. "BRDG_SLV_XFER_PENDING,AXI Slave non-DBI transfer pending status. Indicates AXI non-DBI Slave Read or Write transfers are pending that is AXI Slave transfers are awaiting a response from the controller." "0,1" newline bitfld.long 0x8 1. "SMLH_LINK_UP,PHY Link up/down indicator: - 1: Link is up - 0: Link is down" "Link is down,Link is up" newline bitfld.long 0x8 0. "RDLH_LINK_UP,Data link layer up/down indicator: This status from the flow control initialization state machine indicates that flow control has been initiated and the Data link layer is ready to transmit and receive packets. For multi-VC designs this.." "Link is down,Link is up" group.long 0x21C++0x7 line.long 0x0 "STS_7,STS_7" bitfld.long 0x0 29.--31. "RADM_TIMEOUT_CPL_TC,The Traffic Class of the timed out completion." "0,1,2,3,4,5,6,7" newline bitfld.long 0x0 28. "RADM_TIMEOUT_VFUNC_ACTIVE,Indicates that a virtual function (VF) had a completion timeout. - 0: No VF is active and radm_timeout_vfunc_num is invalid. A PF is valid and identified by radm_timeout_func_num. - 1: A VF is active and is identified by.." "No VF is active and radm_timeout_vfunc_num is..,A VF is active and is identified by.." newline hexmask.long.word 0x0 18.--27. 1. "CFG_PCIE_CAP_INT_MSG_NUM,From bits [13:9] of the PCI Express Capabilities register used when MSI or MSI-X is enabled. Assertion of hp_msi or cfg_pme_msi along with a value cfg_pcie_cap_int_msg_num is equivalent to the controller receiving an MSI with.." newline hexmask.long.word 0x0 8.--17. 1. "CFG_AER_INT_MSG_NUM,From bits [31:27] of the Root Error Status register used when MSI or MSI-X is enabled. Assertion of cfg_aer_rc_err_msi along with a value cfg_aer_int_msg_num is equivalent to the controller receiving an MSI with the.." newline hexmask.long.byte 0x0 0.--7. 1. "CFG_VF_BME,Bus master enable bit from the Control Register in the PCI header of each VF. Each bit field corresponds to one of the NVF virtual functions." line.long 0x4 "STS_8,STS_8" hexmask.long.word 0x4 16.--31. 1. "RADM_MSG_REQ_ID,The requester ID of the received Message. - [15:8]: Bus number - [7:3]: Device number - [2:0]: Function number" newline hexmask.long.word 0x4 0.--15. 1. "CFG_INT_PIN,The cfg_int_pin indicates the configured value for the Interrupt Pin Register field in the BRIDGE_CTRL_INT_PIN_INT_LINE register." repeat 8. (list 0x9 0xA 0xF 0x10 0x11 0x12 0x15 0x16 )(list 0x0 0x4 0x18 0x1C 0x20 0x24 0x30 0x34 ) group.long ($2+0x224)++0x3 line.long 0x0 "STS_$1,STS_9" hexmask.long 0x0 0.--31. 1. "RADM_MSG_PAYLOAD_31_0,Received message header information. When a vendor-defined or ltr messageis received (radm_vendor_msg=1 or radm_ltr_msg=1) the controller maps radm_msg_payload to the Rx TLP header dwords as follows: When RX_TLP =1 - [31:0] = bytes.." repeat.end repeat 16. (list 0xB 0xC 0xD 0xE 0x14 0x17 0x18 0x1C 0x1D 0x1E 0x1F 0x20 0x21 0x22 0x23 0x24 )(list 0x0 0x4 0x8 0xC 0x24 0x30 0x34 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end repeat 3. (list 0x25 0x26 0x27 )(list 0x68 0x6C 0x70 ) rgroup.long ($2+0x22C)++0x3 line.long 0x0 "STS_$1,STS_11" hexmask.long 0x0 0.--31. 1. "PTM_LOCAL_CLOCK_31_0,PTM_LOCAL_CLOCK_31_0" repeat.end group.long 0x24C++0x3 line.long 0x0 "STS_19,STS_19" hexmask.long.word 0x0 16.--31. 1. "MSTR_ARMISC_INFO_47_32,MSTR_ARMISC_INFO_47_32" newline hexmask.long.word 0x0 0.--15. 1. "MSTR_AWMISC_INFO_47_32,MSTR_AWMISC_INFO_47_32" rgroup.long 0x264++0xB line.long 0x0 "STS_25,STS_25" bitfld.long 0x0 30.--31. "CFG_MEM_SPACE_EN,CFG_MEM_SPACE_EN" "0,1,2,3" newline hexmask.long.byte 0x0 24.--29. 1. "CFG_MAX_RD_REQ_SIZE,CFG_MAX_RD_REQ_SIZE" newline bitfld.long 0x0 22.--23. "CFG_BUS_MASTER_EN,CFG_BUS_MASTER_EN" "0,1,2,3" newline bitfld.long 0x0 21. "CFG_DISABLE_LTR_CLR_MSG,CFG_DISABLE_LTR_CLR_MSG" "0,1" newline bitfld.long 0x0 20. "CFG_LTR_M_EN,CFG_LTR_M_EN" "0,1" newline hexmask.long.byte 0x0 16.--19. 1. "CFG_ATTEN_IND,CFG_ATTEN_IND" newline hexmask.long.byte 0x0 12.--15. 1. "CFG_PWR_IND,CFG_PWR_IND" newline bitfld.long 0x0 10.--11. "CFG_PF_PASID_PRIV_MODE_EN,CFG_PF_PASID_PRIV_MODE_EN" "0,1,2,3" newline bitfld.long 0x0 8.--9. "CFG_PF_PASID_EXECUTE_PERM_EN,CFG_PF_PASID_EXECUTE_PERM_EN" "0,1,2,3" newline bitfld.long 0x0 6.--7. "CFG_PF_PASID_EN,CFG_PF_PASID_EN" "0,1,2,3" newline hexmask.long.byte 0x0 0.--5. 1. "CFG_START_VFI,CFG_START_VFI" line.long 0x4 "STS_26,STS_26" hexmask.long.word 0x4 16.--31. 1. "CFG_SUBBUS_NUM,CFG_SUBBUS_NUM" newline hexmask.long.word 0x4 0.--15. 1. "CFG_2NDBUS_NUM,CFG_2NDBUS_NUM" line.long 0x8 "STS_27,STS_27" hexmask.long.byte 0x8 24.--31. 1. "PM_VF_PME_EN,PM_VF_PME_EN" newline hexmask.long.tbyte 0x8 0.--23. 1. "PM_VF_DSTATE,PM_VF_DSTATE" tree.end endif tree.end tree "PCIE_PHY (PCIe PHY Configuration)" sif (CORENAME()=="CORTEXR5F") tree "PCIE_PHY" base ad:0xF1200000 rgroup.word 0x0++0x1 line.word 0x0 "SUP_DIG_IDCODE_LO,Low 16 bits of IDCODE" newline hexmask.word 0x0 0.--15. 1. "DATA," rgroup.word 0x4++0x1 line.word 0x0 "SUP_DIG_IDCODE_HI,High 16 bits of IDCODE" newline hexmask.word 0x0 0.--15. 1. "DATA," group.word 0x8++0x1 line.word 0x0 "SUP_DIG_REFCLK_OVRD_IN,Override values for incoming REFCLK and RESET controls from ASIC" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "BG_EN_OVRD_EN,Enable override for bg_en" "0,1" newline bitfld.word 0x0 12. "BG_EN,Override value for bg_en" "0,1" newline bitfld.word 0x0 11. "REF_CLK_RANGE_OVRD_EN,Enable override for ref_range" "0,1" newline bitfld.word 0x0 8.--10. "REF_CLK_RANGE,Override value for ref_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 7. "REF_REPEAT_CLK_EN_OVRD_EN,Enable override for ref_repeat_clk_en" "0,1" newline bitfld.word 0x0 6. "REF_REPEAT_CLK_EN,Override value for ref_repeat_clk_en" "0,1" newline bitfld.word 0x0 5. "REF_USE_PAD_OVRD_EN,Enable override for ref_use_pad" "0,1" newline bitfld.word 0x0 4. "REF_USE_PAD,Override value for ref_use_pad" "0,1" newline bitfld.word 0x0 3. "REF_CLK_DIV2_EN_OVRD_EN,Enable override for ref_clk_div2_en" "0,1" newline bitfld.word 0x0 2. "REF_CLK_DIV2_EN,Override value for ref_clk_div2_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_EN_OVRD_EN,Enable override for ref_clk_en" "0,1" newline bitfld.word 0x0 0. "REF_CLK_EN,Override value for ref_clk_en" "0,1" group.word 0xC++0x1 line.word 0x0 "SUP_DIG_MPLLA_DIV_CLK_OVRD_IN,Override values for incoming MPLLA_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLA_DIV_OVRD_EN,Enable overrides for MPLLA Div clock" "0,1" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLA_DIV_MULTIPLIER,Override value for mplla_div_multiplier" newline bitfld.word 0x0 0. "MPLLA_DIV_CLK_EN,Override value for mplla_div_clk_en" "0,1" group.word 0x10++0x1 line.word 0x0 "SUP_DIG_MPLLB_DIV_CLK_OVRD_IN,Override values for incoming MPLLB_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLB_DIV_OVRD_EN,Enable overrides for MPLLB Div clock" "0,1" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLB_DIV_MULTIPLIER,Override value for mpllb_div_multiplier" newline bitfld.word 0x0 0. "MPLLB_DIV_CLK_EN,Override value for mpllb_div_clk_en" "0,1" group.word 0x14++0x1 line.word 0x0 "SUP_DIG_MPLLA_OVRD_IN_0,Override values for incoming MPLLA controls from ASIC" newline bitfld.word 0x0 15. "OVRD_EN,Enable override values for all inputs controlled by this register (mplla_word_div2_en)" "0,1" newline bitfld.word 0x0 12.--14. "MPLLA_TX_CLK_DIV,Override value for mplla_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLA_MULTIPLIER,Override value for mplla_multiplier" newline bitfld.word 0x0 3. "MPLLA_DIV10_CLK_EN,Override value for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLA_DIV8_CLK_EN,Override value for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLA_DIV2_EN,Override value for ref_clk_mplla_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_EN,Override value for mplla_en" "0,1" group.word 0x18++0x1 line.word 0x0 "SUP_DIG_MPLLA_OVRD_IN_1,Override values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "MPLLA_WORD_DIV2_EN,Override value for mplla_word_div2_en" "0,1" newline bitfld.word 0x0 7. "MPLLA_SSC_OVRD_EN,Enable override values for MPLLA SSC inputs" "0,1" newline bitfld.word 0x0 4.--6. "MPLLA_SSC_CLK_SEL,Override value for mplla_ssc_clk_sel" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLA_SSC_RANGE,Override value for mplla_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLA_SSC_EN,Override value for mplla_ssc_en" "0,1" group.word 0x1C++0x1 line.word 0x0 "SUP_DIG_MPLLA_OVRD_IN_2,Override values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLA_FRACN_CTRL,Override value for mplla_fracn_ctrl" group.word 0x20++0x1 line.word 0x0 "SUP_DIG_MPLLA_BANDWIDTH_OVRD_IN,Override values for incoming MPLLA bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLA_BANDWIDTH,Override value for mplla_bandwidth[15:0]" group.word 0x24++0x1 line.word 0x0 "SUP_DIG_MPLLB_OVRD_IN_0,Override values for incoming MPLLB controls from ASIC" newline bitfld.word 0x0 15. "OVRD_EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 12.--14. "MPLLB_TX_CLK_DIV,Override value for mpllb_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLB_MULTIPLIER,Override value for mpllb_multiplier" newline bitfld.word 0x0 3. "MPLLB_DIV10_CLK_EN,Override value for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLB_DIV8_CLK_EN,Override value for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLB_DIV2_EN,Override value for ref_clk_mpllb_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLB_EN,Override value for mpllb_en" "0,1" group.word 0x28++0x1 line.word 0x0 "SUP_DIG_MPLLB_OVRD_IN_1,Override values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "MPLLB_WORD_DIV2_EN,Override value for mpllb_word_div2_en" "0,1" newline bitfld.word 0x0 7. "MPLLB_SSC_OVRD_EN,Enable override values for MPLLB SSC inputs" "0,1" newline bitfld.word 0x0 4.--6. "MPLLB_SSC_CLK_SEL,Override value for mpllb_ssc_clk_sel[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLB_SSC_RANGE,Override value for mpllb_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLB_SSC_EN,Override value for mpllb_ssc_en" "0,1" group.word 0x2C++0x1 line.word 0x0 "SUP_DIG_MPLLB_OVRD_IN_2,Override values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLB_FRACN_CTRL,Override value for mpllb_fracn_ctrl" group.word 0x30++0x1 line.word 0x0 "SUP_DIG_MPLLB_BANDWIDTH_OVRD_IN,Override values for incoming MPLLB bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLB_BANDWIDTH,Override value for mpllb_bandwidth[15:0]" group.word 0x34++0x1 line.word 0x0 "SUP_DIG_SUP_OVRD_IN,Override values for support block ASIC inputs" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RES_OVRD_EN,Enable override of res_req_in and res_ack_in" "0,1" newline bitfld.word 0x0 3. "RES_ACK_IN,Override value for res_ack_in" "0,1" newline bitfld.word 0x0 2. "RES_REQ_IN,Override value for res_req_in" "0,1" newline bitfld.word 0x0 1. "RTUNE_OVRD_EN,Enable override of rtune_req" "0,1" newline bitfld.word 0x0 0. "RTUNE_REQ,Override value for rtune_req" "0,1" group.word 0x38++0x1 line.word 0x0 "SUP_DIG_SUP_OVRD_OUT,Override values for support block ASIC outputs" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "BG_SUP_STATE_OVRD_EN,Enable override for bg_sup_state signal" "0,1" newline bitfld.word 0x0 12. "BG_SUP_STATE,Override value for bg_sup_state signal" "0,1" newline bitfld.word 0x0 11. "BG_LANE_STATE_OVRD_EN,Enable override for bg_lane_state signal" "0,1" newline bitfld.word 0x0 10. "BG_LANE_STATE,Override value for bg_lane_state signal" "0,1" newline bitfld.word 0x0 9. "MPLLB_STATE_OVRD_EN,Enable override for mpllb_state output" "0,1" newline bitfld.word 0x0 8. "MPLLB_STATE,Override value for mpllb_state output" "0,1" newline bitfld.word 0x0 7. "MPLLA_STATE_OVRD_EN,Enable override for mplla_state output" "0,1" newline bitfld.word 0x0 6. "MPLLA_STATE,Override value for mplla_state output" "0,1" newline bitfld.word 0x0 5. "RES_ACK_OUT_OVRD_EN,Enable override for res_ack_out output" "0,1" newline bitfld.word 0x0 4. "RES_ACK_OUT,Override value for res_ack_out output" "0,1" newline bitfld.word 0x0 3. "RES_REQ_OUT_OVRD_EN,Enable override for res_req_out output" "0,1" newline bitfld.word 0x0 2. "RES_REQ_OUT,Override value for res_req_out output" "0,1" newline bitfld.word 0x0 1. "RTUNE_ACK_OVRD_EN,Enable override for rtune_ack output" "0,1" newline bitfld.word 0x0 0. "RTUNE_ACK,Override value for rtune_ack output" "0,1" group.word 0x3C++0x1 line.word 0x0 "SUP_DIG_LVL_OVRD_IN,Override values for level settings" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_VBOOST_LVL_EN,Enable override value for tx_vboost_lvl" "0,1" newline bitfld.word 0x0 6.--8. "TX_VBOOST_LVL,Override value for tx_vboost_lvl" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5. "RX_VREF_CTRL_EN,Enable override value for rx_vref_ctrl" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "RX_VREF_CTRL,Override value for rx_vref_ctrl" group.word 0x40++0x1 line.word 0x0 "SUP_DIG_DEBUG,Debug controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 0.--2. "DTB_SEL,The lane DTB's are OR'd together with the support DTB signals selected with the below encodings 0 - none 1 - mplla DTB output 2 - mpllb DTB output 3 - rtune DTB output" "none,mplla DTB output,mpllb DTB output,rtune DTB output,?,?,?,?" rgroup.word 0x44++0x1 line.word 0x0 "SUP_DIG_MPLLA_ASIC_IN_0,Current values for incoming MPLLA controls from ASIC" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "MPLLA_TX_CLK_DIV,Value from ASIC for mplla_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLA_MULTIPLIER,Value from ASIC for mplla_multiplier" newline bitfld.word 0x0 3. "MPLLA_DIV10_CLK_EN,Value from ASIC for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLA_DIV8_CLK_EN,Value from ASIC for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLA_DIV2_EN,Value from ASIC for ref_clk_mplla_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_EN,Value from ASIC for mplla_en" "0,1" rgroup.word 0x48++0x1 line.word 0x0 "SUP_DIG_MPLLA_ASIC_IN_1,Current values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MPLLA_WORD_DIV2_EN,Value from mplla_word_div2_en" "0,1" newline bitfld.word 0x0 4.--6. "MPLLA_SSC_CLK_SEL,Value from mplla_ssc_clk_sel" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLA_SSC_RANGE,Value from mplla_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLA_SSC_EN,Value from mplla_ssc_en" "0,1" rgroup.word 0x4C++0x1 line.word 0x0 "SUP_DIG_MPLLA_ASIC_IN_2,Current values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLA_FRACN_CTRL,Value from mplla_fracn_ctrl" rgroup.word 0x50++0x1 line.word 0x0 "SUP_DIG_MPLLB_ASIC_IN_0,Current values for incoming MPLLB controls from ASIC" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "MPLLB_TX_CLK_DIV,Value from ASIC for mpllb_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLB_MULTIPLIER,Value from ASIC for mpllb_multiplier" newline bitfld.word 0x0 3. "MPLLB_DIV10_CLK_EN,Value from ASIC for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLB_DIV8_CLK_EN,Value from ASIC for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLB_DIV2_EN,Value from ASIC for ref_clk_mpllb_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLB_EN,Value from ASIC for mpllb_en" "0,1" rgroup.word 0x54++0x1 line.word 0x0 "SUP_DIG_MPLLB_ASIC_IN_1,Current values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MPLLB_WORD_DIV2_EN,Value from mpllb_word_div2_en" "0,1" newline bitfld.word 0x0 4.--6. "MPLLB_SSC_CLK_SEL,Value from mpllb_ssc_clk_sel" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLB_SSC_RANGE,Value from mpllb_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLB_SSC_EN,Value from mpllb_ssc_en" "0,1" rgroup.word 0x58++0x1 line.word 0x0 "SUP_DIG_MPLLB_ASIC_IN_2,Current values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLB_FRACN_CTRL,Value from mpllb_fracn_ctrl" rgroup.word 0x5C++0x1 line.word 0x0 "SUP_DIG_MPLLA_DIV_CLK_ASIC_IN,Current values for incoming MPLLA_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLA_DIV_MULTIPLIER,Value from mplla_div_multiplier" newline bitfld.word 0x0 0. "MPLLA_DIV_CLK_EN,Value from mplla_div_clk_en" "0,1" rgroup.word 0x60++0x1 line.word 0x0 "SUP_DIG_MPLLB_DIV_CLK_ASIC_IN,Current values for incoming MPLLB_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLB_DIV_MULTIPLIER,Value from mpllb_div_multiplier" newline bitfld.word 0x0 0. "MPLLB_DIV_CLK_EN,Value from mpllb_div_clk_en" "0,1" rgroup.word 0x64++0x1 line.word 0x0 "SUP_DIG_ASIC_IN,Current values for incoming SUP control signals from ASIC" newline bitfld.word 0x0 15. "TEST_TX_REF_CLK_EN,Value from ASIC for test_tx_refclk_en" "0,1" newline bitfld.word 0x0 14. "MPLLB_STATE,Value to ASIC for mpllb_state_i" "0,1" newline bitfld.word 0x0 13. "MPLLA_STATE,Value to ASIC for mplla_state_i" "0,1" newline bitfld.word 0x0 12. "RES_ACK_OUT,Value to ASIC for res_ack_out_i" "0,1" newline bitfld.word 0x0 11. "RES_ACK_IN,Value from ASIC for res_req_in" "0,1" newline bitfld.word 0x0 10. "RES_REQ_OUT,Value to ASIC for res_ack_out_i" "0,1" newline bitfld.word 0x0 9. "RES_REQ_IN,Value from ASIC for res_req_in" "0,1" newline bitfld.word 0x0 8. "RTUNE_ACK,Value to ASIC for rtune_ack_i" "0,1" newline bitfld.word 0x0 7. "RTUNE_REQ,Value from ASIC for rtune_req" "0,1" newline bitfld.word 0x0 6. "TEST_POWERDOWN,Value from ASIC for test_powerdown" "0,1" newline bitfld.word 0x0 5. "TEST_BURNIN,Value from ASIC for test_burnin" "0,1" newline bitfld.word 0x0 4. "REF_USE_PAD,Value from ASIC for ref_use_pad" "0,1" newline bitfld.word 0x0 3. "REF_REPEAT_CLK_EN,Value from ASIC for ref_repeat_clk_en" "0,1" newline bitfld.word 0x0 2. "REF_CLK_DIV2_EN,Value from ASIC for ref_clk_div2_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_EN,Value from ASIC for ref_clk_en" "0,1" newline bitfld.word 0x0 0. "PHY_RESET,Value from ASIC for phy_reset" "0,1" rgroup.word 0x68++0x1 line.word 0x0 "SUP_DIG_LVL_ASIC_IN,Current values for incoming level controls from ASIC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "TX_VBOOST_LVL,Value from ASIC for tx_vboost_lvl" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 0.--4. 1. "RX_VREF_CTRL,Value from ASIC for rx_vref_ctrl" rgroup.word 0x6C++0x1 line.word 0x0 "SUP_DIG_BANDGAP_ASIC_IN,Current values for incoming bandgap control from ASIC" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "BG_EN,Value from ASIC for bg_en" "0,1" rgroup.word 0x70++0x1 line.word 0x0 "SUP_DIG_MPLLA_BANDWIDTH_ASIC_IN,Current values for incoming MPLLA bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLA_BANDWIDTH,Value from ASIC for mplla_bandwidth" rgroup.word 0x74++0x1 line.word 0x0 "SUP_DIG_MPLLB_BANDWIDTH_ASIC_IN,Current values for incoming MPLLB bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLB_BANDWIDTH,Value from ASIC for mpllb_bandwidth" group.word 0x80++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_CAL_CTRL,MPLL Calibration controls" newline bitfld.word 0x0 15. "EXT_CAL_DONE,Set the external calibration status to done" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EXT_COARSE_TUNE,Value of mpll_ana_coarse_tune_i[7:0] in external calibration mode" newline bitfld.word 0x0 6. "EXT_CHKFRQ_EN,Check the frequency of the MPLL Only valid in external calibration mode" "0,1" newline bitfld.word 0x0 5. "MPLL_EXTCAL,Enable external calibration of MPLL" "0,1" newline bitfld.word 0x0 4. "MPLL_SKIPCAL,Skip automatic (internal) calibration of MPLL (and also skip external calibration if it is enabled) If skipcal is enabled then MPLL_SKIPCAL_COARSE_TUNE is used." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "LOAD_CNT,MSBs for the CHKFRQ FSM ld_val[10:0] load value 0x0 - gives a ld_val of 0 no PPM difference can be detected 0x8 - gives a load value of 1024 3000PPM resolution possible 0xA - gives a load value of 1280 2343PPM resolution possible 0xB - gives.." group.word 0x84++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_OVRD,MPLL override controls" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "DTB_SEL,DTB select for MPLL dtb signals" newline bitfld.word 0x0 4. "FAST_MPLL_LOCK,Enable fast MPLL locking" "0,1" newline bitfld.word 0x0 3. "FAST_MPLL_PWRUP,Enable fast MPLL powerup" "0,1" newline bitfld.word 0x0 2. "MPLL_PCLK_EN,Overrides the PWR FSM mpll_pclk_en signal" "0,1" newline bitfld.word 0x0 1. "MPLL_FBDIGCLK_EN,Overrides the PWR FSM mpll_fb_dig_clk_en signal" "0,1" newline bitfld.word 0x0 0. "OVRD_SEL,Override enable bit" "0,1" rgroup.word 0x88++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_STAT,MPLL status register" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "MPLL_ANA_EN,Current value of mpll_ana_en_i" "0,1" newline bitfld.word 0x0 13. "MPLL_RST,Current value of mpll_ana_rst_i" "0,1" newline bitfld.word 0x0 12. "MPLL_CAL,Current value of mpll_ana_cal_i" "0,1" newline bitfld.word 0x0 11. "MPLL_FBCLK_EN,Current value of mpll_ana_fb_clk_en_i" "0,1" newline bitfld.word 0x0 10. "MPLL_OUTPUT_EN,Current value of mpll_ana_output_en_i" "0,1" newline bitfld.word 0x0 9. "MPLL_PCLK_EN,Current value of mpll_pclk_en" "0,1" newline bitfld.word 0x0 8. "MPLL_L_LANES,Current value of lane_mpll_l" "0,1" newline bitfld.word 0x0 7. "MPLL_R_LANES,Current value of lane_mpll_r" "0,1" newline bitfld.word 0x0 6. "MPLL_CAL_RDY,Current value of mpll_cal_rdy" "0,1" newline bitfld.word 0x0 5. "CHKFRQ_DONE,Current value of mpll_chkfrq_done" "0,1" newline bitfld.word 0x0 4. "MPLL_TOOSLOW,Current value of mpll_tooslow" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "FSM_STATE,Current value of the PWR FSM state register" group.word 0x8C++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_MISC_TIME_THRESHOLD,Thresholds for MPLL CAL Update timer and MPLL VCO Stabilization timer" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 9.--12. 1. "MPLL_CAL_UPDATE_TIME_THRESHOLD,Threshold for the MPLL calibration control word update timer in terms of number of ref_rang_clk cycles" newline hexmask.word 0x0 0.--8. 1. "VCO_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0x90++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_PCLK_EN_AND_VCO_CLK_STABILIZATION_TIME_THRESHOLD,Thresholds for PCLK enable and MPLL VCO Clock Stabilization timer" newline hexmask.word.byte 0x0 11.--15. 1. "PCLK_EN_TIME_THRESHOLD,Threshold for the PCLK enable timer in terms of number of ref_range_clk cycles" newline hexmask.word 0x0 0.--10. 1. "VCO_CLK_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO clock stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0x94++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_PCLK_DIS_AND_MPLL_VCO_PWRDN_THRESHOLD,Thresholds for PCLK disable and MPLL VCO POWER DOWN timer" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "MPLL_VCO_PWRDN_TIME_THRESHOLD,Threshold for the MPLL VCO power down timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--4. 1. "PCLK_DIS_TIME_THRESHOLD,Threshold for the PCLK disable timer in terms of number of ref_range_clk cycles" group.word 0x98++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_FBCLK_EN_AND_MPLL_FBDIGCLK_DIS_AND_MPLL_ANA_PWRUP_TIME_THRESHOLD,Thresholds for MPLL feedback clock enable and MPLL feedback digital clock disable and MPLL ANA POWER UP timer" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 8.--14. 1. "MPLL_ANA_PWRUP_TIME_THRESHOLD,Threshold for the MPLL analog power up timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 4.--7. 1. "MPLL_FBDIGCLK_DIS_TIME_THRESHOLD,Threshold for the MPLL feedback digital clock disable timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--3. 1. "MPLL_FBCLK_EN_TIME_THRESHOLD,Threshold for the MPLL feedback clock enable timer in terms of number of ref_range_clk cycles" rgroup.word 0x9C++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_COARSE_TUNE_VAL,MPLL coarse_tune value register" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_COARSE_TUNE_VAL,Current value of mpll_ana_coarse_tune_i" rgroup.word 0xA0++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when skipping calibration" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when calibration is skipped" group.word 0xA4++0x1 line.word 0x0 "SUP_DIG_MPLLA_SSC_SS_PHASE,Current MPLL phase selector value" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "ZERO_FREQ,Zero frequency register. NOTES: Must be set for PHASE writes to stick (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 2.--10. 1. "VAL,Phase value from zero reference (2 reads needed to read value)" newline bitfld.word 0x0 0.--1. "DTHR,Bits below the useful resolution (2 reads needed to read value)" "0,1,2,3" group.word 0xA8++0x1 line.word 0x0 "SUP_DIG_MPLLA_SSC_SS_FREQ_0,Frequency Control for Spread Spectrum #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "FREQ_0_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word 0x0 0.--11. 1. "FREQ_CNT_INIT,Initial Frequency Counter Value" group.word 0xAC++0x1 line.word 0x0 "SUP_DIG_MPLLA_SSC_SS_FREQ_1,Frequency Control for Spread Spectrum #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "FREQ_1_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "FREQ_PK,Peak Frequency Value (for changing direction)" group.word 0xB0++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_CAL_CTRL,MPLL Calibration controls" newline bitfld.word 0x0 15. "EXT_CAL_DONE,Set the external calibration status to done" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EXT_COARSE_TUNE,Value of mpll_ana_coarse_tune_i[7:0] in external calibration mode" newline bitfld.word 0x0 6. "EXT_CHKFRQ_EN,Check the frequency of the MPLL Only valid in external calibration mode" "0,1" newline bitfld.word 0x0 5. "MPLL_EXTCAL,Enable external calibration of MPLL" "0,1" newline bitfld.word 0x0 4. "MPLL_SKIPCAL,Skip automatic (internal) calibration of MPLL (and also skip external calibration if it is enabled) If skipcal is enabled then MPLL_SKIPCAL_COARSE_TUNE is used." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "LOAD_CNT,MSBs for the CHKFRQ FSM ld_val[10:0] load value 0x0 - gives a ld_val of 0 no PPM difference can be detected 0x8 - gives a load value of 1024 3000PPM resolution possible 0xA - gives a load value of 1280 2343PPM resolution possible 0xB - gives.." group.word 0xB4++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_OVRD,MPLL override controls" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "DTB_SEL,DTB select for MPLL dtb signals" newline bitfld.word 0x0 4. "FAST_MPLL_LOCK,Enable fast MPLL locking" "0,1" newline bitfld.word 0x0 3. "FAST_MPLL_PWRUP,Enable fast MPLL powerup" "0,1" newline bitfld.word 0x0 2. "MPLL_PCLK_EN,Overrides the PWR FSM mpll_pclk_en signal" "0,1" newline bitfld.word 0x0 1. "MPLL_FBDIGCLK_EN,Overrides the PWR FSM mpll_fb_dig_clk_en signal" "0,1" newline bitfld.word 0x0 0. "OVRD_SEL,Override enable bit" "0,1" rgroup.word 0xB8++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_STAT,MPLL status register" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "MPLL_ANA_EN,Current value of mpll_ana_en_i" "0,1" newline bitfld.word 0x0 13. "MPLL_RST,Current value of mpll_ana_rst_i" "0,1" newline bitfld.word 0x0 12. "MPLL_CAL,Current value of mpll_ana_cal_i" "0,1" newline bitfld.word 0x0 11. "MPLL_FBCLK_EN,Current value of mpll_ana_fb_clk_en_i" "0,1" newline bitfld.word 0x0 10. "MPLL_OUTPUT_EN,Current value of mpll_ana_output_en_i" "0,1" newline bitfld.word 0x0 9. "MPLL_PCLK_EN,Current value of mpll_pclk_en" "0,1" newline bitfld.word 0x0 8. "MPLL_L_LANES,Current value of lane_mpll_l" "0,1" newline bitfld.word 0x0 7. "MPLL_R_LANES,Current value of lane_mpll_r" "0,1" newline bitfld.word 0x0 6. "MPLL_CAL_RDY,Current value of mpll_cal_rdy" "0,1" newline bitfld.word 0x0 5. "CHKFRQ_DONE,Current value of mpll_chkfrq_done" "0,1" newline bitfld.word 0x0 4. "MPLL_TOOSLOW,Current value of mpll_tooslow" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "FSM_STATE,Current value of the PWR FSM state register" group.word 0xBC++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_MISC_TIME_THRESHOLD,Thresholds for MPLL CAL Update timer and MPLL VCO Stabilization timer" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 9.--12. 1. "MPLL_CAL_UPDATE_TIME_THRESHOLD,Threshold for the MPLL calibration control word update timer in terms of number of ref_rang_clk cycles" newline hexmask.word 0x0 0.--8. 1. "VCO_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0xC0++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_PCLK_EN_AND_VCO_CLK_STABILIZATION_TIME_THRESHOLD,Thresholds for PCLK enable and MPLL VCO Clock Stabilization timer" newline hexmask.word.byte 0x0 11.--15. 1. "PCLK_EN_TIME_THRESHOLD,Threshold for the PCLK enable timer in terms of number of ref_range_clk cycles" newline hexmask.word 0x0 0.--10. 1. "VCO_CLK_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO clock stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0xC4++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_PCLK_DIS_AND_MPLL_VCO_PWRDN_THRESHOLD,Thresholds for PCLK disable and MPLL VCO POWER DOWN timer" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "MPLL_VCO_PWRDN_TIME_THRESHOLD,Threshold for the MPLL VCO power down timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--4. 1. "PCLK_DIS_TIME_THRESHOLD,Threshold for the PCLK disable timer in terms of number of ref_range_clk cycles" group.word 0xC8++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_FBCLK_EN_AND_MPLL_FBDIGCLK_DIS_AND_MPLL_ANA_PWRUP_TIME_THRESHOLD,Thresholds for MPLL feedback clock enable and MPLL feedback digital clock disable and MPLL ANA POWER UP timer" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 8.--14. 1. "MPLL_ANA_PWRUP_TIME_THRESHOLD,Threshold for the MPLL analog power up timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 4.--7. 1. "MPLL_FBDIGCLK_DIS_TIME_THRESHOLD,Threshold for the MPLL feedback digital clock disable timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--3. 1. "MPLL_FBCLK_EN_TIME_THRESHOLD,Threshold for the MPLL feedback clock enable timer in terms of number of ref_range_clk cycles" rgroup.word 0xCC++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_COARSE_TUNE_VAL,MPLL coarse_tune value register" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_COARSE_TUNE_VAL,Current value of mpll_ana_coarse_tune_i" rgroup.word 0xD0++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when skipping calibration" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when calibration is skipped" group.word 0xD4++0x1 line.word 0x0 "SUP_DIG_MPLLB_SSC_SS_PHASE,Current MPLL phase selector value" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "ZERO_FREQ,Zero frequency register. NOTES: Must be set for PHASE writes to stick (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 2.--10. 1. "VAL,Phase value from zero reference (2 reads needed to read value)" newline bitfld.word 0x0 0.--1. "DTHR,Bits below the useful resolution (2 reads needed to read value)" "0,1,2,3" group.word 0xD8++0x1 line.word 0x0 "SUP_DIG_MPLLB_SSC_SS_FREQ_0,Frequency Control for Spread Spectrum #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "FREQ_0_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word 0x0 0.--11. 1. "FREQ_CNT_INIT,Initial Frequency Counter Value" group.word 0xDC++0x1 line.word 0x0 "SUP_DIG_MPLLB_SSC_SS_FREQ_1,Frequency Control for Spread Spectrum #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "FREQ_1_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "FREQ_PK,Peak Frequency Value (for changing direction)" group.word 0xE0++0x1 line.word 0x0 "SUP_DIG_CLK_RST_BG_PWRUP_TIME_0,BG Power UP Time Register #0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "FAST_BG_WAIT,Enable fast BG times (simulation only)" "0,1" newline hexmask.word 0x0 0.--8. 1. "BG_SUP_EN_TIME,Power up time (in ref_range cycles) for bandgap in SUP (spec >=5us)" group.word 0xE4++0x1 line.word 0x0 "SUP_DIG_CLK_RST_BG_PWRUP_TIME_1,BG Power UP Time Register #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "BG_LANE_EN_TIME,Power up time (in ref_range cycles) for bandgap in LANE (spec >= 20us)" group.word 0x100++0x1 line.word 0x0 "SUP_ANA_MPLLA_MISC,MPLLA_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "NC7_4,Reserved" newline bitfld.word 0x0 3. "PR_BYPASS,If ovrd_pr_bypass is enabled: PR_BYPASS Function 1 stops SSC and disables PMIX 0 enables SSC and PMIX" "0,1" newline bitfld.word 0x0 2. "MODE_OLD_SSC,Uses just two bits for SSC interpolation" "0,1" newline bitfld.word 0x0 1. "OVRD_PR_BYPASS,If asserted pr_bypass take effect on phase rotator bypass control" "0,1" newline bitfld.word 0x0 0. "BYPASS_BUF,To bypass CP buffers." "0,1" group.word 0x104++0x1 line.word 0x0 "SUP_ANA_MPLLA_OVRD,MPLLA_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_REG,set local reset control to ON" "0,1" newline bitfld.word 0x0 6. "OVRD_RESET,enable local control of reset signal (mpll_rst)" "0,1" newline bitfld.word 0x0 5. "FB_CLK_EN_REG,set local feedback clock control to ON" "0,1" newline bitfld.word 0x0 4. "OVRD_FB_CLK_EN,enable local control of feedback clock control signal (mpll_fb_clk_en)" "0,1" newline bitfld.word 0x0 3. "CAL_REG,set local calibration control to ON" "0,1" newline bitfld.word 0x0 2. "OVRD_CAL,enable local control of calibration signal (mpll_cal)" "0,1" newline bitfld.word 0x0 1. "ENABLE_REG,set local enable control to ON" "0,1" newline bitfld.word 0x0 0. "OVRD_ENABLE,enable local control of enable signal (mpll_en)" "0,1" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.word ($2+0x108)++0x1 line.word 0x0 "SUP_ANA_MPLLA_ATB$1,MPLLA_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_VREG_L,Measure vreg_left (atb_s_p)" "0,1" newline bitfld.word 0x0 6. "MEAS_VREG_S,Measure vreg_s in MPLL voltage regulator (atb_s_p)" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_VCO,Measure vreg_vco (atb_s_p)" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_VREG_CP,Override vreg_cp to be 4/3X of voltage on atb_s_m." "0,1" newline bitfld.word 0x0 3. "OVERRIDE_VREG_VP,Override vreg_vp to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREG_LEFT,Override vreg_left to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 1. "OVERRIDE_VREG_RIGHT,Override vreg_right to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_VREG_VCO,Override vreg_vco to be 4/3X of voltage on atb_s_m" "0,1" repeat.end group.word 0x114++0x1 line.word 0x0 "SUP_ANA_MPLLB_MISC,MPLLB_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "NC7_4,Reserved" newline bitfld.word 0x0 3. "PR_BYPASS,If ovrd_pr_bypass is enabled: PR_BYPASS Function 1 stops SSC and disables PMIX 0 enables SSC and PMIX" "0,1" newline bitfld.word 0x0 2. "MODE_OLD_SSC,Uses just two bits for SSC interpolation" "0,1" newline bitfld.word 0x0 1. "OVRD_PR_BYPASS,If asserted pr_bypass take effect on phase rotator bypass control" "0,1" newline bitfld.word 0x0 0. "BYPASS_BUF,To bypass CP buffers." "0,1" group.word 0x118++0x1 line.word 0x0 "SUP_ANA_MPLLB_OVRD,MPLLB_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_REG,set local reset control to ON" "0,1" newline bitfld.word 0x0 6. "OVRD_RESET,enable local control of reset signal (mpll_rst)" "0,1" newline bitfld.word 0x0 5. "FB_CLK_EN_REG,set local feedback clock control to ON" "0,1" newline bitfld.word 0x0 4. "OVRD_FB_CLK_EN,enable local control of feedback clock control signal (mpll_fb_clk_en)" "0,1" newline bitfld.word 0x0 3. "CAL_REG,set local calibration control to ON" "0,1" newline bitfld.word 0x0 2. "OVRD_CAL,enable local control of calibration signal (mpll_cal)" "0,1" newline bitfld.word 0x0 1. "ENABLE_REG,set local enable control to ON" "0,1" newline bitfld.word 0x0 0. "OVRD_ENABLE,enable local control of enable signal (mpll_en)" "0,1" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.word ($2+0x11C)++0x1 line.word 0x0 "SUP_ANA_MPLLB_ATB$1,MPLLB_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_VREG_L,Measure vreg_left (atb_s_p)" "0,1" newline bitfld.word 0x0 6. "MEAS_VREG_S,Measure vreg_s in MPLL voltage regulator (atb_s_p)" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_VCO,Measure vreg_vco (atb_s_p)" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_VREG_CP,Override vreg_cp to be 4/3X of voltage on atb_s_m." "0,1" newline bitfld.word 0x0 3. "OVERRIDE_VREG_VP,Override vreg_vp to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREG_LEFT,Override vreg_left to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 1. "OVERRIDE_VREG_RIGHT,Override vreg_right to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_VREG_VCO,Override vreg_vco to be 4/3X of voltage on atb_s_m" "0,1" repeat.end group.word 0x128++0x1 line.word 0x0 "SUP_ANA_RTUNE_CTRL,RTUNE_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RT_EN_FRCON,Local rtune block enable control force rtune block on if asserted" "0,1" newline bitfld.word 0x0 6. "NC6,Reserved" "0,1" newline bitfld.word 0x0 4.--5. "RT_DAC_MODE,Margin DAC mode control bits." "0,1,2,3" newline bitfld.word 0x0 3. "RT_DAC_CHOP,[RT_DAC_CHOP RT_DAC_MODE] Function 00 Margin DAC power down 01 Margin DAC single ended output drives atb_s_m 10 Margin DAC power down 11 Margin DAC single ended output drives atb_s_p" "0,1" newline bitfld.word 0x0 2. "RT_ATB,RTUNE ATB mode control. Combines with rt_ana_mode[1:0] to perform different functions." "0,1" newline bitfld.word 0x0 1. "RT_SEL_ATBP,RTUNE ATB input select: RT_SEL_ATBP Function 1 select atb_s_p 0 select atb_s_m" "0,1" newline bitfld.word 0x0 0. "RT_SEL_ATBF,RTUNE ATB input select: RT_SEL_ATBF Function 1 select gd as input 0 select atb_s_p/m as input" "0,1" group.word 0x12C++0x1 line.word 0x0 "SUP_ANA_SWITCH_PWR_MEAS,SWITCH_PWR_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "NC7,Reserved" "0,1" newline bitfld.word 0x0 6. "ATB_SW,Connect atb_s_p to atb_s_m if asserted" "0,1" newline bitfld.word 0x0 5. "ATB_SW_HALF_VPH,Connect atb_s_p to vph/2 if asserted. In this mode bit 1 should be 0." "0,1" newline bitfld.word 0x0 4. "ATB_SW_GD,Connect atb_s_m to gd if asserted" "0,1" newline bitfld.word 0x0 3. "ATB_SW_VP,Connect atb_s_p to vp if asserted" "0,1" newline bitfld.word 0x0 2. "ATB_SW_VBG_VREF,Connect vbg_vref to atb_s_p if asserted" "0,1" newline bitfld.word 0x0 1. "ATB_SW_VPH,Connect atb_s_p to vph if bit 5 is asserted Connect atb_s_p to vph/2 if bit 5 is asserted (not recommended)" "0,1" newline bitfld.word 0x0 0. "ATB_SW_VBG_BIAS_REF,Connect vbg_bias_ref to atb_s_p If asserted" "0,1" group.word 0x130++0x1 line.word 0x0 "SUP_ANA_SWITCH_MISC_MEAS,SWITCH_MISC_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "NC7_6,Reserved" "0,1,2,3" newline bitfld.word 0x0 5. "TEMP_MEAS,If asserted enable temperature measurement. Vbe is sent to atb_s_m vbg is sent to atb_s_p." "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 2.--3. "SEL_VPLL_REF,Select the reference voltage for the MPLL 00 vpll_ref = 707mV 01 vpll_ref = 731mV 10 vpll_ref = 756mV (default) 11 vpll_ref = 780mV" "0,1,2,3" newline bitfld.word 0x0 0.--1. "HYST_REF,Function 00 No hysteresis 01 18mVpp hysteresis 10 35mVpp hysteresis (default) 11 50mVpp hysteresis" "0,1,2,3" group.word 0x134++0x1 line.word 0x0 "SUP_ANA_BG,BG" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "NC7_5,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "POR_START_KICK_EN,Enable fast startup using bg kick voltage for POR bandgap outputs" "0,1" newline bitfld.word 0x0 3. "CHOP_EN,Enable chopper clock for bandgap" "0,1" newline bitfld.word 0x0 1.--2. "SEL_VBG_VREF,vbg_vref voltage level select 00 vbg_vref = 658mV 01 vbg_vref = 683mV 10 vbg_vref = 707mV (default) 11 vbg_vref = 731mV" "0,1,2,3" newline bitfld.word 0x0 0. "BYPASS_BG,Bypass bandgap with VP" "0,1" group.word 0x138++0x1 line.word 0x0 "SUP_ANA_PRESCALER_CTRL,PRESCALER_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 3.--7. 1. "NC7_3,Reserved" newline bitfld.word 0x0 2. "ATB_SELECT,Connect internal ATB_S signals to external ATB_S signals" "0,1" newline bitfld.word 0x0 1. "NC1,Reserved" "0,1" newline bitfld.word 0x0 0. "MEAS_VREG,If asserted measure sup_prescaler regulator output voltage (vp_lcl) through atb_s_p" "0,1" group.word 0x180++0x1 line.word 0x0 "SUP_DIG_RTUNE_DEBUG,Resistor tuning debug controls" newline bitfld.word 0x0 15. "TXUP_GO,Enable TxUP tune to continue in manual tune mode when TYPE is TxUP tune. When in non TxUP manual tune mode this bit must be 0. When in TxUP manual tune mode and after TxUP manual tune is triggered if the read only register.." "0,1" newline hexmask.word 0x0 5.--14. 1. "VALUE,Value to use when triggering SET_VAL field only the 6 LSB's are used when setting rx cal values" newline bitfld.word 0x0 3.--4. "TYPE,Type of manual tuning or register read/write to execute 0 - ADC or read/write rt_value 1 - Rx tune or read/write rx_cal_val (only 6 bits) 2 - TxDN tune or read/write txdn_cal_val (10 bits) 3 - TxUP tune or read/write txup_cal_val (10 bits) or.." "ADC,Rx tune,TxDN tune,TxUP tune" newline bitfld.word 0x0 2. "SET_VAL,Set value Write to a 1 to manually write the register specified by the TYPE field to the value in the VALUE field" "0,1" newline bitfld.word 0x0 1. "MAN_TUNE,Write to a 1 to do a manual tuning specified by TYPE field starting a manual tune while a tune is currently running can cause unpredictable results. For use only when you know what the part is doing (w.r.t. resistor tuning)" "0,1" newline bitfld.word 0x0 0. "FLIP_COMP,Invert analog comparator output" "0,1" group.word 0x184++0x1 line.word 0x0 "SUP_DIG_RTUNE_CONFIG,Configure Rtune Operation" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "SUP_ANA_TERM_CTRL,Set the reference resistor in the analog Value Impedence (Ohms) 000 54 001 52 010 50 (default) 011 48 100 46 101 44 110 42 111 40" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 2. "TX_CAL_EN,Enable calibration of TX resistor" "0,1" newline bitfld.word 0x0 1. "FAST_RTUNE,Enable fast resitor tuning (simulation only)" "0,1" newline bitfld.word 0x0 0. "RX_CAL_EN,Enable calibration of RX resistor" "0,1" rgroup.word 0x188++0x1 line.word 0x0 "SUP_DIG_RTUNE_STAT,Resistor tuning register status" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 10.--11. "DTB_RTUNE,DTB sampling for rtune" "0,1,2,3" newline hexmask.word 0x0 0.--9. 1. "STAT,Current value of the register specifed by the DEBUG.TYPE field" group.word 0x18C++0x1 line.word 0x0 "SUP_DIG_RTUNE_RX_SET_VAL,Set value of RX Resistor" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "RX_SET_VAL,Set value of rx resistor Writing a value to this register will set the rx resistor value." group.word 0x190++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXDN_SET_VAL,Set value of TX-DN Resistor" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXDN_SET_VAL,Set value of tx-dn resistor Writing a value to this register will set the tx-dn resistor value." group.word 0x194++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXUP_SET_VAL,Set value of TX-UP Resistor" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXUP_SET_VAL,Set value of tx-up resistor Writing a value to this register will set the tx-up resistor value." rgroup.word 0x198++0x1 line.word 0x0 "SUP_DIG_RTUNE_RX_STAT,RX Resistor tuning register status" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "RX_STAT,Current value of the rx resistor tuning register" rgroup.word 0x19C++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXDN_STAT,TX-DN Resistor tuning register status" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXDN_STAT,Current value of the tx-dn resistor tuning register" rgroup.word 0x1A0++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXUP_STAT,TX-UP Resistor tuning register status" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXUP_STAT,Current value of the tx-up resistor tuning register" group.word 0x1A4++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLA_OVRD_OUT,Override value for mplla signals going to ANA" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "OVRD_SEL,Override bit for mplla_ana outputs" "0,1" newline bitfld.word 0x0 13. "MPLLA_DIV_CLK_EN,Overrides the mplla_ana_div_clk_en signal" "0,1" newline hexmask.word.byte 0x0 9.--12. 1. "RESERVED," newline bitfld.word 0x0 8. "MPLLA_FBCLK_EN,Overrides the mplla_fb_clk_en signal" "0,1" newline bitfld.word 0x0 7. "MPLLA_DIV10_CLK_EN,Overrides the mplla_ana_div10_clk_en signal" "0,1" newline bitfld.word 0x0 6. "MPLLA_DIV8_CLK_EN,Overrides the mplla_ana_div8_clk_en signal" "0,1" newline bitfld.word 0x0 5. "MPLLA_OUTPUT_R_EN,Overrides the mplla_ana_output_r_en signal" "0,1" newline bitfld.word 0x0 4. "MPLLA_OUTPUT_L_EN,Overrides the mplla_ana_output_l_en signal" "0,1" newline bitfld.word 0x0 3. "MPLLA_OUTPUT_EN,Overrides the mplla_ana_output_en signal" "0,1" newline bitfld.word 0x0 2. "MPLLA_CAL,Overrides the mplla_ana_cal signal" "0,1" newline bitfld.word 0x0 1. "MPLLA_RST,Overrides the mplla_ana_rst signal" "0,1" newline bitfld.word 0x0 0. "MPLLA_ANA_EN,Overrides the mplla_ana_en signal" "0,1" group.word 0x1A8++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLB_OVRD_OUT,Override value for mpllb signals going to ANA" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "OVRD_SEL,Override bit for mpllb_ana outputs" "0,1" newline bitfld.word 0x0 13. "MPLLB_DIV_CLK_EN,Overrides the mpllb_ana_div_clk_en signal" "0,1" newline hexmask.word.byte 0x0 9.--12. 1. "RESERVED," newline bitfld.word 0x0 8. "MPLLB_FBCLK_EN,Overrides the mpllb_fb_clk_en signal" "0,1" newline bitfld.word 0x0 7. "MPLLB_DIV10_CLK_EN,Overrides the mpllb_ana_div10_clk_en signal" "0,1" newline bitfld.word 0x0 6. "MPLLB_DIV8_CLK_EN,Overrides the mpllb_ana_div8_clk_en signal" "0,1" newline bitfld.word 0x0 5. "MPLLB_OUTPUT_R_EN,Overrides the mpllb_ana_output_r_en signal" "0,1" newline bitfld.word 0x0 4. "MPLLB_OUTPUT_L_EN,Overrides the mpllb_ana_output_l_en signal" "0,1" newline bitfld.word 0x0 3. "MPLLB_OUTPUT_EN,Overrides the mpllb_ana_output_en signal" "0,1" newline bitfld.word 0x0 2. "MPLLB_CAL,Overrides the mpllb_ana_cal signal" "0,1" newline bitfld.word 0x0 1. "MPLLB_RST,Overrides the mpllb_ana_rst signal" "0,1" newline bitfld.word 0x0 0. "MPLLB_ANA_EN,Overrides the mpllb_ana_en signal" "0,1" group.word 0x1AC++0x1 line.word 0x0 "SUP_DIG_ANA_RTUNE_OVRD_OUT,Override value for RTUNE signals going to ANA" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "RTUNE_OVRD_EN,Override bit for rtune (rt_ana_* and term) outputs" "0,1" newline hexmask.word 0x0 4.--13. 1. "RTUNE_VALUE,Overrides the rt_ana_value[9:0] signal" newline bitfld.word 0x0 3. "RTUNE_EN,Overrides the rt_ana_en signal" "0,1" newline bitfld.word 0x0 1.--2. "RTUNE_MODE,Overrides the rt_ana_mode[1:0] signal" "0,1,2,3" newline bitfld.word 0x0 0. "RTUNE_COMP_RST,Overrides the rt_ana_comp_rst signal" "0,1" rgroup.word 0x1B0++0x1 line.word 0x0 "SUP_DIG_ANA_STAT,SUP input status register for SUP ANA outputs" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RT_ANA_COMP_RESULT,Value from ANA for rt_ana_comp_result" "0,1" group.word 0x1B4++0x1 line.word 0x0 "SUP_DIG_ANA_ANA_OVRD_OUT,Override values for ana_async_rst and bandgap signals going to ANA" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "ANA_ASYNC_RST_OVRD_EN,Override enable for ana_async_rst" "0,1" newline bitfld.word 0x0 3. "ANA_ASYNC_RST,Override value for reset register for analog latches" "0,1" newline bitfld.word 0x0 2. "BG_OVRD_EN,Override bit for bandgap outputs" "0,1" newline bitfld.word 0x0 1. "BG_EN,Overrides the bg_ana_en signal" "0,1" newline bitfld.word 0x0 0. "BG_FAST_START,Overrides the bg_ana_fast_start signal" "0,1" group.word 0x1B8++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLA_PMIX_OVRD_OUT,Override value for mplla pmix signals going to ANA" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLA_PMIX_EN_OVRD_EN,Override bit for mplla_ana_pmix_en signal" "0,1" newline bitfld.word 0x0 9. "MPLLA_PMIX_SEL_OVRD_EN,Override bit for mplla_ana_pmix_sel signal" "0,1" newline bitfld.word 0x0 8. "MPLLA_PMIX_EN,Override bit for mplla_ana_pmix_en signal" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLA_PMIX_SEL,Overrides the mplla_ana_pmix_sel signal" group.word 0x1BC++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLB_PMIX_OVRD_OUT,Override value for mpllb pmix signals going to ANA" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLB_PMIX_EN_OVRD_EN,Override bit for mpllb_ana_pmix_en signal" "0,1" newline bitfld.word 0x0 9. "MPLLB_PMIX_SEL_OVRD_EN,Override bit for mpllb_ana_pmix_sel signal" "0,1" newline bitfld.word 0x0 8. "MPLLB_PMIX_EN,Override bit for mpllb_ana_pmix_en signal" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLB_PMIX_SEL,Overrides the mpllb_ana_pmix_sel signal" group.word 0x4000++0x1 line.word 0x0 "LANE0_DIG_ASIC_LANE_OVRD_IN,Override values for incoming LANE controls from ASIC" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Override value for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Override value for lane_tx2rx_ser_lb_en_r" "0,1" group.word 0x4004++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_0,Override values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DATA_EN_OVRD_EN,Enable override for tx_data_en" "0,1" newline bitfld.word 0x0 14. "DATA_EN,Override value for tx_data_en" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL_OVRD_EN,Enable override for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 12. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11. "WIDTH_OVRD_EN,Enable override for tx_width[1:0]" "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Override value for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8. "RATE_OVRD_EN,Enable override for tx_rate[2:0]" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "PSTATE_OVRD_EN,Enable override for tx_pstate[1:0]" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Override value for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override for tx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for tx_req" "0,1" group.word 0x4008++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_1,Override values for incoming TX drive controls from ASIC. register #1" newline bitfld.word 0x0 15. "MAIN_OVRD_EN,Enable override values for TX EQ main input" "0,1" newline hexmask.word.byte 0x0 9.--14. 1. "TX_MAIN_CURSOR,Override value for tx_eq_main" newline bitfld.word 0x0 8. "EN,Enable override values for inputs below controlled by this register" "0,1" newline bitfld.word 0x0 7. "VBOOST_EN,Override value for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 3.--6. 1. "IBOOST_LVL,Override value for tx_iboost_lvl" newline bitfld.word 0x0 2. "BEACON_EN,Override value for tx_beacon_en" "0,1" newline bitfld.word 0x0 1. "DISABLE,Override value for tx_disable" "0,1" newline bitfld.word 0x0 0. "NYQUIST_DATA,Override incoming data to nyquist" "0,1" group.word 0x400C++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_2,Override values for incoming TX drive controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "POST_OVRD_EN,Enable override values for TX EQ post input" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "TX_POST_CURSOR,Override value for tx_eq_post" newline bitfld.word 0x0 6. "PRE_OVRD_EN,Enable override values for TX EQ pre input" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Override value for tx_eq_pre" group.word 0x4010++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_3,Override values for incoming TX drive controls from ASIC. register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "LPD_OVRD_EN,Enable override for tx_lpd" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 5. "INVERT_OVRD_EN,Enable override for tx_invert" "0,1" newline bitfld.word 0x0 4. "INVERT,Override value for tx_invert" "0,1" newline bitfld.word 0x0 3. "DETECT_RX_REQ_OVRD_EN,Enable override for tx_detrx_req" "0,1" newline bitfld.word 0x0 2. "DETECT_RX_REQ,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 1. "CLK_RDY_OVRD_EN,Enable override for tx_clk_rdy" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Override value for tx_clk_rdy" "0,1" group.word 0x4014++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_4,Override values for incoming TX drive controls from ASIC. register #4" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for tx_reset" "0,1" group.word 0x4018++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_OUT,Override values for outgoing TX controls to ASIC" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "EN_DETRX_RESULT,Enable for override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 2. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 1. "EN_TX_ACK,Enable for override value for tx_ack" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Override value for tx_ack" "0,1" group.word 0x401C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_0,Override values for incoming RX controls from ASIC. register #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "WIDTH_OVRD_EN,Enable override for rx_width" "0,1" newline bitfld.word 0x0 10.--11. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 9. "RATE_OVRD_EN,Enable override value for rx_rate" "0,1" newline bitfld.word 0x0 7.--8. "RATE,Override value for rx_rate" "0,1,2,3" newline bitfld.word 0x0 6. "PSTATE_OVRD_EN,Enable override value for rx_pstate" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3. "DATA_EN_OVRD_EN,Enable override value for rx_data_en" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override value for rx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for rx_req" "0,1" group.word 0x4020++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_1,Override values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_REF_LD_VAL_6,Override value for rx_ref_ld_val[6]" "0,1" newline bitfld.word 0x0 7. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_CDR_VCO_LOWFREQ,Override value for rx_cdr_vco_lowfreq" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_REF_LD_VAL_5_0,Override value for rx_ref_ld_val[5:0]" group.word 0x4024++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_2,Override values for incoming RX controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "EN,Enable override values for all inputs controlled by this register" "0,1" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Override value for rx_vco_ld_val" group.word 0x4028++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_3,Override values for incoming RX controls from ASIC. register #3" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "LOS_OVRD_EN,Enable override for rx_los_lfps_en and rx_los_threshold" "0,1" newline bitfld.word 0x0 13. "LOS_LPFS_EN,Override value for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 10.--12. "LOS_THRSHLD,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "DISABLE_OVRD_EN,Enable override for rx_disable" "0,1" newline bitfld.word 0x0 8. "DISABLE,Override value for rx_disable" "0,1" newline bitfld.word 0x0 7. "CLK_SHIFT_OVRD_EN,Enable override for rx_clk_shift" "0,1" newline bitfld.word 0x0 6. "CLK_SHIFT,Override value for rx_clk_shift" "0,1" newline bitfld.word 0x0 5. "ALIGN_EN_OVRD_EN,Enable override for rx_align_en" "0,1" newline bitfld.word 0x0 4. "ALIGN_EN,Override value for rx_align_en" "0,1" newline bitfld.word 0x0 3. "CDR_SSC_EN_OVRD_EN,Enable override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 2. "CDR_SSC_EN,Override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 1. "CDR_TRACK_EN_OVRD_EN,Enable override value for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 0. "CDR_TRACK_EN,Override value for rx_cdr_track_en" "0,1" group.word 0x402C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_4,Override values for incoming RX controls from ASIC. register #4" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TERM_OVRD_EN,Enable override for rx_term_acdc and rx_term_en" "0,1" newline bitfld.word 0x0 8. "TERM_ACDC,Override value for rx_term_acdc" "0,1" newline bitfld.word 0x0 7. "TERM_EN,Override value for rx_term_en" "0,1" newline bitfld.word 0x0 6. "ADPT_OVRD_EN,Enable override for rx_adpt_dfe_en and rx_adpt_afe_en" "0,1" newline bitfld.word 0x0 5. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 4. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 3. "INVERT_OVRD_EN,Enable override for rx_invert" "0,1" newline bitfld.word 0x0 2. "INVERT,Override value for rx_invert" "0,1" newline bitfld.word 0x0 1. "LPD_OVRD_EN,Enable override for rx_lpd" "0,1" newline bitfld.word 0x0 0. "LPD,Override value for rx_lpd" "0,1" group.word 0x4030++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_5,Override values for incoming RX controls from ASIC. register #5" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for rx_reset" "0,1" group.word 0x4034++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_EQ_IN_0,Override values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Override value for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Override value for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Override value for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" group.word 0x4038++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_EQ_IN_1,Override values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "EQ_OVRD_EN,Enable override value for rx_eq_* inputs" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Override value for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Override value for rx_eq_dfe_tap2" group.word 0x403C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_OUT_0,Override values for outgoing RX controls to ASIC. register #0" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "ADAPT_STS_OVRD_EN,Enable override for rx_adapt_sts" "0,1" newline bitfld.word 0x0 4.--5. "ADAPT_STS,Override value for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 3. "LOS_OUT_OVRD_EN,Enable override for rx_los_r" "0,1" newline bitfld.word 0x0 2. "LOS,Override value for rx_los" "0,1" newline bitfld.word 0x0 1. "ACK_OVRD_EN,Enable override for rx_ack" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0x4040++0x1 line.word 0x0 "LANE0_DIG_ASIC_LANE_ASIC_IN,Current values for incoming LANE controls from ASIC" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Value from ASIC for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Value from ASIC for lane_tx2rx_ser_lb_en_r" "0,1" rgroup.word 0x4044++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_IN_0,Current values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DISABLE,Value from ASIC for tx_disable" "0,1" newline bitfld.word 0x0 14. "DETECT_RX_REQ,Value from ASIC for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL,Value from ASIC for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11.--12. "WIDTH,Value from ASIC for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8.--10. "RATE,Value from ASIC for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--7. "PSTATE,Value from ASIC for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 5. "LPD,Value from ASIC for tx_lpd" "0,1" newline bitfld.word 0x0 4. "REQ,Value from ASIC for tx_req" "0,1" newline bitfld.word 0x0 3. "DATA_EN,Value from ASIC for tx_data_en" "0,1" newline bitfld.word 0x0 2. "INVERT,Value from ASIC for tx_invert" "0,1" newline bitfld.word 0x0 1. "RESET,Value from ASIC for tx_reset" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Value from ASIC for tx_clk_rdy" "0,1" rgroup.word 0x4048++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_IN_1,Current values for incoming TX controls from ASIC. register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_MAIN_CURSOR,Value from ASIC for tx_eq_main" newline bitfld.word 0x0 5. "VBOOST_EN,Value from ASIC for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 1.--4. 1. "IBOOST_LVL,Value from ASIC for tx_iboost_lvl" newline bitfld.word 0x0 0. "BEACON_EN,Value from ASIC for tx_beacon_en" "0,1" rgroup.word 0x404C++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_IN_2,Current values for incoming TX controls from ASIC. register #2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_POST_CURSOR,Value from ASIC for tx_eq_post" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Value from ASIC for tx_eq_pre" rgroup.word 0x4050++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_OUT,Current values for outgoing TX status controls from PHY" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DETRX_RESULT,Value from PHY for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Value from PHY for tx_ack" "0,1" rgroup.word 0x4054++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_ASIC_IN_0,Current values for incoming RX controls from ASIC. register #0" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "CDR_TRACK_EN,Value from ASIC for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 13. "ADAPT_DFE_EN,Value from ASIC for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 12. "ADAPT_AFE_EN,Value from ASIC for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Value from ASIC for rx_width" "0,1,2,3" newline bitfld.word 0x0 7.--8. "RATE,Value from ASIC for rx_rate" "0,1,2,3" newline bitfld.word 0x0 5.--6. "PSTATE,Value from ASIC for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from ASIC for rx_lpd" "0,1" newline bitfld.word 0x0 3. "REQ,Value from ASIC for rx_req" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Value from ASIC for rx_data_en" "0,1" newline bitfld.word 0x0 1. "INVERT,Value from ASIC for rx_invert" "0,1" newline bitfld.word 0x0 0. "RESET,Value from ASIC for rx_reset" "0,1" rgroup.word 0x4058++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_ASIC_IN_1,Current values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "RX_TERM_ACDC,Value from ASIC for rx_term_acdc" "0,1" newline bitfld.word 0x0 8. "RX_TERM_EN,Value from ASIC for rx_term_en" "0,1" newline bitfld.word 0x0 7. "LOS_LPFS_EN,Value from ASIC for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 4.--6. "LOS_THRSHLD,Value from ASIC for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "DISABLE,Value from ASIC for rx_disable" "0,1" newline bitfld.word 0x0 2. "CLK_SHIFT,Value from ASIC for rx_clk_shift" "0,1" newline bitfld.word 0x0 1. "ALIGN_EN,Value from ASIC for rx_align_en" "0,1" newline bitfld.word 0x0 0. "CDR_SSC_EN,Value from ASIC for rx_cdr_ssc_en" "0,1" rgroup.word 0x405C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_EQ_ASIC_IN_0,Current values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Value from ASIC for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0x4060++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_EQ_ASIC_IN_1,Current values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Value from ASIC for rx_eq_dfe_tap2" rgroup.word 0x4064++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_CDR_VCO_ASIC_IN_0,Current values for incoming RX CDR VCO controls from ASIC. register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "RX_REF_LD_VAL,Value from ASIC for rx_ref_ld_val" newline bitfld.word 0x0 0. "RX_CDR_VCO_LOWFREQ,Value from ASIC for rx_cdr_vco_lowfreq" "0,1" rgroup.word 0x4068++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_CDR_VCO_ASIC_IN_1,Current values for incoming RX CDR VCO controls from ASIC. register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Value from ASIC for rx_vco_ld_val" rgroup.word 0x406C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_ASIC_OUT_0,Current values for outgoing RX status controls from PHY. register #0" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 3.--4. "ADAPT_STS,Value from PHY for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 2. "VALID,Value from PHY for rx_valid" "0,1" newline bitfld.word 0x0 1. "LOS,Value from PHY for rx_los" "0,1" newline bitfld.word 0x0 0. "ACK,Value from PHY for rx_ack" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x8 ) group.word ($2+0x4080)++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PSTATE_P$1,TX Power State Control Register for P0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 7. "TX_P0_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0_DIG_CLK_EN,Enable/Disable TX digital clocks in P0" "0,1" newline bitfld.word 0x0 5. "TX_P0_ANA_SERIAL_EN,Value of TX ana serial_en in P0" "0,1" newline bitfld.word 0x0 4. "TX_P0_ANA_RESET,Value of TX ana reset in P0" "0,1" newline bitfld.word 0x0 3. "TX_P0_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0" "0,1" newline bitfld.word 0x0 2. "TX_P0_ANA_CLK_EN,Value of TX ana clk_en in P0" "0,1" newline bitfld.word 0x0 1. "TX_P0_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0" "0,1" newline bitfld.word 0x0 0. "TX_P0_ANA_REFGEN_EN,Value of TX ana refgen_en in P0" "0,1" repeat.end group.word 0x4084++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PSTATE_P0S,TX Power State Control Register for P0S" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P0S_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0S_DIG_CLK_EN,Enable/Disable TX digital clocks in P0S" "0,1" newline bitfld.word 0x0 5. "TX_P0S_ANA_SERIAL_EN,Value of TX ana serial_en in P0S" "0,1" newline bitfld.word 0x0 4. "TX_P0S_ANA_RESET,Value of TX ana reset in P0S" "0,1" newline bitfld.word 0x0 3. "TX_P0S_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0S" "0,1" newline bitfld.word 0x0 2. "TX_P0S_ANA_CLK_EN,Value of TX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 1. "TX_P0S_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0S" "0,1" newline bitfld.word 0x0 0. "TX_P0S_ANA_REFGEN_EN,Value of TX ana refgen_en in P0S" "0,1" group.word 0x408C++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PSTATE_P2,TX Power State Control Register for P2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P2_ALLOW_VBOOST,If asserted then vboost is allowed in P2" "0,1" newline bitfld.word 0x0 8. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed" "0,1" newline bitfld.word 0x0 7. "TX_P2_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P2_DIG_CLK_EN,Enable/Disable TX digital clocks in P2" "0,1" newline bitfld.word 0x0 5. "TX_P2_ANA_SERIAL_EN,Value of TX ana serial_en in P2" "0,1" newline bitfld.word 0x0 4. "TX_P2_ANA_RESET,Value of TX ana reset in P2" "0,1" newline bitfld.word 0x0 3. "TX_P2_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P2" "0,1" newline bitfld.word 0x0 2. "TX_P2_ANA_CLK_EN,Value of TX ana clk_en in P2" "0,1" newline bitfld.word 0x0 1. "TX_P2_ANA_VCM_HOLD,Value of TX ana vcm_hold in P2" "0,1" newline bitfld.word 0x0 0. "TX_P2_ANA_REFGEN_EN,Value of TX ana refgen_en in P2" "0,1" group.word 0x4090++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_0,TX Power UP Time Register #0" newline hexmask.word.byte 0x0 8.--15. 1. "TX_CLK_EN,Power up time (in ref_range cycles) for TX ana clock enable (spec: >=1us)" newline hexmask.word.byte 0x0 0.--7. 1. "TX_REFGEN_EN_TIME,Power up time (in ref_range cycles) for TX ana refgen enable (spec: >=500ns)" group.word 0x4094++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_1,TX Power UP Time Register #1" newline bitfld.word 0x0 15. "SKIP_TX_VCM_HOLD_WAIT,Skip wait for TX common mode hold power up" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_TIME_14_0,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 14:0)" group.word 0x4098++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_2,TX Power UP Time Register #2" newline bitfld.word 0x0 13.--15. "DTB_SEL,Selects data to drive on DTB 0 - disabled 1 - tx_ack and tx_pwrsm_state[0] 2 - tx_ana_rxdetp_result_i tx_ana_rxdetm_result_i 3 - tx_ana_reset_i tx_ana_clk_en_i 4 - analog/asic clocks 5 - asic early signal / clock aligner shift 6 - tx_clk_state.." "disabled,tx_ack and tx_pwrsm_state[0],tx_ana_rxdetp_result_i,tx_ana_reset_i,analog/asic clocks,asic early signal / clock aligner shift,tx_clk_state counter / lbert strobe,ref_dig_rst/tx_dig_rst" newline hexmask.word 0x0 0.--12. 1. "TX_VBOOST_DIS_TIME_12_0,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bits 12:0)" group.word 0x409C++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_3,TX Power UP Time Register #3" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_VBOOST_DIS_TIME_13,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bit 13)" "0,1" newline bitfld.word 0x0 0.--2. "TX_VCM_HOLD_TIME_17_15,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 17:15)" "0,1,2,3,4,5,6,7" group.word 0x40A0++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_4,TX Power UP Time Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_GS_TIME,TX common mode gear-shift time (in ref range cycles) (spec: >=400us)" group.word 0x40A4++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_5,TX Power UP Time Register #5" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 13.--14. "TX_SERIAL_EN_TIME,Power up time (in ref_range cycles) for TX ana serial enable (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 11.--12. "TX_RESET_TIME,TX Reset deassertion time (in ref_range cycles) (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 10. "FAST_TX_RXDET,Enable fast TX RX-detection (simulation only)" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_RXDET_TIME,RX Detect up time (in ref_range cycles) starting from asserting rxdet_en (spec: from 3.55us to 25.9us)" group.word 0x40A8++0x1 line.word 0x0 "LANE0_DIG_TX_LBERT_CTL,Pattern Generator controls" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 5.--14. 1. "PAT0,Pattern for modes 3-5" newline bitfld.word 0x0 4. "TRIGGER_ERR,Insert a single error into a lsb Any write of a 1 to this bit will insert an error" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to generate When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15:.." group.word 0x40AC++0x1 line.word 0x0 "LANE0_DIG_TX_CLK_ALIGN_TX_CTL_0,TX Clock Alignment Control Register #0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_FIFO_BYPASS,By-pass TX datapath FIFO" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "TX_NUM_2UI_SHIFTS_20B_MODE," newline hexmask.word.byte 0x0 0.--3. 1. "TX_NUM_2UI_SHIFTS_16B_MODE," repeat 3. (list 0x0 0x1 0x2 )(list 0x0 0x8 0xC ) group.word ($2+0x4100)++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PSTATE_P$1,RX Power State Control Register for P0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0_DIG_CLK_EN,Enable/Disable RX digital clocks in P0" "0,1" newline bitfld.word 0x0 10. "RX_P0_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0 If RX_P0_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0" "0,1" newline bitfld.word 0x0 8. "RX_P0_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0" "0,1" newline bitfld.word 0x0 7. "RX_P0_ANA_CDR_EN,Value of RX ana cdr_en in P0" "0,1" newline bitfld.word 0x0 6. "RX_P0_ANA_DESER_EN,Value of RX ana deserial_en in P0" "0,1" newline bitfld.word 0x0 5. "RX_P0_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0" "0,1" newline bitfld.word 0x0 4. "RX_P0_ANA_CLK_EN,Value of RX ana clk_en in P0" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0" "0,1" newline bitfld.word 0x0 1. "RX_P0_ANA_AFE_EN,Value of RX ana afe_en in P0" "0,1" newline bitfld.word 0x0 0. "RX_P0_ANA_LOS_EN,Value of RX ana los_en in P0" "0,1" repeat.end group.word 0x4104++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PSTATE_P0S,RX Power State Control Register for P0S" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0S_DIG_CLK_EN,Enable/Disable RX digital clocks in P0S" "0,1" newline bitfld.word 0x0 10. "RX_P0S_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0S If RX_P0S_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0S_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0S" "0,1" newline bitfld.word 0x0 8. "RX_P0S_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0S" "0,1" newline bitfld.word 0x0 7. "RX_P0S_ANA_CDR_EN,Value of RX ana cdr_en in P0S" "0,1" newline bitfld.word 0x0 6. "RX_P0S_ANA_DESER_EN,Value of RX ana deserial_en in P0S" "0,1" newline bitfld.word 0x0 5. "RX_P0S_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0S" "0,1" newline bitfld.word 0x0 4. "RX_P0S_ANA_CLK_EN,Value of RX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0S_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0S" "0,1" newline bitfld.word 0x0 1. "RX_P0S_ANA_AFE_EN,Value of RX ana afe_en in P0S" "0,1" newline bitfld.word 0x0 0. "RX_P0S_ANA_LOS_EN,Value of RX ana los_en in P0S" "0,1" group.word 0x4110++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_0,RX Power UP Time Register #0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "SKIP_RX_LOS_EN_WAIT,Skip wait for RX LOS enable" "0,1" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_EN_TIME,Power up time (in ref_range cycles) for RX ana los enable (spec >=10us)" group.word 0x4114++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_1,RX Power UP Time Register #1" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "FAST_RX_VREG_EN,Enable fast RX VREG enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "RX_VREG_EN_TIME,Power up time (in ref_range cycles) for RX ana vreg enable (spec 500ns)" newline bitfld.word 0x0 6. "FAST_RX_AFE_EN,Enable fast RX AFE enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_AFE_EN_TIME,Power up time (in ref_range cycles) for RX ana AFE enable (spec >=1us)" group.word 0x4118++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_2,RX Power UP Time Register #2" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "FAST_RX_CLK_EN,Enable fast RX clock enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_CLK_EN_TIME,Power up time (in ref_range cycles) for RX ana clk (or dcc) enable (spec >1us)" group.word 0x411C++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_3,RX Power UP Time Register #3" newline bitfld.word 0x0 14.--15. "RX_DESER_DIS_TIME,Power down time in (ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline bitfld.word 0x0 12.--13. "RX_DESER_EN_TIME,Power up time (in ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline hexmask.word.byte 0x0 8.--11. 1. "RX_CDR_EN_TIME,Power up time (in ref_range cycles) for RX ana cdr (or dfe/dfe_taps) enable (spec 0ns)" newline hexmask.word.byte 0x0 2.--7. 1. "RSVD_3_7_2,Reserved" newline bitfld.word 0x0 0.--1. "RX_RATE_TIME,Power up time (in ref_range cycles) for RX ana rate or width change" "0,1,2,3" group.word 0x4120++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_0,RX VCO calibration controls register #0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 9.--11. "INT_GAIN_CAL_BOUNCE_CNT,Number of bounces (i.e. direction changes) on the int_gain code before indicating that the RX VCO calibration is done" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "INT_GAIN_CAL_CNT_SHIFT,Number of shifts to apply to ld_cnt inputs when performing int_gain code calibration" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5. "INT_GAIN_CAL_FIXED_CNT_EN,Enable a fixed count (instead of bounce count) for int_gain code calibration" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "INT_GAIN_CAL_FIXED_CNT,Number of steps done during int_gain code calibration when INT_GAIN_CAL_FIXED_CNT_EN is enabled." group.word 0x4124++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_1,RX VCO calibration controls register #1" newline hexmask.word.byte 0x0 9.--15. 1. "DTB_SEL,DTB select for RX VCO dtb signals 7'h01 - {chkfrq_en ref_dig_clk} 7'h02 - {rx_ana_cdr_vco_en_i rx_ana_cdr_startup_i} 7'h04 - {rx_vco_up dpll_freq_rst} 7'h08 - {rx_vco_contcal_en rx_vco_cal_rst} 7'h10 - {chkfrq_done vcoclk_too_fast} 7'h20 -.." newline hexmask.word.byte 0x0 5.--8. 1. "DPLL_CAL_UG,DPLL calibration update on int_gain code 3'h0 - 0 Else - (1/16)*2^(DPLL_CAL_UG-1) LSB/update Maximum DPLL_CAL_UG=10 i.e. 32 LSB/update" newline bitfld.word 0x0 4. "DISABLE_INT_CAL_MODE,When asserted then the DPLL frequency register is never modified by the RX VCO calibration FSM (even if DPLL_CAL_UG is non-zero). In this case the calibration will always be performed on the VCO freq_tune code. This allows.." "0,1" newline bitfld.word 0x0 3. "RX_VCO_CONTCAL_EN,Override value for the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 2. "RX_VCO_CAL_RST,Override value for the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 1. "RX_VCO_FREQ_RST,Override value for the frequency reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 0. "RX_VCO_OVRD_SEL,Override the calibration controls from the RX PWRSM" "0,1" group.word 0x4128++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_2,RX VCO calibration controls register #2" newline bitfld.word 0x0 15. "SKIP_RX_VCO_CAL,Skip RX VCO calibration altogether" "0,1" newline bitfld.word 0x0 14. "SKIP_RX_VCO_FREQ_TUNE_CAL,Skip RX VCO coarse calibration" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "FREQ_TUNE_CAL_STEPS,Number of cal steps of freq tune" newline hexmask.word 0x0 0.--9. 1. "FREQ_TUNE_START_VAL,Starting value of freq tune code" group.word 0x412C++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_0,RX Power UP Time Register #0" newline bitfld.word 0x0 15. "FAST_RX_VCO_WAIT,Enable fast RX VCO power up (simulation only)" "0,1" newline hexmask.word.byte 0x0 11.--14. 1. "RX_VCO_CNTR_PWRUP_TIME,Power up time (in ref_range cycles) for Rx ana vco cnter (spec >200ns)" newline hexmask.word.byte 0x0 7.--10. 1. "RX_VCO_UPDATE_TIME,Settle time (in ref_range cycles) for RX ana vco update (freq_tune or int_gain) (spec >200ns)" newline hexmask.word.byte 0x0 0.--6. 1. "RX_VCO_STARTUP_TIME,Power up time (in ref_range cycles) for RX ana vco startup (spec >1us)" group.word 0x4130++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_1,RX Power UP Time Register #1" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 0.--2. "RX_VCO_CNTR_SETTLE_TIME,RX VCO counter value settling time in (ref_dig_clk cycles) (spec: 3 ref_dig_clk cycle)" "0,1,2,3,4,5,6,7" rgroup.word 0x4134++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_STAT_0,RX VCO status register #0" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_ANA_CDR_VCO_EN,Current value of rx_ana_cdr_vco_en_i" "0,1" newline bitfld.word 0x0 12. "RX_ANA_CDR_STARTUP,Current value of rx_ana_cdr_startup_i" "0,1" newline bitfld.word 0x0 11. "RX_ANA_VCO_CNTR_EN,Current value of rx_ana_vco_cntr_en_i" "0,1" newline bitfld.word 0x0 10. "RX_ANA_VCO_CNTR_PD,Current value of rx_ana_vco_cntr_pd_i" "0,1" newline hexmask.word 0x0 0.--9. 1. "RX_ANA_CDR_FREQ_TUNE,Current value of rx_ana_cdr_freq_tune_i" rgroup.word 0x4138++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_STAT_1,RX VCO status register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "DPLL_FREQ_RST,Indicates that the RX integral frequency is reset or not" "0,1" newline bitfld.word 0x0 7. "RX_VCO_CAL_DONE,Indicates that the RX VCO has completed calibration" "0,1" newline bitfld.word 0x0 6. "RX_VCO_CONTCAL_EN,Value of the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 5. "RX_VCO_CAL_RST,Value of the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 4. "RX_VCO_FREQ_RST,Value of the RX VCO frequency reset from the RX PWRSM" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_VCO_FSM_STATE,Value of the RX VCO CAL FSM" rgroup.word 0x413C++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_STAT_2,RX VCO status register #2" newline bitfld.word 0x0 15. "RX_VCO_UP,Indicates that the RX VCO is ready" "0,1" newline bitfld.word 0x0 14. "RX_VCO_CORRECT,Indicates that the RX VCO clock has the correct frequency" "0,1" newline bitfld.word 0x0 13. "VCOCLK_TOO_FAST,Indicates that the RX VCO clock frequency is too fast" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_CNTR_FINAL,Value of Rx VCO counter when refclk counter expired" group.word 0x4140++0x1 line.word 0x0 "LANE0_DIG_RX_RX_ALIGN_XAUI_COMM_MASK,XAUI_COMMA Mask" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "XAUI_COMM_MASK,XAUI_COMMA Mask. For 10-bit COMMA set the mask to 0x3FF and for 7-bit COMMA set the mask to 0x3F8" group.word 0x4144++0x1 line.word 0x0 "LANE0_DIG_RX_LBERT_CTL,Pattern Matcher controls" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "SYNC,Synchronize pattern matcher LFSR with incoming data A write of a one to this bit will reset the error counter and start a synchronization of the PM. There is no need to write this back to zero to run normally." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to match When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15: X^15.." group.word 0x4148++0x1 line.word 0x0 "LANE0_DIG_RX_LBERT_ERR,Pattern match error counter" newline bitfld.word 0x0 15. "OV14,If active multiply COUNT by 128. If OV14=1 and COUNT=2^15-1 signals overflow of counter (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 0.--14. 1. "COUNT,A read of this register or a sync of the PM resets the error count. Current error count If OV14 field is active then multiply count by 128 (2 reads needed to read value)" group.word 0x414C++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_0,Control bits for receiver in recovered domain" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 7.--10. 1. "DTB_SEL,Select to drive various signals onto the dtb 0 - disabled 1 - rx_pr_stable rx_afe_stable from rx_ana_ctl 2 - com_good com_bad from rx_align 3 - shift_in_prog ana_odd_data from rx_align 4 - 2 msb's of XAUI align FSM state 5 - 2 lsb's of XAUI.." newline bitfld.word 0x0 6. "ALWAYS_REALIGN,Realign on any misaligned comma" "0,1" newline bitfld.word 0x0 5. "PHDET_EN_PR_MODE,Enable partial response phase detector mode" "0,1" newline bitfld.word 0x0 4. "PHDET_POL,Reverse polarity of phase error" "0,1" newline bitfld.word 0x0 2.--3. "PHDET_EDGE,Edges to use for phase detection. 10 - Use both edges 01 - Use rising edges only 11 - Use falling edges only 00 - Ignore all edges" "Ignore all edges,Use rising edges only,?,?" newline bitfld.word 0x0 0.--1. "PHDET_EN,Enable phase detector. top bit is odd slicers bottom is even" "0,1,2,3" group.word 0x4150++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_1,CDR Control Register #1" newline hexmask.word.byte 0x0 10.--15. 1. "SSC_OFF_CNT1,When SSC mode is disabled the 12-bit word count in gain stage 1 is: (SSC_OFF_CNT1 * 4) in 20b mode (SSC_OFF_CNT1 * 5) in 16b mode" newline hexmask.word 0x0 0.--9. 1. "SSC_OFF_CNT0,When SSC mode is disabled the 12-bit word count in gain stage 0 is: (SSC_OFF_CNT0 * 4) in 20b mode (SSC_OFF_CNT0 * 5) in 16b mode" group.word 0x4154++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_2,CDR Control Register #2" newline hexmask.word.byte 0x0 9.--15. 1. "SSC_ON_CNT1,When SSC mode is enabled the 12-bit word count in gain stage 1 is: (SSC_ON_CNT1 * 8) in 20b mode (SSC_ON_CNT1 * 10) in 16b mode" newline hexmask.word 0x0 0.--8. 1. "SSC_ON_CNT0,When SSC mode is enabled the 12-bit word count in gain stage 0 is: (SSC_ON_CNT0 * 8) in 20b mode (SSC_ON_CNT0 * 10) in 16b mode" group.word 0x4158++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_3,CDR Control Register #3" newline bitfld.word 0x0 13.--15. "FRUG_OVRD_VALUE,Override value for FRUG (frequency update gain) 3'h0 - 0 3'h1 - 1/16 LSB/update 3'h2 - 1/8 LSB/update 3'h3 - 1/4 LSB/update 3'h4 - 1/2 LSB/update 3'h5 - 1 LSB/update 3'h6 - 2 LSB/update 3'h7 - 4 LSB/update" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "PHUG_OVRD_VALUE,Override value for PHUG (phase update gain) 3'h0 - 0 3'h1 - 1000 ppm 3'h2 - 2000 ppm 3'h3 - 3000 ppm 3'h4 - 4000 ppm 3'h5 - 5000 ppm 3'h6 - 6000 ppm 3'h7 - 7000 ppm" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "OVRD_DPLL_GAIN,Override PHUG and FRUG values" "0,1" newline bitfld.word 0x0 6.--8. "SSC_OFF_FRUG0,When SSC mode is disabled the frug value in gain stage 0 is SSC_OFF_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_OFF_PHUG1,When SSC mode is disabled the phug value in gain stage 1 is SSC_OFF_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_PHUG0,When SSC mode is disabled the phug value in gain stage 0 is SSC_OFF_PHUG0" "0,1,2,3,4,5,6,7" group.word 0x415C++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_4,CDR Control Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "SSC_ON_PHUG1,When SSC mode is enabled the phug value in gain stage 1 is SSC_ON_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "SSC_ON_PHUG0,When SSC mode is enabled the phug value in gain stage 0 is SSC_ON_PHUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "SSC_ON_FRUG1,When SSC mode is enabled the frug value in gain stage 1 is SSC_ON_FRUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_ON_FRUG0,When SSC mode is enabled the frug value in gain stage 0 is SSC_ON_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_FRUG1,When SSC mode is disabled the frug value in gain stage 1 is SSC_OFF_FRUG1" "0,1,2,3,4,5,6,7" rgroup.word 0x4160++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_STAT,Current output values to dpll (phug. frug)" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "FRUG_VALUE,NOTES: Current value for dpll_frug[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PHUG_VALUE,NOTES: Current value for dpll_phug[2:0]" "0,1,2,3,4,5,6,7" group.word 0x4164++0x1 line.word 0x0 "LANE0_DIG_RX_DPLL_FREQ,Current frequency integrator value." newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline hexmask.word 0x0 0.--13. 1. "VAL,Freq is 125*VAL ppm from the reference (2 reads needed to read value)" group.word 0x4168++0x1 line.word 0x0 "LANE0_DIG_RX_DPLL_FREQ_BOUND_0,Frequency Bounds for incoming data stream #0" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 1.--10. 1. "UPPER_FREQ_BOUND,Upper frequency bound in terms of LSBs of the integral control code" newline bitfld.word 0x0 0. "FREQ_BOUND_EN,Enable the frequency bounds feature" "0,1" group.word 0x416C++0x1 line.word 0x0 "LANE0_DIG_RX_DPLL_FREQ_BOUND_1,Frequency Bounds for incoming data stream #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "LOWER_FREQ_BOUND,Lower frequency bound in terms of LSBs of the integral control code" group.word 0x4180++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_0,Adaptation Configuration Register #0" newline bitfld.word 0x0 15. "ADPT_CLK_DIV4_EN,Set the adaptation clock to be divided by 4 (default is div2)" "0,1" newline bitfld.word 0x0 14. "START_ASM1,Start adaptation state machine #1 (VGA CTLE DFE EYEH) This register-bit is self-clearing" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "N_TGG_ASM1,Number of toggle loop iterations for ASM1" newline hexmask.word 0x0 0.--9. 1. "N_TOP_ASM1,Number of top level loop iterations for ASM1" group.word 0x4184++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_1,Adaptation Configuration Register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "CTLE_POLE_OVRD_EN,Override CTLE pole value (only valid if adaptation is run)" "0,1" newline bitfld.word 0x0 8.--10. "CTLE_POLE_OVRD_VAL,CTLE Pole override value to load at start of adaptation" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 7. "FAST_AFE_DFE_SETTLE,Enable fast AFE and DFE settling time (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--6. 1. "N_WAIT_ASM1,Number of wait cycles for Adaptation SM #1" group.word 0x4188++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_2,Adaptation Configuration Register #2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "TGG_PTTRN_1,Pattern for the second toggle loop Error slicer is moved upward by Data tap1 if this pattern is matched" newline hexmask.word.byte 0x0 0.--4. 1. "TGG_PTTRN_0,Pattern for the first toggle loop Error slicer is moved downward by Data tap1 if this pattern is matched" group.word 0x418C++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_3,Adaptation Configuration Register #3" newline bitfld.word 0x0 15. "ESL_TWICE_DSL,Assert if error slicer has twice the voltage range as the data slicer (for the same 8 bits)." "0,1" newline bitfld.word 0x0 14. "TGG_EN,Enable toggling of the error slicer" "0,1" newline bitfld.word 0x0 13. "EYEHO_EN,Enable eye height measurement using odd error slicer" "0,1" newline bitfld.word 0x0 12. "EYEHE_EN,Enable eye height measurement using even error slicer" "0,1" newline hexmask.word.byte 0x0 7.--11. 1. "DFE_EN,Enable DFE adaptation for taps 5-1" newline bitfld.word 0x0 6. "ATT_EN,Enable ATT adaptation" "0,1" newline bitfld.word 0x0 5. "VGA_EN,Enable VGA adaptation" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "CTLE_EN,Enable CTLE boost adaptation The five bits determine which correlators are used to adapt the CTLE" repeat 2. (list 0x4 0x5 )(list 0x0 0x4 ) group.word ($2+0x4190)++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_$1,Adaptation Configuration Register #4" newline hexmask.word.byte 0x0 12.--15. 1. "DFE2_TH,DFE Tap2 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 8.--11. 1. "DFE1_TH,DFE Tap1 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 4.--7. 1. "VGA_TH,VGA correlation decision threshold (2^N-1) During eye height measurement the VGA_TH is repurporsed for error slicer updates." newline hexmask.word.byte 0x0 0.--3. 1. "CTLE_TH,CTLE correlation decision threshold (2^N-1)" repeat.end group.word 0x4198++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_6,Adaptation Configuration Register #6" newline bitfld.word 0x0 13.--15. "ATT_LOW_TH,ATT low threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "VGA_SAT_CNT_STICKY,If deasserted then VGA saturation counts must be consecutive to change ATT" "0,1" newline bitfld.word 0x0 9.--11. "VGA_SAT_CNT,VGA saturation count" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "ATT_MU,ATT gain code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "VGA_MU,VGA gain code update gain (2^N) During eye height measurement the VGA_MU is repurporsed for error slicer updates." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "CTLE_MU,CTLE Boost code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x419C++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_7,Adaptation Configuration Register #7" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 10.--14. 1. "VGA_LEV_LOW,VGA level low saturation limit" newline hexmask.word.byte 0x0 5.--9. 1. "VGA_LEV_HIGH,VGA level high saturation limit" newline hexmask.word.byte 0x0 0.--4. 1. "VGA_MIN_SAT,VGA minimum saturation limit" group.word 0x41A0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_8,Adaptation Configuration Register #8" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "DFE5_MU,DFE tap5 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "DFE4_MU,DFE tap4 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "DFE3_MU,DFE tap3 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "DFE2_MU,DFE tap2 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "DFE1_MU,DFE tap1 code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x41A4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_9,Adaptation Configuration Register #9" newline hexmask.word.byte 0x0 8.--15. 1. "ERR_SLO_ADPT_INIT,The error odd slicer is initialized to this value at the start of a new adaptation request." newline hexmask.word.byte 0x0 0.--7. 1. "ERR_SLE_ADPT_INIT,The error even slicer is initialized to this value at the start of a new adaptation request." group.word 0x41A8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_RST_ADPT_CFG,Reset Adaptation Configuration Register" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RST_ADPT_TAP1,Reset Data Tap1 when turning off DFE adaptation (Taps 2-5 are always turned off when DFE adaptation is turned off)" "0,1" newline bitfld.word 0x0 3. "RST_ADPT_CTLE_POLE,Reset CTLE Pole when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 2. "RST_ADPT_CTLE_BOOST,Reset CTLE Boost when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 1. "RST_ADPT_VGA,Reset VGA when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 0. "RST_ADPT_ATT,Reset ATT when turning off AFE adaptation" "0,1" rgroup.word 0x41AC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ATT_STATUS,Value of ATT Adaptation code" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "ASM1_DON,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_CODE,Value of ATT adaptation code" rgroup.word 0x41B0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_VGA_STATUS,Value of VGA Adaptation code" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_CODE,Value of VGA adaptation code" rgroup.word 0x41B4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_CTLE_STATUS,Value of CTLE Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_CODE,Value of CTLE Pole adaptation code" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_CODE,Value of CTLE Boost adaptation code" rgroup.word 0x41B8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP1_STATUS,Value of DFE Tap1 Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_CODE,Value of DFE tap1 adaptation code" rgroup.word 0x41BC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP2_STATUS,Value of DFE Tap2 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_CODE,Value of DFE tap2 adaptation code" rgroup.word 0x41C0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP3_STATUS,Value of DFE Tap3 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP3_ADPT_CODE,Value of DFE tap3 adaptation code" rgroup.word 0x41C4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP4_STATUS,Value of DFE Tap4 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP4_ADPT_CODE,Value of DFE tap4 adaptation code" rgroup.word 0x41C8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP5_STATUS,Value of DFE Tap5 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP5_ADPT_CODE,Value of DFE tap5 adaptation code" group.word 0x41CC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_DATA_EVEN_VDAC_OFST,Offset values for RX DFE Data Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_VDAC_OFST,Offset value for DFE Data Even vDAC" group.word 0x41D0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_DATA_ODD_VDAC_OFST,Offset values for RX DFE Data Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_VDAC_OFST,Offset value for DFE Data Odd vDAC" group.word 0x41D4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x41D8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0x41DC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0x41E0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" rgroup.word 0x41E4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ERROR_SLICER_LEVEL,Value of Error Slicer Level" newline hexmask.word.byte 0x0 8.--15. 1. "E_SLE_LVL,Even Error Slicer Level" newline hexmask.word.byte 0x0 0.--7. 1. "E_SLO_LVL,Odd Error Slicer Level" group.word 0x41E8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_RESET,Adaptation reset register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_ASM1,Resets adaptation state machine (ASM1) as well as the stats capture block. This is a self-clearing bit and requires re-start of ASM1." "0,1" group.word 0x4200++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_LD_VAL_1,Stat load value for the sample counter #1" newline bitfld.word 0x0 15. "SC1_START,Start sample counter #1 This is a self-clearing bit" "0,1" newline hexmask.word 0x0 0.--14. 1. "SC1_LD_VAL,Sample counter #1 load value" group.word 0x4204++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_DATA_MSK,Stat data mask bits [15:0]" newline hexmask.word 0x0 0.--15. 1. "DATA_MSK_15_0,Value of data_msk_r[15:0]" group.word 0x4208++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_MATCH_CTL0,Stat match controls register #0" newline bitfld.word 0x0 14.--15. "SCOPE_DLY,# of clock cycle delays on scope_data_rx_clk An additional MSB is added in SCOPE_DLY_2" "0,1,2,3" newline hexmask.word.byte 0x0 10.--13. 1. "DATA_MSK_19_16,Value of data_msk_r[19:16]" newline hexmask.word.byte 0x0 5.--9. 1. "PTTRN_CR1A_4_0,Value of pattern A for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 0.--4. 1. "PTTRN_MSK_CR1A_4_0,Value of pattern A mask for 1st correlator (bits 4:0)" group.word 0x420C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_MATCH_CTL1,Stat match controls register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "PTTRN_CR1A_ADPT_EN,Enable ORing of adapation pattern with pattern CR1A" "0,1" newline hexmask.word.byte 0x0 6.--10. 1. "PTTRN_CR1B_4_0,Value of pattern B for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 1.--5. 1. "PTTRN_MSK_CR1B_4_0,Value of pattern B mask for 1st correlator (bits 4:0)" newline bitfld.word 0x0 0. "PTTRN_CR1B_EN,Enable pattern B matching for 1st correlator" "0,1" group.word 0x4210++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CTL0,Stat controls register #0" newline bitfld.word 0x0 15. "SKIP_EN,Value of skip_en_r" "0,1" newline bitfld.word 0x0 14. "SC_TIMER_MODE,Sample counter operation mode 0x0 - counts number of matched samples 0x1 - counts clock cycles (i.e. a timer)" "counts number of matched samples,counts clock cycles" newline bitfld.word 0x0 13. "STAT_RXCLK_SEL,Select stat clock 0x0 - ref_range_clk 0x1 - rx_dig_clk (i.e. rx dword clk) Before changing stat_rxclk_sel_r from 1->0 the rx_dig_clk must be active (i.e. enabled)" "ref_range_clk,rx_dig_clk" newline bitfld.word 0x0 10.--12. "STAT_SRC_SEL,Select stat source input 0x0 - {20{rx_cal_result}} 0x1 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x2 - rx_phase[39:0] 0x3 - rx_error[39:0] 0x4 - rx_data[39:0] 0x5 - rx_phdir[39:0] 0x6 - 40'hFF_FFFF_FFFF" "?,?,rx_phase[39:0],rx_error[39:0],rx_data[39:0],rx_phdir[39:0],?,?" newline hexmask.word.byte 0x0 6.--9. 1. "STAT_SHFT_SEL,Select stat source shift value 0x0 - Correlate N-1 -> N+3 (use N for offset calibration) 0x1 - Correlate N+1 -> N+5 (for taps1-5) 0x2 - Correlate N+6 -> N+10 0x3 - Correlate N+11 -> N+15 0x4 - Correlate N+16 -> N+20 0x5 - Correlate N+21 ->.." newline bitfld.word 0x0 5. "CORR_MODE_EN,Enable correlation mode" "0,1" newline bitfld.word 0x0 3.--4. "CORR_SRC_SEL,Select correlation input source 0x0 - rx_error[39:0] 0x1 - rx_phase[39:0] 0x2 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x3 - No correlation" "rx_error[39:0],rx_phase[39:0],?,No correlation" newline bitfld.word 0x0 2. "CORR_SHFT_SEL,Select shift for phase. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 1. "CORR_SHFT_SEL_VGA,Select shift for error going to VGA. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 0. "RESERVED_0,Reserved bit" "0,1" group.word 0x4214++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CTL1,Stat controls register #1" newline bitfld.word 0x0 14.--15. "VLD_CTL,Gating configuration of stats collection 0x0 - ignore both cdr_valid and rx_valid 0x1 - gate stats collection with cdr_valid 0x2 - gate stats collection with rx_valid 0x3 - ignore both cdr_valid and rx_valid" "ignore both cdr_valid and rx_valid,gate stats collection with cdr_valid,gate stats collection with rx_valid,ignore both cdr_valid and rx_valid" newline bitfld.word 0x0 13. "VLD_LOSS_CLR,Clearing of stats collection upon loss of valid 0x0 - hold sample and stat counters 0x1 - clear sample and stat counters" "hold sample and stat counters,clear sample and stat counters" newline bitfld.word 0x0 11.--12. "DATA_DLY_SEL,# of clock cycle delays on rx_data[19:0] An additional MSB is added in DATA_DLY_SEL_2" "0,1,2,3" newline bitfld.word 0x0 10. "STAT_CLK_EN,Clock gate enable for stat clock" "0,1" newline bitfld.word 0x0 9. "SC_PAUSE,Pause the sample counter and stat counters" "0,1" newline bitfld.word 0x0 7.--8. "RESERVED_8_7,Reserved bits" "0,1,2,3" newline bitfld.word 0x0 6. "STAT_CNT_6_EN,Enable for stat counter 6" "0,1" newline bitfld.word 0x0 5. "STAT_CNT_5_EN,Enable for stat counter 5" "0,1" newline bitfld.word 0x0 4. "STAT_CNT_4_EN,Enable for stat counter 4" "0,1" newline bitfld.word 0x0 3. "STAT_CNT_3_EN,Enable for stat counter 3 Only counter to be enabled by default since used for offset calibration" "0,1" newline bitfld.word 0x0 2. "STAT_CNT_2_EN,Enable for stat counter 2" "0,1" newline bitfld.word 0x0 1. "STAT_CNT_1_EN,Enable for stat counter 1" "0,1" newline bitfld.word 0x0 0. "STAT_CNT_0_EN,Enable for stat counter 0" "0,1" rgroup.word 0x4218++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_SMPL_CNT1,Sample Counter #1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "SMPL_CNT1,Current value of sample counter #1" rgroup.word 0x421C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_0,Stat Counter 0 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_0,Current value of stat counter #0" rgroup.word 0x4220++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_1,Stat Counter 1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_1,Current value of stat counter #1" rgroup.word 0x4224++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_2,Stat Counter 2 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_2,Current value of stat counter #2" rgroup.word 0x4228++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_3,Stat Counter 3 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_3,Current value of stat counter #3" rgroup.word 0x422C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_4,Stat Counter 4 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_4,Current value of stat counter #4" rgroup.word 0x4230++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_5,Stat Counter 5 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_5,Current value of stat counter #5" rgroup.word 0x4234++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_6,Stat Counter 6 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_6,Current value of stat counter #6" group.word 0x4238++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_CAL_COMP_CLK_CTL,Calibration Comparator Control" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "REF_DIV_CNT,Ref range clock count (e.g. 5'd3 = 4 ref_range cycles)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PRECHRGE_CNT,Precharge Count (e.g. 5'd1 = 2 ref_range cycles)" "0,1,2,3,4,5,6,7" repeat 4. (list 0x2 0x3 0x4 0x5 )(list 0x0 0x4 0x8 0xC ) group.word ($2+0x423C)++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_MATCH_CTL$1,Stat match controls register #2" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "PTTRN_CR1A_19_5,Value of pattern A for 1st correlator (bits 19:5)" repeat.end group.word 0x424C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CTL2,Stat controls register #2" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "SCOPE_DLY_2,Additional MSB bit for SCOPE_DLY to extend the delay range to 0->7" "0,1" newline bitfld.word 0x0 0. "DATA_DLY_SEL_2,Additional MSB bit for DATA_DLY_SEL to extend the delay range to 0->7" "0,1" group.word 0x4250++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_STOP,Stat stop register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "SC1_STOP,Stop sample counters #1 and associated stat counters. This is a self-clearing bit and requires re-start of sample counter #1." "0,1" group.word 0x4280++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_OVRD_OUT,Override values for TX signals going to ANA" newline bitfld.word 0x0 15. "TX_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 14. "TX_RXDET_EN,Override value for tx_ana_rxdet_en" "0,1" newline bitfld.word 0x0 13. "TX_DIV4_EN,Override value for tx_ana_div4_en" "0,1" newline bitfld.word 0x0 12. "RESERVED," "0,1" newline bitfld.word 0x0 10.--11. "TX_ANA_DATA_RATE,Override value for tx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 9. "TX_ANA_SERIAL_EN,Override value for tx_ana_serial_en" "0,1" newline bitfld.word 0x0 8. "TX_ANA_RESET,Override value for tx_ana_reset" "0,1" newline bitfld.word 0x0 7. "TX_ANA_MPLLB_CLK_EN,Override value for tx_ana_mpllb_clk_en" "0,1" newline bitfld.word 0x0 6. "TX_ANA_MPLLA_CLK_EN,Override value for tx_ana_mplla_clk_en" "0,1" newline bitfld.word 0x0 5. "TX_ANA_WORD_CLK_EN,Override value for tx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_EN,Override value for tx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_VCM_HOLD,Override value for tx_ana_vcm_hold" "0,1" newline bitfld.word 0x0 2. "TX_ANA_REFGEN_EN,Override value for tx_ana_refgen_en" "0,1" newline bitfld.word 0x0 1. "TX_ANA_DATA_EN,Override value for tx_ana_data_en" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT,Override value for tx_ana_clk_shift" "0,1" group.word 0x4284++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_TERM_CODE_OVRD_OUT,Override value for TX termination code going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "TX_CLK_LB_EN,Override value for tx_ana_clk_lb_en (override enabled by TX_OVRD_EN)." "0,1" newline bitfld.word 0x0 10. "TX_TERM_OVRD_EN,Override enable for the tx_ana_term_code[9:0] signal" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_TERM_CODE,Overrides the tx_ana_term_code[9:0] signal" group.word 0x4288++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_TERM_CODE_CLK_OVRD_OUT,Override value for TX termination code clocks going to ANA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "TX_TERM_CLK_SELF_CLEAR_DISABLE,Disable self-clearing for the tx_ana_term_up/dn_clk register" "0,1" newline bitfld.word 0x0 1. "TX_TERM_UP_CLK,Override for TX term UP clock This bit is self-clearing (4 cr_clks later)." "0,1" newline bitfld.word 0x0 0. "TX_TERM_DN_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x428C++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_0,Override values for TX EQ signals going to ANA register #0" newline bitfld.word 0x0 15. "TX_EQ_OVRD_EN,Override enable for tx eq signals" "0,1" newline hexmask.word 0x0 1.--14. 1. "TX_ANA_CTRL_ATTEN_13_0,Override value for tx_ana_ctrl_atten[13:0]" newline bitfld.word 0x0 0. "TX_ANA_LOAD_CLK,Override value for tx_ana_load_clk" "0,1" group.word 0x4290++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_1,Override values for TX EQ signals going to ANA register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "TX_ANA_CTRL_ATTEN_19_14,Override value for tx_ana_ctrl_atten[19:14]" group.word 0x4294++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_2,Override values for TX EQ signals going to ANA register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 7.--12. 1. "TX_ANA_CTRL_PRE,Override value for tx_ana_ctrl_pre[5:0]" newline hexmask.word.byte 0x0 0.--6. 1. "RESERVED," group.word 0x4298++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_3,Override values for TX EQ signals going to ANA register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "TX_ANA_CTRL_POST,Override value for tx_ana_ctrl_post[7:0]" group.word 0x429C++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_CTL_OVRD_OUT,Override values for RX control signals going to ANA" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_CTL_OVRD_EN,Enable override values for outputs [8-0] below" "0,1" newline bitfld.word 0x0 7. "RX_LBK_CLK_EN,Override value for rx_ana_loopback_clk_en" "0,1" newline bitfld.word 0x0 6. "RX_ANA_ADAPTATION_EN,Override value for rx_ana_adaptation_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_DFE_TAPS_EN,Override value for rx_ana_dfe_taps_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_DIV4_EN,Override value for rx_ana_div4_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_WORD_CLK_EN,Override value for rx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_DATA_RATE,Override value for rx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 0. "RESERVED," "0,1" group.word 0x42A0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_PWR_OVRD_OUT,Override values for RX PWR UP/DN signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_PWR_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_ANA_DESERIAL_EN,Override value for rx_ana_deserial_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_CDR_EN,Override value for rx_ana_cdr_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_CLK_EN,Override value for rx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_CLK_DCC_EN,Override value for rx_ana_clk_dcc_en" "0,1" newline bitfld.word 0x0 2. "RX_ANA_CLK_VREG_EN,Override value for rx_ana_clk_vreg_en" "0,1" newline bitfld.word 0x0 1. "RX_ANA_AFE_EN,Override value for rx_ana_afe_en" "0,1" newline bitfld.word 0x0 0. "RX_ANA_LOS_EN,Override value for rx_ana_los_en" "0,1" group.word 0x42A4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_VCO_OVRD_OUT_0,Override values for RX VCO signals going to ANA #0" newline bitfld.word 0x0 15. "RX_CDR_FREQ_TUNE_OVRD_EN,Enable override value for rx_ana_cdr_freq_tune" "0,1" newline bitfld.word 0x0 14. "RX_ANA_VCO_CNTR_CLK,Override value for rx_ana_vco_cntr_clk" "0,1" newline bitfld.word 0x0 13. "RX_ANA_VCO_CNTR_EN,Override value for rx_ana_vco_cntr_en" "0,1" newline hexmask.word 0x0 3.--12. 1. "RX_ANA_CDR_FREQ_TUNE,Override value for rx_ana_cdr_freq_tune" newline bitfld.word 0x0 2. "RX_VCO_CDR_OVRD_EN,Enable override values for cdr_vco_en and cdr_startup" "0,1" newline bitfld.word 0x0 1. "RX_ANA_CDR_STARTUP,Override value for rx_ana_cdr_startup" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_EN,Override value for rx_ana_cdr_vco_en" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x42A8)++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_VCO_OVRD_OUT_$1,Override values for RX VCO signals going to ANA #1" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_ANA_VCO_CNTR_PD,Override value for rx_ana_vco_cntr_pd" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_LOWFREQ,Override value for rx_ana_cdr_vco_lowfreq" "0,1" repeat.end group.word 0x42B0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_CAL,Sets values for RX CAL signals going to ANA register" newline bitfld.word 0x0 15. "RX_ANA_CAL_COMP_EN,Value for rx_ana_cal_comp_en" "0,1" newline bitfld.word 0x0 13.--14. "RX_ANA_CAL_MODE,Value for rx_ana_cal_mode[1:0] 00 Dual differential comparison ( [vip2 - vim2] greater than [vip1 - vim1] ) 01 Differential comparison on input2 (vip2 greater than vim2) 10 Single-ended comparison negative node to negative node (vim1.." "?,?,vim2] greater than [vip1,?" newline bitfld.word 0x0 12. "RX_ANA_SLICER_CAL_EN,Value for rx_ana_slicer_cal_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 10. "RX_ANA_CAL_LPFBYP_EN,Value for rx_ana_cal_lpfbyp_en" "0,1" newline hexmask.word.byte 0x0 5.--9. 1. "RX_ANA_CAL_MUXB_SEL,Value for rx_ana_cal_muxb_sel[4:0]" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_MUXA_SEL,Value for rx_ana_cal_muxa_sel[4:0]" group.word 0x42B4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_DAC_CTRL,Sets values for RX DAC CTRL value going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ANA_CAL_DAC_CTRL,Value for rx_ana_cal_dac_ctrl[7:0]" group.word 0x42B8++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_DAC_CTRL_OVRD,Overrides RX DAC CTRL bus (en/val/sel) going to ANA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CAL_DAC_CTRL_OVRD,Override enable for Cal DAC control" "0,1" group.word 0x42BC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_DAC_CTRL_SEL,Sets values for RX DAC CTRL Select signal going to ANA" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_DAC_CTRL_SEL,Value for rx_ana_cal_dac_ctrl_sel[4:0]" group.word 0x42C0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_AFE_ATT_VGA,Value for RX AFE ATT & VGA signals going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_AFE_OVRD_EN,Override enable for AFE control" "0,1" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "RX_ANA_AFE_GAIN,Value for rx_ana_afe_gain[3:0]" newline bitfld.word 0x0 0.--2. "RX_ANA_AFE_ATT_LVL,Value for rx_ana_afe_att_lvl[2:0]" "0,1,2,3,4,5,6,7" group.word 0x42C4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_AFE_CTLE,Values for RX AFE CTLE signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 3.--7. 1. "RX_ANA_AFE_CTLE_BOOST,Value for rx_ana_afe_ctle_boost[4:0]" newline bitfld.word 0x0 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" group.word 0x42C8++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_SCOPE,Values for RX SCOPE signals going to ANA" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_SCOPE_SELF_CLEAR_DISABLE,Disable the self-clearing for rx_ana_scope_ph_clk register" "0,1" newline bitfld.word 0x0 12. "RX_ANA_SCOPE_CLK_EN,Enable the scope clocks going to the scope slicer and the lane digital part" "0,1" newline hexmask.word.byte 0x0 4.--11. 1. "RX_ANA_SCOPE_PHASE,Sets value for rx_ana_scope_phase[7:0]" newline bitfld.word 0x0 3. "RX_ANA_SCOPE_PH_CLK,Sets value for rx_ana_scope_ph_clk - This bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_SCOPE_SEL,Sets value for rx_ana_scope_sel 00 - AFE scope selected 01 - DFE even scope selected 10 - DFE odd scope selected 11 - DFE bypass/AFE buffer scope selected" "AFE scope selected,DFE even scope selected,?,?" newline bitfld.word 0x0 0. "RX_ANA_SCOPE_EN,Sets value for rx_ana_scope_en" "0,1" group.word 0x42CC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_SLICER_CTRL,Sets values for RX Slicer Ctrl signals going to ANA register" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_ANA_SLICER_CTRL_OVRD_EN,Override enable for Rx ANA Slicer Ctrl" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x42D0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_IQ_PHASE_ADJUST,Sets values for RX ANA IQ PHASE Adjust signal going to ANA register" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_ANA_IQ_PHASE_ADJUST,Value for rx_ana_iq_phase_adjust[6:0]" group.word 0x42D4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_IQ_SENSE_EN,Sets values for RX ANA IQ SENSE signal" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ANA_IQ_SENSE_EN,Value for rx_ana_iq_sense_en" "0,1" group.word 0x42D8++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_CAL_DAC_CTRL_EN,DAC CTRL enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DAC_CTRL_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_cal_dac_ctrl_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CAL_DAC_CTRL_EN,Value for rx_ana_cal_dac_ctrl_en - If DAC_CTRL_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x42DC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_SIGNALS_CHANGES_ENABLE,Afe update enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "AFE_UPDATE_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_afe_update_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_AFE_UPDATE_EN,Value for rx_ana_afe_update_en - If AFE_UPDATE_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x42E0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_PHASE_ADJUST_CLK,Phase adjust clock signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "PHASE_ADJUST_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_iq_phase_adjust_clk register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_IQ_PHASE_ADJUST_CLK,Value for rx_ana_iq_phase_adjust_clk - If PHASE_ADJUST_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" rgroup.word 0x42E4++0x1 line.word 0x0 "LANE0_DIG_ANA_STATUS_0,Lane input status register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_ANA_SCOPE_DATA,Value from ANA for rx_ana_scope_data" "0,1" newline bitfld.word 0x0 6. "RX_ANA_CAL_RESULT,Value from ANA for rx_ana_cal_result" "0,1" newline bitfld.word 0x0 5. "RX_ANA_LOS,Value from ANA for rx_ana_los" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_LB_EN,Value of tx_ana_clk_lb_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_LOOPBACK_EN,Value of tx_ana_loopback_en" "0,1" newline bitfld.word 0x0 2. "TX_ANA_RXDETM_RESULT,Value from ANA for tx_ana_rxdetm_result" "0,1" newline bitfld.word 0x0 1. "TX_ANA_RXDETP_RESULT,Value from ANA for tx_ana_rxdetp_result" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT_ACK,Value from ANA for tx_ana_clk_shift_ack" "0,1" rgroup.word 0x42E8++0x1 line.word 0x0 "LANE0_DIG_ANA_STATUS_1,Lane input status register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_ANA_VCO_CNTR,Value from ANA for rx_ana_vco_cntr" group.word 0x42EC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_TERM_CODE_OVRD_OUT,Override value for RX termination code going to ANA" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "RX_TERM_OVRD_EN,Override enable for the rx_ana_term_code[5:0] signal" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_TERM_CODE,Overrides the rx_ana_term_code[5:0] signal" group.word 0x42F0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_TERM_CODE_CLK_OVRD_OUT,Override value for RX termination code clock going to ANA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_TERM_CLK_SELF_CLEAR_DISABLE,Disable the self-clearing of rx_ana_term_clk register" "0,1" newline bitfld.word 0x0 0. "RX_TERM_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x4300++0x1 line.word 0x0 "LANE0_ANA_TX_OVRD_MEAS,TX_OVRD_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "PULL_DN_REG,Pull down TX output if asserted" "0,1" newline bitfld.word 0x0 6. "PULL_UP_REG,Pull up TX output if asserted" "0,1" newline bitfld.word 0x0 5. "VCM_HOLD_REG,Set Tx in common mode if asserted together with bit 4" "0,1" newline bitfld.word 0x0 4. "OVRD_VCM_HOLD,If asserted bit 5 take effect on control Tx common mode" "0,1" newline bitfld.word 0x0 3. "MEAS_SAMP_P,Measure clock p DCD through atb_s_p on clock psample" "0,1" newline bitfld.word 0x0 2. "MEAS_SAMP_M,Measure clock m DCD through atb_s_p on clock m sample" "0,1" newline bitfld.word 0x0 1. "CLK_SHIFT_REG,Controls clock shift if asserted with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_CLK_SHIFT,If asserted allow analog register to control clock shift function" "0,1" group.word 0x4304++0x1 line.word 0x0 "LANE0_ANA_TX_PWR_OVRD,TX_PWR_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_EN,Enable analog register to take control TX power state if asserted" "0,1" newline bitfld.word 0x0 6. "SERIAL_EN_REG,Enable TX serializer if assered with bit 7" "0,1" newline bitfld.word 0x0 5. "CLK_EN_REG,Enable TX clock if asserted with bit 7" "0,1" newline bitfld.word 0x0 4. "DATA_EN_REG,Enable TX driver data path if asserted with bit 7" "0,1" newline bitfld.word 0x0 3. "CLK_DIV_EN_REG,Enable TX divider if asserted with bit 7 overrides !tx_reset" "0,1" newline bitfld.word 0x0 2. "REFGEN_EN_REG,Enable TX biasing if asserted with bit 7" "0,1" newline bitfld.word 0x0 1. "LOOPBACK_EN_REG,Enable TX loopback path to RX if asserted along with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_TX_LOOPBACK,Enable Tx loopback mode over ridden by analog register if asserted" "0,1" group.word 0x4308++0x1 line.word 0x0 "LANE0_ANA_TX_ALT_BUS,TX_ALT_BUS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "JTAG_DATA_REG,When bit 2 is asserted it replace jtag data" "0,1" newline bitfld.word 0x0 4.--6. "TX_ALT_RINGO,Three bit select of the ALT path test oscillators 000 no oscillators enabled 001 osc_vp_ulvt oscillator enabled 010 osc_vptx_lvt oscillator enabled 011 osc_vp_lvt oscillator enabled 100 Reserved 101 Reserved 110 Reserved 111 osc_vph_hv.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "NC3,Reserved" "0,1" newline bitfld.word 0x0 2. "OVRD_ALT_BUS,If asserted jtag data and TX data source selection are controlled by bits [1:0] and bit 7" "0,1" newline bitfld.word 0x0 0.--1. "DRV_SOURCE_REG,When bit 2 is asserted drv_source_reg[1:0] takes control of TX function overrides tx_data_source[1:0]" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x430C)++0x1 line.word 0x0 "LANE0_ANA_TX_ATB$1,TX_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "NC7,Reserved" "0,1" newline bitfld.word 0x0 6. "ATB_VREG1,Put TX regulator 1 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "ATB_VREG0,Put TX regulator 0 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_0,Use atb_s_m as TX regulator 0 reference voltage when asserted" "0,1" newline bitfld.word 0x0 3. "ATB_VPTX,Put TX driver local vptx on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 2. "ATB_VDCCP,Put DCC control voltage p on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 1. "ATB_VDCCM,Put DCC control voltage m on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 0. "ATB_GD,Put tx local gd on atb_s_p when asserted" "0,1" repeat.end group.word 0x4314++0x1 line.word 0x0 "LANE0_ANA_TX_VBOOST,TX_VBOOST" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_VBOOST_EN,Enable TX boost mode to be override by bit 6" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_REG,If bit 7 is set to 1 analog register takes control of Tx vboost enable/disable" "0,1" newline bitfld.word 0x0 5. "BOOST_VPTX_MODE_N,If asserted TX boost mode becomes a direct boost mode. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref) in default TX boost mode" "0,1" newline bitfld.word 0x0 4. "ATB_VBOOST,Measure vptx/2 through atb_s_p when TX boost is enabled" "0,1" newline bitfld.word 0x0 3. "ATB_VBOOST_VREF,Measure tx boost reference voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREF_BOOST_REF,If enabled atb_s_m is used to provide Tx boost reference voltage instead of bandgap voltage tx_vboost_vref" "0,1" newline bitfld.word 0x0 1. "ATB_S_ENABLE,Enables TX atb function if asserted This bit has to be set to 1 in order to make TX atb_s_p/m visible" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VPH_HALF,Measure vph/2 on atb_s_p" "0,1" group.word 0x4318++0x1 line.word 0x0 "LANE0_ANA_TX_TERM_CODE,TX_TERM_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "TERM_CODE_REG,TX leg biasing register (7 MSBs) this is Term_code_reg[9:3]" newline bitfld.word 0x0 0. "TERM_CODE_OVRD,Enable analog register to overdrive TX leg biasing" "0,1" group.word 0x431C++0x1 line.word 0x0 "LANE0_ANA_TX_TERM_CODE_CTRL,TX_TERM_CODE_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_TERM_REG,Resets the tx termination code DACs" "0,1" newline bitfld.word 0x0 6. "RESET_TERM_OVRD,Enable analog register to reset termination code DACs" "0,1" newline bitfld.word 0x0 5. "UPDATE_TERM_UP_REG,Register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 4. "UPDATE_TERM_UP_OVRD,Enables analog register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 3. "UPDATE_TERM_DN_REG,Register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 2. "UPDATE_TERM_DN_OVRD,Enables analog register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 1. "TX_PWR_EN_REG,Register control over the power gating of blocks within the TX" "0,1" newline bitfld.word 0x0 0. "TX_PWR_EN_OVRD,Enables analog register control over the power gating of blocks within the TX" "0,1" group.word 0x4320++0x1 line.word 0x0 "LANE0_ANA_TX_IBOOST_CODE,TX_IBOOST_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "IBOOST_CODE_REG,When TX boost is enabled and bit 3 is asserted these 4 bits take control of TX boost. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref-vptx/2) in default TX boost mode" newline bitfld.word 0x0 3. "IBOOST_CODE_OVRD,Enable analog register overdrive for TX boost" "0,1" newline bitfld.word 0x0 1.--2. "TERM_CODE_REG,TX leg biasing register bit 2 & 1 this is term_code_reg[2:1]" "0,1,2,3" newline bitfld.word 0x0 0. "LFPS_HIGH_PRIORITY,If asserted lfps/beacon enable has higher priority than data enable" "0,1" group.word 0x4324++0x1 line.word 0x0 "LANE0_ANA_TX_OVRD_CLK,TX_OVRD_CLK" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_WORD_CLK_EN,If asserted it allows bit 6 to override tx word clock enable" "0,1" newline bitfld.word 0x0 6. "WORD_CLK_EN_REG,Tx word clock enable/disable when bit 7 is asserted" "0,1" newline bitfld.word 0x0 5. "OVRD_MPLLAB_EN,If asserted it allows bit 3 or 4 to take control of selecting MPLL clocks" "0,1" newline bitfld.word 0x0 4. "MPLLA_CLK_EN_REG,When asserted with bit 5 selects MPLLA clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 3. "MPLLB_CLK_EN_REG,When asserted with bit 5 selects MPLLB clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 2. "OVRD_LB_EN,If asserted it allows bit 1 takes control of RX clock loopback to TX" "0,1" newline bitfld.word 0x0 1. "CLK_LB_EN_REG,When asserted with bit 2 selects RX clock for TX data output clock" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_REGREF_1,Use atb_s_m to over ride TX regulator reference voltage when asserted" "0,1" group.word 0x4328++0x1 line.word 0x0 "LANE0_ANA_TX_MISC,TX_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_RXDETREF,If asserted atb_s_m is used to override RX detection reference voltage" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_BIAS_VPTX,Measure TX bias local vptx through atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "OSC_DIV4_EN,If asserted divides tx_alt oscillator output frequency by 4" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "NC4_0,Reserved" group.word 0x432C++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_IQSKEW,RX_ATB_IQSKEW" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MASTER_ATB_EN,If asserted enable RX ATB sensing bus atb_s_p/m visible externally.The exception is bit 5 which dont require this bit to be asserted" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_RX_SCOPE_REG,If asserted enable scope linearity characterization through atb_s_p/m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VP,If asserted vp is measured through atb_s_p" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "IQ_PHASE_ADJUST_REG,If ovrd_iq_phase_adjust is enabled these bits control the main PMIX" group.word 0x4330++0x1 line.word 0x0 "LANE0_ANA_RX_DCC_OVRD,RX_DCC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "DCC_EN_REG,When bit 6 is asserted this bit takes control of RX DCC enable" "0,1" newline bitfld.word 0x0 6. "OVRD_DCCANDAFE_EN,When asserted override RX DCC enable by analog register" "0,1" newline bitfld.word 0x0 5. "RX_LOOPBACK_CLK_REG,When bit 4 asserted this bit takes control of RX clock loopback enable/disable" "0,1" newline bitfld.word 0x0 4. "OVRD_RX_LOOPBACK_CLK,When asserted override rx clock loopback by analog register" "0,1" newline bitfld.word 0x0 2.--3. "MEAS_ATB_VDCC,meas_atb_vdcc[1:0] 00 Disable atb measurement 01 measure vdcc_i_p/m through atb_s_p/m 10 measure vdcc_q_p/m through atb_s_p/m 11 measure vdcc_i/q through atb_s_p/m" "0,1,2,3" newline bitfld.word 0x0 0.--1. "NC1_0,Reserved" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0xC ) group.word ($2+0x4334)++0x1 line.word 0x0 "LANE0_ANA_RX_PWR_CTRL$1,RX_PWR_CTRL1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "AFE_EN_REG,When asserted with bit 6 enables AFE" "0,1" newline bitfld.word 0x0 6. "OVRD_AFE_EN,If asserted bit 7 take control of AFE enable/disable" "0,1" newline bitfld.word 0x0 5. "LOS_EN_REG,When asserted with bit 4 enables LOS" "0,1" newline bitfld.word 0x0 4. "OVRD_LOS_EN,If asserted bit 5 take control of LOS enable/disable" "0,1" newline bitfld.word 0x0 3. "CLK_EN_REG,When asserted with bit 2 enables RX clock" "0,1" newline bitfld.word 0x0 2. "OVRD_CLK_EN,If asserted bit 3 take control of RX clock enable/disable" "0,1" newline bitfld.word 0x0 1. "ACJT_EN_REG,When asserted with bit 0 enables ACJTAG" "0,1" newline bitfld.word 0x0 0. "OVRD_ACJT_EN,If asserted bit 1 take control of ACJTAG enable/disable" "0,1" repeat.end group.word 0x4338++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_REGREF,RX_ATB_REGREF" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "MEAS_ATB_CAL_MUX,Meas_atb_cal_mux is 3 bit signal Meas_atb_cal_mux[2:0] If Meas_atb_cal_mux[2] asserted RX offset calibration comparator first stage differential outputs are measured through atb_s_p/m If Meas_atb_cal_mux[1] asserted atb_s_p/m.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_CLK,If asserted RX clock regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 3. "OVERRIDE_REGREF_SCOPE,If asserted RX scope regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 2. "NC2,Reserved" "0,1" newline bitfld.word 0x0 0.--1. "MEAS_ATB_SAMP,meas_atb_samp[1:0] 00 Disable atb measurement 01 measure clk_i_p/m sampling node through atb_s_p/m 10 measure clk_q_p/m sampling node through atb_s_p/m 11 measure clk_i/q sampling node through atb_s_p/m" "0,1,2,3" group.word 0x433C++0x1 line.word 0x0 "LANE0_ANA_RX_CDR_AFE,RX_CDR_AFE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "NC7_6,Reserved" "0,1,2,3" newline bitfld.word 0x0 5. "PHDET_EVEN_REG,If asserted CDR phase detector uses even data path" "0,1" newline bitfld.word 0x0 4. "PHDET_ODD_REG,If asserted CDR phase detector uses odd data path" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MEAS_ATB_RX,This is meas_atb_rx[9:6] bit each bit correspond to ==> meas_atb_rx[9] If asserted AFE biasing vbp is measured through atb_s_p meas_atb_rx[8] If asserted AFE biasing vbn is measured through atb_s_m meas_atb_rx[7] If asserted rx_p is.." group.word 0x4344++0x1 line.word 0x0 "LANE0_ANA_RX_MISC_OVRD,RX_MISC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_VREG_PRECHG_REG,If asserted the overvoltage compensation circuit in rx_vregs disabled" "0,1" newline bitfld.word 0x0 6. "CTLE_OFFSET_CAL_ENB,If asserted the offset calibration currents in the CTLE are disabled" "0,1" newline bitfld.word 0x0 5. "OVRD_RX_LOS_LFPS_EN,If asserted bit 4 enable/disables RX true LFPS detection" "0,1" newline bitfld.word 0x0 4. "RX_LOS_LFPS_EN_REG,If asserted with bit 5 enables true LFPS detection" "0,1" newline bitfld.word 0x0 2.--3. "NC3_2,Reserved" "0,1,2,3" newline bitfld.word 0x0 1. "WORD_CLK_EN_REG,If asserted with bit 0 enables rx word clock" "0,1" newline bitfld.word 0x0 0. "OVRD_WORD_CLK_EN,If asserted bit 1 takes control of word clock enable/disable" "0,1" group.word 0x4348++0x1 line.word 0x0 "LANE0_ANA_RX_CAL_MUXA,RX_CAL_MUXA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXA_SEL,If asserted selects analog register setting to control RX calibration path A" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXA_SEL_REG,Analog registers to control RX calibration path A if bit 7 is asserted this is cal_muxa_sel_reg[4:0]" newline bitfld.word 0x0 1. "MEAS_ATB_VIBIAS_VCO,If asserted measure CDR VCO bias current through atb_s_p (25uA)" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_200U,If asserted measure CDR VCO bias current through atb_s_m (200uA)" "0,1" group.word 0x434C++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_MEAS1,RX_ATB_MEAS1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "ATB_FRC_VLOS,If asserted force los detection reference voltage through atb_s_m" "0,1" newline hexmask.word.byte 0x0 1.--6. 1. "MEAS_ATB_RX,This is meas_atb_rx[5:0] bits where each bit correspond to meas_atb_rx[5] If asserted rx_m is driven by atb_f_m meas_atb_rx[4] If asserted rx_m is sensed through atb_s_m meas_atb_rx[3] If asserted measure RX LOS detection threshold.." newline bitfld.word 0x0 0. "NC0,Reserved" "0,1" group.word 0x4350++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_MEAS2,RX_ATB_MEAS2" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_GD,If asserted measure RX regulator local gd through atb_s_p" "0,1" newline bitfld.word 0x0 6. "NC6,Reserved" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_CLK,If asserted measure RX clock regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_VREG_SCOPE,If asserted measure RX scope regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_OVRD_CDR_EN,If asserted enables CDR regardless of the digital control" "0,1" newline bitfld.word 0x0 1. "MEAS_ATB_VCO_GD,If asserted measure CDR VCO local gd through atb_s_m" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_VOSC,If asserted measure CDR VCO oscillation bias current through atb_s_m" "0,1" group.word 0x4354++0x1 line.word 0x0 "LANE0_ANA_RX_CAL_MUXB,RX_CAL_MUXB" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXB_SEL,If asserted selects analog register setting to control RX calibration path B" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXB_SEL_REG,Analog registers to control RX calibration path B if bit 7 is asserted this is cal_muxb_sel_reg[4:0]" newline bitfld.word 0x0 1. "OVRD_DFE_TAPS_EN,If asserted allows bit 0 to enable/disable dfe taps 1 and 2" "0,1" newline bitfld.word 0x0 0. "DFE_TAPS_EN_REG,If bit 1 is asserted controls DFE tap 1 and 2" "0,1" group.word 0x4358++0x1 line.word 0x0 "LANE0_ANA_RX_TERM,RX_TERM" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "AFE_CM_SEL,Added to make AFE CM voltage controllable (below values are for TT vp=0.8V) 00 vcm=662mV 01 vcm=627mV (default) 10 vcm=593mV 11 vcm=558mV" "0,1,2,3" newline bitfld.word 0x0 5. "OVRD_RX_TERM_GD_EN,If asserted the ground termination enable value is controlled via registers" "0,1" newline bitfld.word 0x0 4. "RX_TERM_GD_EN_REG,If termination override is asserted controls the ground termination enable" "0,1" newline bitfld.word 0x0 3. "OVRD_IQ_PHASE_ADJUST,If asserted the iq_phase_adjust value is controlled via registers" "0,1" newline bitfld.word 0x0 2. "VCO_TEMP_COMP_EN,If asserted the RX-VCO temperature compensation circuit is enabled" "0,1" newline bitfld.word 0x0 0.--1. "CDR_VCO_STARTUP_CODE_REG,RX_VCO startup current over-ride cdr_vco_startup_code Startup override 00 cdr_freq_code_int[9:7] = cdr_freq_code[9:7] 01 when startup = 1 -> cdr_freq_code_int[9] = 1 10 when startup = 1 -> cdr_freq_code_int[8] = 1 11 when startup.." "?,cdr_freq_code_int[7] = 1,?,?" group.word 0x435C++0x1 line.word 0x0 "LANE0_ANA_RX_SLC_CTRL,RX_SLC_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "RX_SLICER_CTRL_E_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the even slicer configuration" newline hexmask.word.byte 0x0 0.--3. 1. "RX_SLICER_CTRL_O_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the odd slicer configuration" group.word 0x4360++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_VREG,RX_ATB_VREG" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_REGREF_IQC,If asserted main PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 6. "OVRD_REGREF_IQC_SCOPE,If asserted scope PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VREG_DFE,If asserted measure RX DFE regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_ATB_VREG_IQC,If asserted measure RX main PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_VREG_IQC_SCOPE,If asserted measure RX scope PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 1. "OVRD_IQC_VREF_SEL,If asserted the vref on the iqc regulator is controlled via vbg_vref which can be controlled via registers" "0,1" newline bitfld.word 0x0 0. "OVRD_RX_SLICER_CTRL_REG,If asserted the slicer configuration value is controlled via registers (LANE.RX_SLC_CTRL)" "0,1" group.word 0x4400++0x1 line.word 0x0 "LANE1_DIG_ASIC_LANE_OVRD_IN,Override values for incoming LANE controls from ASIC" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Override value for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Override value for lane_tx2rx_ser_lb_en_r" "0,1" group.word 0x4404++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_0,Override values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DATA_EN_OVRD_EN,Enable override for tx_data_en" "0,1" newline bitfld.word 0x0 14. "DATA_EN,Override value for tx_data_en" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL_OVRD_EN,Enable override for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 12. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11. "WIDTH_OVRD_EN,Enable override for tx_width[1:0]" "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Override value for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8. "RATE_OVRD_EN,Enable override for tx_rate[2:0]" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "PSTATE_OVRD_EN,Enable override for tx_pstate[1:0]" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Override value for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override for tx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for tx_req" "0,1" group.word 0x4408++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_1,Override values for incoming TX drive controls from ASIC. register #1" newline bitfld.word 0x0 15. "MAIN_OVRD_EN,Enable override values for TX EQ main input" "0,1" newline hexmask.word.byte 0x0 9.--14. 1. "TX_MAIN_CURSOR,Override value for tx_eq_main" newline bitfld.word 0x0 8. "EN,Enable override values for inputs below controlled by this register" "0,1" newline bitfld.word 0x0 7. "VBOOST_EN,Override value for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 3.--6. 1. "IBOOST_LVL,Override value for tx_iboost_lvl" newline bitfld.word 0x0 2. "BEACON_EN,Override value for tx_beacon_en" "0,1" newline bitfld.word 0x0 1. "DISABLE,Override value for tx_disable" "0,1" newline bitfld.word 0x0 0. "NYQUIST_DATA,Override incoming data to nyquist" "0,1" group.word 0x440C++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_2,Override values for incoming TX drive controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "POST_OVRD_EN,Enable override values for TX EQ post input" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "TX_POST_CURSOR,Override value for tx_eq_post" newline bitfld.word 0x0 6. "PRE_OVRD_EN,Enable override values for TX EQ pre input" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Override value for tx_eq_pre" group.word 0x4410++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_3,Override values for incoming TX drive controls from ASIC. register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "LPD_OVRD_EN,Enable override for tx_lpd" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 5. "INVERT_OVRD_EN,Enable override for tx_invert" "0,1" newline bitfld.word 0x0 4. "INVERT,Override value for tx_invert" "0,1" newline bitfld.word 0x0 3. "DETECT_RX_REQ_OVRD_EN,Enable override for tx_detrx_req" "0,1" newline bitfld.word 0x0 2. "DETECT_RX_REQ,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 1. "CLK_RDY_OVRD_EN,Enable override for tx_clk_rdy" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Override value for tx_clk_rdy" "0,1" group.word 0x4414++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_4,Override values for incoming TX drive controls from ASIC. register #4" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for tx_reset" "0,1" group.word 0x4418++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_OUT,Override values for outgoing TX controls to ASIC" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "EN_DETRX_RESULT,Enable for override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 2. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 1. "EN_TX_ACK,Enable for override value for tx_ack" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Override value for tx_ack" "0,1" group.word 0x441C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_0,Override values for incoming RX controls from ASIC. register #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "WIDTH_OVRD_EN,Enable override for rx_width" "0,1" newline bitfld.word 0x0 10.--11. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 9. "RATE_OVRD_EN,Enable override value for rx_rate" "0,1" newline bitfld.word 0x0 7.--8. "RATE,Override value for rx_rate" "0,1,2,3" newline bitfld.word 0x0 6. "PSTATE_OVRD_EN,Enable override value for rx_pstate" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3. "DATA_EN_OVRD_EN,Enable override value for rx_data_en" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override value for rx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for rx_req" "0,1" group.word 0x4420++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_1,Override values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_REF_LD_VAL_6,Override value for rx_ref_ld_val[6]" "0,1" newline bitfld.word 0x0 7. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_CDR_VCO_LOWFREQ,Override value for rx_cdr_vco_lowfreq" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_REF_LD_VAL_5_0,Override value for rx_ref_ld_val[5:0]" group.word 0x4424++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_2,Override values for incoming RX controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "EN,Enable override values for all inputs controlled by this register" "0,1" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Override value for rx_vco_ld_val" group.word 0x4428++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_3,Override values for incoming RX controls from ASIC. register #3" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "LOS_OVRD_EN,Enable override for rx_los_lfps_en and rx_los_threshold" "0,1" newline bitfld.word 0x0 13. "LOS_LPFS_EN,Override value for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 10.--12. "LOS_THRSHLD,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "DISABLE_OVRD_EN,Enable override for rx_disable" "0,1" newline bitfld.word 0x0 8. "DISABLE,Override value for rx_disable" "0,1" newline bitfld.word 0x0 7. "CLK_SHIFT_OVRD_EN,Enable override for rx_clk_shift" "0,1" newline bitfld.word 0x0 6. "CLK_SHIFT,Override value for rx_clk_shift" "0,1" newline bitfld.word 0x0 5. "ALIGN_EN_OVRD_EN,Enable override for rx_align_en" "0,1" newline bitfld.word 0x0 4. "ALIGN_EN,Override value for rx_align_en" "0,1" newline bitfld.word 0x0 3. "CDR_SSC_EN_OVRD_EN,Enable override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 2. "CDR_SSC_EN,Override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 1. "CDR_TRACK_EN_OVRD_EN,Enable override value for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 0. "CDR_TRACK_EN,Override value for rx_cdr_track_en" "0,1" group.word 0x442C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_4,Override values for incoming RX controls from ASIC. register #4" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TERM_OVRD_EN,Enable override for rx_term_acdc and rx_term_en" "0,1" newline bitfld.word 0x0 8. "TERM_ACDC,Override value for rx_term_acdc" "0,1" newline bitfld.word 0x0 7. "TERM_EN,Override value for rx_term_en" "0,1" newline bitfld.word 0x0 6. "ADPT_OVRD_EN,Enable override for rx_adpt_dfe_en and rx_adpt_afe_en" "0,1" newline bitfld.word 0x0 5. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 4. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 3. "INVERT_OVRD_EN,Enable override for rx_invert" "0,1" newline bitfld.word 0x0 2. "INVERT,Override value for rx_invert" "0,1" newline bitfld.word 0x0 1. "LPD_OVRD_EN,Enable override for rx_lpd" "0,1" newline bitfld.word 0x0 0. "LPD,Override value for rx_lpd" "0,1" group.word 0x4430++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_5,Override values for incoming RX controls from ASIC. register #5" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for rx_reset" "0,1" group.word 0x4434++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_EQ_IN_0,Override values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Override value for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Override value for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Override value for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" group.word 0x4438++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_EQ_IN_1,Override values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "EQ_OVRD_EN,Enable override value for rx_eq_* inputs" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Override value for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Override value for rx_eq_dfe_tap2" group.word 0x443C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_OUT_0,Override values for outgoing RX controls to ASIC. register #0" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "ADAPT_STS_OVRD_EN,Enable override for rx_adapt_sts" "0,1" newline bitfld.word 0x0 4.--5. "ADAPT_STS,Override value for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 3. "LOS_OUT_OVRD_EN,Enable override for rx_los_r" "0,1" newline bitfld.word 0x0 2. "LOS,Override value for rx_los" "0,1" newline bitfld.word 0x0 1. "ACK_OVRD_EN,Enable override for rx_ack" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0x4440++0x1 line.word 0x0 "LANE1_DIG_ASIC_LANE_ASIC_IN,Current values for incoming LANE controls from ASIC" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Value from ASIC for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Value from ASIC for lane_tx2rx_ser_lb_en_r" "0,1" rgroup.word 0x4444++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_IN_0,Current values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DISABLE,Value from ASIC for tx_disable" "0,1" newline bitfld.word 0x0 14. "DETECT_RX_REQ,Value from ASIC for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL,Value from ASIC for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11.--12. "WIDTH,Value from ASIC for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8.--10. "RATE,Value from ASIC for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--7. "PSTATE,Value from ASIC for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 5. "LPD,Value from ASIC for tx_lpd" "0,1" newline bitfld.word 0x0 4. "REQ,Value from ASIC for tx_req" "0,1" newline bitfld.word 0x0 3. "DATA_EN,Value from ASIC for tx_data_en" "0,1" newline bitfld.word 0x0 2. "INVERT,Value from ASIC for tx_invert" "0,1" newline bitfld.word 0x0 1. "RESET,Value from ASIC for tx_reset" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Value from ASIC for tx_clk_rdy" "0,1" rgroup.word 0x4448++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_IN_1,Current values for incoming TX controls from ASIC. register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_MAIN_CURSOR,Value from ASIC for tx_eq_main" newline bitfld.word 0x0 5. "VBOOST_EN,Value from ASIC for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 1.--4. 1. "IBOOST_LVL,Value from ASIC for tx_iboost_lvl" newline bitfld.word 0x0 0. "BEACON_EN,Value from ASIC for tx_beacon_en" "0,1" rgroup.word 0x444C++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_IN_2,Current values for incoming TX controls from ASIC. register #2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_POST_CURSOR,Value from ASIC for tx_eq_post" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Value from ASIC for tx_eq_pre" rgroup.word 0x4450++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_OUT,Current values for outgoing TX status controls from PHY" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DETRX_RESULT,Value from PHY for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Value from PHY for tx_ack" "0,1" rgroup.word 0x4454++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_ASIC_IN_0,Current values for incoming RX controls from ASIC. register #0" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "CDR_TRACK_EN,Value from ASIC for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 13. "ADAPT_DFE_EN,Value from ASIC for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 12. "ADAPT_AFE_EN,Value from ASIC for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Value from ASIC for rx_width" "0,1,2,3" newline bitfld.word 0x0 7.--8. "RATE,Value from ASIC for rx_rate" "0,1,2,3" newline bitfld.word 0x0 5.--6. "PSTATE,Value from ASIC for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from ASIC for rx_lpd" "0,1" newline bitfld.word 0x0 3. "REQ,Value from ASIC for rx_req" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Value from ASIC for rx_data_en" "0,1" newline bitfld.word 0x0 1. "INVERT,Value from ASIC for rx_invert" "0,1" newline bitfld.word 0x0 0. "RESET,Value from ASIC for rx_reset" "0,1" rgroup.word 0x4458++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_ASIC_IN_1,Current values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "RX_TERM_ACDC,Value from ASIC for rx_term_acdc" "0,1" newline bitfld.word 0x0 8. "RX_TERM_EN,Value from ASIC for rx_term_en" "0,1" newline bitfld.word 0x0 7. "LOS_LPFS_EN,Value from ASIC for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 4.--6. "LOS_THRSHLD,Value from ASIC for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "DISABLE,Value from ASIC for rx_disable" "0,1" newline bitfld.word 0x0 2. "CLK_SHIFT,Value from ASIC for rx_clk_shift" "0,1" newline bitfld.word 0x0 1. "ALIGN_EN,Value from ASIC for rx_align_en" "0,1" newline bitfld.word 0x0 0. "CDR_SSC_EN,Value from ASIC for rx_cdr_ssc_en" "0,1" rgroup.word 0x445C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_EQ_ASIC_IN_0,Current values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Value from ASIC for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0x4460++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_EQ_ASIC_IN_1,Current values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Value from ASIC for rx_eq_dfe_tap2" rgroup.word 0x4464++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_CDR_VCO_ASIC_IN_0,Current values for incoming RX CDR VCO controls from ASIC. register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "RX_REF_LD_VAL,Value from ASIC for rx_ref_ld_val" newline bitfld.word 0x0 0. "RX_CDR_VCO_LOWFREQ,Value from ASIC for rx_cdr_vco_lowfreq" "0,1" rgroup.word 0x4468++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_CDR_VCO_ASIC_IN_1,Current values for incoming RX CDR VCO controls from ASIC. register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Value from ASIC for rx_vco_ld_val" rgroup.word 0x446C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_ASIC_OUT_0,Current values for outgoing RX status controls from PHY. register #0" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 3.--4. "ADAPT_STS,Value from PHY for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 2. "VALID,Value from PHY for rx_valid" "0,1" newline bitfld.word 0x0 1. "LOS,Value from PHY for rx_los" "0,1" newline bitfld.word 0x0 0. "ACK,Value from PHY for rx_ack" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x8 ) group.word ($2+0x4480)++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PSTATE_P$1,TX Power State Control Register for P0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 7. "TX_P0_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0_DIG_CLK_EN,Enable/Disable TX digital clocks in P0" "0,1" newline bitfld.word 0x0 5. "TX_P0_ANA_SERIAL_EN,Value of TX ana serial_en in P0" "0,1" newline bitfld.word 0x0 4. "TX_P0_ANA_RESET,Value of TX ana reset in P0" "0,1" newline bitfld.word 0x0 3. "TX_P0_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0" "0,1" newline bitfld.word 0x0 2. "TX_P0_ANA_CLK_EN,Value of TX ana clk_en in P0" "0,1" newline bitfld.word 0x0 1. "TX_P0_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0" "0,1" newline bitfld.word 0x0 0. "TX_P0_ANA_REFGEN_EN,Value of TX ana refgen_en in P0" "0,1" repeat.end group.word 0x4484++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PSTATE_P0S,TX Power State Control Register for P0S" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P0S_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0S_DIG_CLK_EN,Enable/Disable TX digital clocks in P0S" "0,1" newline bitfld.word 0x0 5. "TX_P0S_ANA_SERIAL_EN,Value of TX ana serial_en in P0S" "0,1" newline bitfld.word 0x0 4. "TX_P0S_ANA_RESET,Value of TX ana reset in P0S" "0,1" newline bitfld.word 0x0 3. "TX_P0S_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0S" "0,1" newline bitfld.word 0x0 2. "TX_P0S_ANA_CLK_EN,Value of TX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 1. "TX_P0S_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0S" "0,1" newline bitfld.word 0x0 0. "TX_P0S_ANA_REFGEN_EN,Value of TX ana refgen_en in P0S" "0,1" group.word 0x448C++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PSTATE_P2,TX Power State Control Register for P2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P2_ALLOW_VBOOST,If asserted then vboost is allowed in P2" "0,1" newline bitfld.word 0x0 8. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed" "0,1" newline bitfld.word 0x0 7. "TX_P2_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P2_DIG_CLK_EN,Enable/Disable TX digital clocks in P2" "0,1" newline bitfld.word 0x0 5. "TX_P2_ANA_SERIAL_EN,Value of TX ana serial_en in P2" "0,1" newline bitfld.word 0x0 4. "TX_P2_ANA_RESET,Value of TX ana reset in P2" "0,1" newline bitfld.word 0x0 3. "TX_P2_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P2" "0,1" newline bitfld.word 0x0 2. "TX_P2_ANA_CLK_EN,Value of TX ana clk_en in P2" "0,1" newline bitfld.word 0x0 1. "TX_P2_ANA_VCM_HOLD,Value of TX ana vcm_hold in P2" "0,1" newline bitfld.word 0x0 0. "TX_P2_ANA_REFGEN_EN,Value of TX ana refgen_en in P2" "0,1" group.word 0x4490++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_0,TX Power UP Time Register #0" newline hexmask.word.byte 0x0 8.--15. 1. "TX_CLK_EN,Power up time (in ref_range cycles) for TX ana clock enable (spec: >=1us)" newline hexmask.word.byte 0x0 0.--7. 1. "TX_REFGEN_EN_TIME,Power up time (in ref_range cycles) for TX ana refgen enable (spec: >=500ns)" group.word 0x4494++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_1,TX Power UP Time Register #1" newline bitfld.word 0x0 15. "SKIP_TX_VCM_HOLD_WAIT,Skip wait for TX common mode hold power up" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_TIME_14_0,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 14:0)" group.word 0x4498++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_2,TX Power UP Time Register #2" newline bitfld.word 0x0 13.--15. "DTB_SEL,Selects data to drive on DTB 0 - disabled 1 - tx_ack and tx_pwrsm_state[0] 2 - tx_ana_rxdetp_result_i tx_ana_rxdetm_result_i 3 - tx_ana_reset_i tx_ana_clk_en_i 4 - analog/asic clocks 5 - asic early signal / clock aligner shift 6 - tx_clk_state.." "disabled,tx_ack and tx_pwrsm_state[0],tx_ana_rxdetp_result_i,tx_ana_reset_i,analog/asic clocks,asic early signal / clock aligner shift,tx_clk_state counter / lbert strobe,ref_dig_rst/tx_dig_rst" newline hexmask.word 0x0 0.--12. 1. "TX_VBOOST_DIS_TIME_12_0,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bits 12:0)" group.word 0x449C++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_3,TX Power UP Time Register #3" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_VBOOST_DIS_TIME_13,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bit 13)" "0,1" newline bitfld.word 0x0 0.--2. "TX_VCM_HOLD_TIME_17_15,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 17:15)" "0,1,2,3,4,5,6,7" group.word 0x44A0++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_4,TX Power UP Time Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_GS_TIME,TX common mode gear-shift time (in ref range cycles) (spec: >=400us)" group.word 0x44A4++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_5,TX Power UP Time Register #5" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 13.--14. "TX_SERIAL_EN_TIME,Power up time (in ref_range cycles) for TX ana serial enable (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 11.--12. "TX_RESET_TIME,TX Reset deassertion time (in ref_range cycles) (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 10. "FAST_TX_RXDET,Enable fast TX RX-detection (simulation only)" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_RXDET_TIME,RX Detect up time (in ref_range cycles) starting from asserting rxdet_en (spec: from 3.55us to 25.9us)" group.word 0x44A8++0x1 line.word 0x0 "LANE1_DIG_TX_LBERT_CTL,Pattern Generator controls" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 5.--14. 1. "PAT0,Pattern for modes 3-5" newline bitfld.word 0x0 4. "TRIGGER_ERR,Insert a single error into a lsb Any write of a 1 to this bit will insert an error" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to generate When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15:.." group.word 0x44AC++0x1 line.word 0x0 "LANE1_DIG_TX_CLK_ALIGN_TX_CTL_0,TX Clock Alignment Control Register #0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_FIFO_BYPASS,By-pass TX datapath FIFO" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "TX_NUM_2UI_SHIFTS_20B_MODE," newline hexmask.word.byte 0x0 0.--3. 1. "TX_NUM_2UI_SHIFTS_16B_MODE," repeat 3. (list 0x0 0x1 0x2 )(list 0x0 0x8 0xC ) group.word ($2+0x4500)++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PSTATE_P$1,RX Power State Control Register for P0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0_DIG_CLK_EN,Enable/Disable RX digital clocks in P0" "0,1" newline bitfld.word 0x0 10. "RX_P0_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0 If RX_P0_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0" "0,1" newline bitfld.word 0x0 8. "RX_P0_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0" "0,1" newline bitfld.word 0x0 7. "RX_P0_ANA_CDR_EN,Value of RX ana cdr_en in P0" "0,1" newline bitfld.word 0x0 6. "RX_P0_ANA_DESER_EN,Value of RX ana deserial_en in P0" "0,1" newline bitfld.word 0x0 5. "RX_P0_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0" "0,1" newline bitfld.word 0x0 4. "RX_P0_ANA_CLK_EN,Value of RX ana clk_en in P0" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0" "0,1" newline bitfld.word 0x0 1. "RX_P0_ANA_AFE_EN,Value of RX ana afe_en in P0" "0,1" newline bitfld.word 0x0 0. "RX_P0_ANA_LOS_EN,Value of RX ana los_en in P0" "0,1" repeat.end group.word 0x4504++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PSTATE_P0S,RX Power State Control Register for P0S" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0S_DIG_CLK_EN,Enable/Disable RX digital clocks in P0S" "0,1" newline bitfld.word 0x0 10. "RX_P0S_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0S If RX_P0S_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0S_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0S" "0,1" newline bitfld.word 0x0 8. "RX_P0S_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0S" "0,1" newline bitfld.word 0x0 7. "RX_P0S_ANA_CDR_EN,Value of RX ana cdr_en in P0S" "0,1" newline bitfld.word 0x0 6. "RX_P0S_ANA_DESER_EN,Value of RX ana deserial_en in P0S" "0,1" newline bitfld.word 0x0 5. "RX_P0S_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0S" "0,1" newline bitfld.word 0x0 4. "RX_P0S_ANA_CLK_EN,Value of RX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0S_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0S" "0,1" newline bitfld.word 0x0 1. "RX_P0S_ANA_AFE_EN,Value of RX ana afe_en in P0S" "0,1" newline bitfld.word 0x0 0. "RX_P0S_ANA_LOS_EN,Value of RX ana los_en in P0S" "0,1" group.word 0x4510++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_0,RX Power UP Time Register #0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "SKIP_RX_LOS_EN_WAIT,Skip wait for RX LOS enable" "0,1" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_EN_TIME,Power up time (in ref_range cycles) for RX ana los enable (spec >=10us)" group.word 0x4514++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_1,RX Power UP Time Register #1" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "FAST_RX_VREG_EN,Enable fast RX VREG enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "RX_VREG_EN_TIME,Power up time (in ref_range cycles) for RX ana vreg enable (spec 500ns)" newline bitfld.word 0x0 6. "FAST_RX_AFE_EN,Enable fast RX AFE enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_AFE_EN_TIME,Power up time (in ref_range cycles) for RX ana AFE enable (spec >=1us)" group.word 0x4518++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_2,RX Power UP Time Register #2" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "FAST_RX_CLK_EN,Enable fast RX clock enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_CLK_EN_TIME,Power up time (in ref_range cycles) for RX ana clk (or dcc) enable (spec >1us)" group.word 0x451C++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_3,RX Power UP Time Register #3" newline bitfld.word 0x0 14.--15. "RX_DESER_DIS_TIME,Power down time in (ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline bitfld.word 0x0 12.--13. "RX_DESER_EN_TIME,Power up time (in ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline hexmask.word.byte 0x0 8.--11. 1. "RX_CDR_EN_TIME,Power up time (in ref_range cycles) for RX ana cdr (or dfe/dfe_taps) enable (spec 0ns)" newline hexmask.word.byte 0x0 2.--7. 1. "RSVD_3_7_2,Reserved" newline bitfld.word 0x0 0.--1. "RX_RATE_TIME,Power up time (in ref_range cycles) for RX ana rate or width change" "0,1,2,3" group.word 0x4520++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_0,RX VCO calibration controls register #0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 9.--11. "INT_GAIN_CAL_BOUNCE_CNT,Number of bounces (i.e. direction changes) on the int_gain code before indicating that the RX VCO calibration is done" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "INT_GAIN_CAL_CNT_SHIFT,Number of shifts to apply to ld_cnt inputs when performing int_gain code calibration" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5. "INT_GAIN_CAL_FIXED_CNT_EN,Enable a fixed count (instead of bounce count) for int_gain code calibration" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "INT_GAIN_CAL_FIXED_CNT,Number of steps done during int_gain code calibration when INT_GAIN_CAL_FIXED_CNT_EN is enabled." group.word 0x4524++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_1,RX VCO calibration controls register #1" newline hexmask.word.byte 0x0 9.--15. 1. "DTB_SEL,DTB select for RX VCO dtb signals 7'h01 - {chkfrq_en ref_dig_clk} 7'h02 - {rx_ana_cdr_vco_en_i rx_ana_cdr_startup_i} 7'h04 - {rx_vco_up dpll_freq_rst} 7'h08 - {rx_vco_contcal_en rx_vco_cal_rst} 7'h10 - {chkfrq_done vcoclk_too_fast} 7'h20 -.." newline hexmask.word.byte 0x0 5.--8. 1. "DPLL_CAL_UG,DPLL calibration update on int_gain code 3'h0 - 0 Else - (1/16)*2^(DPLL_CAL_UG-1) LSB/update Maximum DPLL_CAL_UG=10 i.e. 32 LSB/update" newline bitfld.word 0x0 4. "DISABLE_INT_CAL_MODE,When asserted then the DPLL frequency register is never modified by the RX VCO calibration FSM (even if DPLL_CAL_UG is non-zero). In this case the calibration will always be performed on the VCO freq_tune code. This allows.." "0,1" newline bitfld.word 0x0 3. "RX_VCO_CONTCAL_EN,Override value for the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 2. "RX_VCO_CAL_RST,Override value for the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 1. "RX_VCO_FREQ_RST,Override value for the frequency reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 0. "RX_VCO_OVRD_SEL,Override the calibration controls from the RX PWRSM" "0,1" group.word 0x4528++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_2,RX VCO calibration controls register #2" newline bitfld.word 0x0 15. "SKIP_RX_VCO_CAL,Skip RX VCO calibration altogether" "0,1" newline bitfld.word 0x0 14. "SKIP_RX_VCO_FREQ_TUNE_CAL,Skip RX VCO coarse calibration" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "FREQ_TUNE_CAL_STEPS,Number of cal steps of freq tune" newline hexmask.word 0x0 0.--9. 1. "FREQ_TUNE_START_VAL,Starting value of freq tune code" group.word 0x452C++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_0,RX Power UP Time Register #0" newline bitfld.word 0x0 15. "FAST_RX_VCO_WAIT,Enable fast RX VCO power up (simulation only)" "0,1" newline hexmask.word.byte 0x0 11.--14. 1. "RX_VCO_CNTR_PWRUP_TIME,Power up time (in ref_range cycles) for Rx ana vco cnter (spec >200ns)" newline hexmask.word.byte 0x0 7.--10. 1. "RX_VCO_UPDATE_TIME,Settle time (in ref_range cycles) for RX ana vco update (freq_tune or int_gain) (spec >200ns)" newline hexmask.word.byte 0x0 0.--6. 1. "RX_VCO_STARTUP_TIME,Power up time (in ref_range cycles) for RX ana vco startup (spec >1us)" group.word 0x4530++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_1,RX Power UP Time Register #1" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 0.--2. "RX_VCO_CNTR_SETTLE_TIME,RX VCO counter value settling time in (ref_dig_clk cycles) (spec: 3 ref_dig_clk cycle)" "0,1,2,3,4,5,6,7" rgroup.word 0x4534++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_STAT_0,RX VCO status register #0" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_ANA_CDR_VCO_EN,Current value of rx_ana_cdr_vco_en_i" "0,1" newline bitfld.word 0x0 12. "RX_ANA_CDR_STARTUP,Current value of rx_ana_cdr_startup_i" "0,1" newline bitfld.word 0x0 11. "RX_ANA_VCO_CNTR_EN,Current value of rx_ana_vco_cntr_en_i" "0,1" newline bitfld.word 0x0 10. "RX_ANA_VCO_CNTR_PD,Current value of rx_ana_vco_cntr_pd_i" "0,1" newline hexmask.word 0x0 0.--9. 1. "RX_ANA_CDR_FREQ_TUNE,Current value of rx_ana_cdr_freq_tune_i" rgroup.word 0x4538++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_STAT_1,RX VCO status register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "DPLL_FREQ_RST,Indicates that the RX integral frequency is reset or not" "0,1" newline bitfld.word 0x0 7. "RX_VCO_CAL_DONE,Indicates that the RX VCO has completed calibration" "0,1" newline bitfld.word 0x0 6. "RX_VCO_CONTCAL_EN,Value of the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 5. "RX_VCO_CAL_RST,Value of the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 4. "RX_VCO_FREQ_RST,Value of the RX VCO frequency reset from the RX PWRSM" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_VCO_FSM_STATE,Value of the RX VCO CAL FSM" rgroup.word 0x453C++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_STAT_2,RX VCO status register #2" newline bitfld.word 0x0 15. "RX_VCO_UP,Indicates that the RX VCO is ready" "0,1" newline bitfld.word 0x0 14. "RX_VCO_CORRECT,Indicates that the RX VCO clock has the correct frequency" "0,1" newline bitfld.word 0x0 13. "VCOCLK_TOO_FAST,Indicates that the RX VCO clock frequency is too fast" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_CNTR_FINAL,Value of Rx VCO counter when refclk counter expired" group.word 0x4540++0x1 line.word 0x0 "LANE1_DIG_RX_RX_ALIGN_XAUI_COMM_MASK,XAUI_COMMA Mask" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "XAUI_COMM_MASK,XAUI_COMMA Mask. For 10-bit COMMA set the mask to 0x3FF and for 7-bit COMMA set the mask to 0x3F8" group.word 0x4544++0x1 line.word 0x0 "LANE1_DIG_RX_LBERT_CTL,Pattern Matcher controls" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "SYNC,Synchronize pattern matcher LFSR with incoming data A write of a one to this bit will reset the error counter and start a synchronization of the PM. There is no need to write this back to zero to run normally." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to match When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15: X^15.." group.word 0x4548++0x1 line.word 0x0 "LANE1_DIG_RX_LBERT_ERR,Pattern match error counter" newline bitfld.word 0x0 15. "OV14,If active multiply COUNT by 128. If OV14=1 and COUNT=2^15-1 signals overflow of counter (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 0.--14. 1. "COUNT,A read of this register or a sync of the PM resets the error count. Current error count If OV14 field is active then multiply count by 128 (2 reads needed to read value)" group.word 0x454C++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_0,Control bits for receiver in recovered domain" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 7.--10. 1. "DTB_SEL,Select to drive various signals onto the dtb 0 - disabled 1 - rx_pr_stable rx_afe_stable from rx_ana_ctl 2 - com_good com_bad from rx_align 3 - shift_in_prog ana_odd_data from rx_align 4 - 2 msb's of XAUI align FSM state 5 - 2 lsb's of XAUI.." newline bitfld.word 0x0 6. "ALWAYS_REALIGN,Realign on any misaligned comma" "0,1" newline bitfld.word 0x0 5. "PHDET_EN_PR_MODE,Enable partial response phase detector mode" "0,1" newline bitfld.word 0x0 4. "PHDET_POL,Reverse polarity of phase error" "0,1" newline bitfld.word 0x0 2.--3. "PHDET_EDGE,Edges to use for phase detection. 10 - Use both edges 01 - Use rising edges only 11 - Use falling edges only 00 - Ignore all edges" "Ignore all edges,Use rising edges only,?,?" newline bitfld.word 0x0 0.--1. "PHDET_EN,Enable phase detector. top bit is odd slicers bottom is even" "0,1,2,3" group.word 0x4550++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_1,CDR Control Register #1" newline hexmask.word.byte 0x0 10.--15. 1. "SSC_OFF_CNT1,When SSC mode is disabled the 12-bit word count in gain stage 1 is: (SSC_OFF_CNT1 * 4) in 20b mode (SSC_OFF_CNT1 * 5) in 16b mode" newline hexmask.word 0x0 0.--9. 1. "SSC_OFF_CNT0,When SSC mode is disabled the 12-bit word count in gain stage 0 is: (SSC_OFF_CNT0 * 4) in 20b mode (SSC_OFF_CNT0 * 5) in 16b mode" group.word 0x4554++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_2,CDR Control Register #2" newline hexmask.word.byte 0x0 9.--15. 1. "SSC_ON_CNT1,When SSC mode is enabled the 12-bit word count in gain stage 1 is: (SSC_ON_CNT1 * 8) in 20b mode (SSC_ON_CNT1 * 10) in 16b mode" newline hexmask.word 0x0 0.--8. 1. "SSC_ON_CNT0,When SSC mode is enabled the 12-bit word count in gain stage 0 is: (SSC_ON_CNT0 * 8) in 20b mode (SSC_ON_CNT0 * 10) in 16b mode" group.word 0x4558++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_3,CDR Control Register #3" newline bitfld.word 0x0 13.--15. "FRUG_OVRD_VALUE,Override value for FRUG (frequency update gain) 3'h0 - 0 3'h1 - 1/16 LSB/update 3'h2 - 1/8 LSB/update 3'h3 - 1/4 LSB/update 3'h4 - 1/2 LSB/update 3'h5 - 1 LSB/update 3'h6 - 2 LSB/update 3'h7 - 4 LSB/update" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "PHUG_OVRD_VALUE,Override value for PHUG (phase update gain) 3'h0 - 0 3'h1 - 1000 ppm 3'h2 - 2000 ppm 3'h3 - 3000 ppm 3'h4 - 4000 ppm 3'h5 - 5000 ppm 3'h6 - 6000 ppm 3'h7 - 7000 ppm" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "OVRD_DPLL_GAIN,Override PHUG and FRUG values" "0,1" newline bitfld.word 0x0 6.--8. "SSC_OFF_FRUG0,When SSC mode is disabled the frug value in gain stage 0 is SSC_OFF_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_OFF_PHUG1,When SSC mode is disabled the phug value in gain stage 1 is SSC_OFF_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_PHUG0,When SSC mode is disabled the phug value in gain stage 0 is SSC_OFF_PHUG0" "0,1,2,3,4,5,6,7" group.word 0x455C++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_4,CDR Control Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "SSC_ON_PHUG1,When SSC mode is enabled the phug value in gain stage 1 is SSC_ON_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "SSC_ON_PHUG0,When SSC mode is enabled the phug value in gain stage 0 is SSC_ON_PHUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "SSC_ON_FRUG1,When SSC mode is enabled the frug value in gain stage 1 is SSC_ON_FRUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_ON_FRUG0,When SSC mode is enabled the frug value in gain stage 0 is SSC_ON_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_FRUG1,When SSC mode is disabled the frug value in gain stage 1 is SSC_OFF_FRUG1" "0,1,2,3,4,5,6,7" rgroup.word 0x4560++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_STAT,Current output values to dpll (phug. frug)" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "FRUG_VALUE,NOTES: Current value for dpll_frug[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PHUG_VALUE,NOTES: Current value for dpll_phug[2:0]" "0,1,2,3,4,5,6,7" group.word 0x4564++0x1 line.word 0x0 "LANE1_DIG_RX_DPLL_FREQ,Current frequency integrator value." newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline hexmask.word 0x0 0.--13. 1. "VAL,Freq is 125*VAL ppm from the reference (2 reads needed to read value)" group.word 0x4568++0x1 line.word 0x0 "LANE1_DIG_RX_DPLL_FREQ_BOUND_0,Frequency Bounds for incoming data stream #0" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 1.--10. 1. "UPPER_FREQ_BOUND,Upper frequency bound in terms of LSBs of the integral control code" newline bitfld.word 0x0 0. "FREQ_BOUND_EN,Enable the frequency bounds feature" "0,1" group.word 0x456C++0x1 line.word 0x0 "LANE1_DIG_RX_DPLL_FREQ_BOUND_1,Frequency Bounds for incoming data stream #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "LOWER_FREQ_BOUND,Lower frequency bound in terms of LSBs of the integral control code" group.word 0x4580++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_0,Adaptation Configuration Register #0" newline bitfld.word 0x0 15. "ADPT_CLK_DIV4_EN,Set the adaptation clock to be divided by 4 (default is div2)" "0,1" newline bitfld.word 0x0 14. "START_ASM1,Start adaptation state machine #1 (VGA CTLE DFE EYEH) This register-bit is self-clearing" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "N_TGG_ASM1,Number of toggle loop iterations for ASM1" newline hexmask.word 0x0 0.--9. 1. "N_TOP_ASM1,Number of top level loop iterations for ASM1" group.word 0x4584++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_1,Adaptation Configuration Register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "CTLE_POLE_OVRD_EN,Override CTLE pole value (only valid if adaptation is run)" "0,1" newline bitfld.word 0x0 8.--10. "CTLE_POLE_OVRD_VAL,CTLE Pole override value to load at start of adaptation" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 7. "FAST_AFE_DFE_SETTLE,Enable fast AFE and DFE settling time (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--6. 1. "N_WAIT_ASM1,Number of wait cycles for Adaptation SM #1" group.word 0x4588++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_2,Adaptation Configuration Register #2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "TGG_PTTRN_1,Pattern for the second toggle loop Error slicer is moved upward by Data tap1 if this pattern is matched" newline hexmask.word.byte 0x0 0.--4. 1. "TGG_PTTRN_0,Pattern for the first toggle loop Error slicer is moved downward by Data tap1 if this pattern is matched" group.word 0x458C++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_3,Adaptation Configuration Register #3" newline bitfld.word 0x0 15. "ESL_TWICE_DSL,Assert if error slicer has twice the voltage range as the data slicer (for the same 8 bits)." "0,1" newline bitfld.word 0x0 14. "TGG_EN,Enable toggling of the error slicer" "0,1" newline bitfld.word 0x0 13. "EYEHO_EN,Enable eye height measurement using odd error slicer" "0,1" newline bitfld.word 0x0 12. "EYEHE_EN,Enable eye height measurement using even error slicer" "0,1" newline hexmask.word.byte 0x0 7.--11. 1. "DFE_EN,Enable DFE adaptation for taps 5-1" newline bitfld.word 0x0 6. "ATT_EN,Enable ATT adaptation" "0,1" newline bitfld.word 0x0 5. "VGA_EN,Enable VGA adaptation" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "CTLE_EN,Enable CTLE boost adaptation The five bits determine which correlators are used to adapt the CTLE" repeat 2. (list 0x4 0x5 )(list 0x0 0x4 ) group.word ($2+0x4590)++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_$1,Adaptation Configuration Register #4" newline hexmask.word.byte 0x0 12.--15. 1. "DFE2_TH,DFE Tap2 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 8.--11. 1. "DFE1_TH,DFE Tap1 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 4.--7. 1. "VGA_TH,VGA correlation decision threshold (2^N-1) During eye height measurement the VGA_TH is repurporsed for error slicer updates." newline hexmask.word.byte 0x0 0.--3. 1. "CTLE_TH,CTLE correlation decision threshold (2^N-1)" repeat.end group.word 0x4598++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_6,Adaptation Configuration Register #6" newline bitfld.word 0x0 13.--15. "ATT_LOW_TH,ATT low threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "VGA_SAT_CNT_STICKY,If deasserted then VGA saturation counts must be consecutive to change ATT" "0,1" newline bitfld.word 0x0 9.--11. "VGA_SAT_CNT,VGA saturation count" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "ATT_MU,ATT gain code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "VGA_MU,VGA gain code update gain (2^N) During eye height measurement the VGA_MU is repurporsed for error slicer updates." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "CTLE_MU,CTLE Boost code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x459C++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_7,Adaptation Configuration Register #7" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 10.--14. 1. "VGA_LEV_LOW,VGA level low saturation limit" newline hexmask.word.byte 0x0 5.--9. 1. "VGA_LEV_HIGH,VGA level high saturation limit" newline hexmask.word.byte 0x0 0.--4. 1. "VGA_MIN_SAT,VGA minimum saturation limit" group.word 0x45A0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_8,Adaptation Configuration Register #8" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "DFE5_MU,DFE tap5 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "DFE4_MU,DFE tap4 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "DFE3_MU,DFE tap3 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "DFE2_MU,DFE tap2 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "DFE1_MU,DFE tap1 code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x45A4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_9,Adaptation Configuration Register #9" newline hexmask.word.byte 0x0 8.--15. 1. "ERR_SLO_ADPT_INIT,The error odd slicer is initialized to this value at the start of a new adaptation request." newline hexmask.word.byte 0x0 0.--7. 1. "ERR_SLE_ADPT_INIT,The error even slicer is initialized to this value at the start of a new adaptation request." group.word 0x45A8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_RST_ADPT_CFG,Reset Adaptation Configuration Register" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RST_ADPT_TAP1,Reset Data Tap1 when turning off DFE adaptation (Taps 2-5 are always turned off when DFE adaptation is turned off)" "0,1" newline bitfld.word 0x0 3. "RST_ADPT_CTLE_POLE,Reset CTLE Pole when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 2. "RST_ADPT_CTLE_BOOST,Reset CTLE Boost when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 1. "RST_ADPT_VGA,Reset VGA when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 0. "RST_ADPT_ATT,Reset ATT when turning off AFE adaptation" "0,1" rgroup.word 0x45AC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ATT_STATUS,Value of ATT Adaptation code" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "ASM1_DON,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_CODE,Value of ATT adaptation code" rgroup.word 0x45B0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_VGA_STATUS,Value of VGA Adaptation code" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_CODE,Value of VGA adaptation code" rgroup.word 0x45B4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_CTLE_STATUS,Value of CTLE Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_CODE,Value of CTLE Pole adaptation code" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_CODE,Value of CTLE Boost adaptation code" rgroup.word 0x45B8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP1_STATUS,Value of DFE Tap1 Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_CODE,Value of DFE tap1 adaptation code" rgroup.word 0x45BC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP2_STATUS,Value of DFE Tap2 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_CODE,Value of DFE tap2 adaptation code" rgroup.word 0x45C0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP3_STATUS,Value of DFE Tap3 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP3_ADPT_CODE,Value of DFE tap3 adaptation code" rgroup.word 0x45C4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP4_STATUS,Value of DFE Tap4 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP4_ADPT_CODE,Value of DFE tap4 adaptation code" rgroup.word 0x45C8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP5_STATUS,Value of DFE Tap5 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP5_ADPT_CODE,Value of DFE tap5 adaptation code" group.word 0x45CC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_DATA_EVEN_VDAC_OFST,Offset values for RX DFE Data Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_VDAC_OFST,Offset value for DFE Data Even vDAC" group.word 0x45D0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_DATA_ODD_VDAC_OFST,Offset values for RX DFE Data Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_VDAC_OFST,Offset value for DFE Data Odd vDAC" group.word 0x45D4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x45D8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0x45DC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0x45E0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" rgroup.word 0x45E4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ERROR_SLICER_LEVEL,Value of Error Slicer Level" newline hexmask.word.byte 0x0 8.--15. 1. "E_SLE_LVL,Even Error Slicer Level" newline hexmask.word.byte 0x0 0.--7. 1. "E_SLO_LVL,Odd Error Slicer Level" group.word 0x45E8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_RESET,Adaptation reset register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_ASM1,Resets adaptation state machine (ASM1) as well as the stats capture block. This is a self-clearing bit and requires re-start of ASM1." "0,1" group.word 0x4600++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_LD_VAL_1,Stat load value for the sample counter #1" newline bitfld.word 0x0 15. "SC1_START,Start sample counter #1 This is a self-clearing bit" "0,1" newline hexmask.word 0x0 0.--14. 1. "SC1_LD_VAL,Sample counter #1 load value" group.word 0x4604++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_DATA_MSK,Stat data mask bits [15:0]" newline hexmask.word 0x0 0.--15. 1. "DATA_MSK_15_0,Value of data_msk_r[15:0]" group.word 0x4608++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_MATCH_CTL0,Stat match controls register #0" newline bitfld.word 0x0 14.--15. "SCOPE_DLY,# of clock cycle delays on scope_data_rx_clk An additional MSB is added in SCOPE_DLY_2" "0,1,2,3" newline hexmask.word.byte 0x0 10.--13. 1. "DATA_MSK_19_16,Value of data_msk_r[19:16]" newline hexmask.word.byte 0x0 5.--9. 1. "PTTRN_CR1A_4_0,Value of pattern A for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 0.--4. 1. "PTTRN_MSK_CR1A_4_0,Value of pattern A mask for 1st correlator (bits 4:0)" group.word 0x460C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_MATCH_CTL1,Stat match controls register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "PTTRN_CR1A_ADPT_EN,Enable ORing of adapation pattern with pattern CR1A" "0,1" newline hexmask.word.byte 0x0 6.--10. 1. "PTTRN_CR1B_4_0,Value of pattern B for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 1.--5. 1. "PTTRN_MSK_CR1B_4_0,Value of pattern B mask for 1st correlator (bits 4:0)" newline bitfld.word 0x0 0. "PTTRN_CR1B_EN,Enable pattern B matching for 1st correlator" "0,1" group.word 0x4610++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CTL0,Stat controls register #0" newline bitfld.word 0x0 15. "SKIP_EN,Value of skip_en_r" "0,1" newline bitfld.word 0x0 14. "SC_TIMER_MODE,Sample counter operation mode 0x0 - counts number of matched samples 0x1 - counts clock cycles (i.e. a timer)" "counts number of matched samples,counts clock cycles" newline bitfld.word 0x0 13. "STAT_RXCLK_SEL,Select stat clock 0x0 - ref_range_clk 0x1 - rx_dig_clk (i.e. rx dword clk) Before changing stat_rxclk_sel_r from 1->0 the rx_dig_clk must be active (i.e. enabled)" "ref_range_clk,rx_dig_clk" newline bitfld.word 0x0 10.--12. "STAT_SRC_SEL,Select stat source input 0x0 - {20{rx_cal_result}} 0x1 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x2 - rx_phase[39:0] 0x3 - rx_error[39:0] 0x4 - rx_data[39:0] 0x5 - rx_phdir[39:0] 0x6 - 40'hFF_FFFF_FFFF" "?,?,rx_phase[39:0],rx_error[39:0],rx_data[39:0],rx_phdir[39:0],?,?" newline hexmask.word.byte 0x0 6.--9. 1. "STAT_SHFT_SEL,Select stat source shift value 0x0 - Correlate N-1 -> N+3 (use N for offset calibration) 0x1 - Correlate N+1 -> N+5 (for taps1-5) 0x2 - Correlate N+6 -> N+10 0x3 - Correlate N+11 -> N+15 0x4 - Correlate N+16 -> N+20 0x5 - Correlate N+21 ->.." newline bitfld.word 0x0 5. "CORR_MODE_EN,Enable correlation mode" "0,1" newline bitfld.word 0x0 3.--4. "CORR_SRC_SEL,Select correlation input source 0x0 - rx_error[39:0] 0x1 - rx_phase[39:0] 0x2 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x3 - No correlation" "rx_error[39:0],rx_phase[39:0],?,No correlation" newline bitfld.word 0x0 2. "CORR_SHFT_SEL,Select shift for phase. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 1. "CORR_SHFT_SEL_VGA,Select shift for error going to VGA. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 0. "RESERVED_0,Reserved bit" "0,1" group.word 0x4614++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CTL1,Stat controls register #1" newline bitfld.word 0x0 14.--15. "VLD_CTL,Gating configuration of stats collection 0x0 - ignore both cdr_valid and rx_valid 0x1 - gate stats collection with cdr_valid 0x2 - gate stats collection with rx_valid 0x3 - ignore both cdr_valid and rx_valid" "ignore both cdr_valid and rx_valid,gate stats collection with cdr_valid,gate stats collection with rx_valid,ignore both cdr_valid and rx_valid" newline bitfld.word 0x0 13. "VLD_LOSS_CLR,Clearing of stats collection upon loss of valid 0x0 - hold sample and stat counters 0x1 - clear sample and stat counters" "hold sample and stat counters,clear sample and stat counters" newline bitfld.word 0x0 11.--12. "DATA_DLY_SEL,# of clock cycle delays on rx_data[19:0] An additional MSB is added in DATA_DLY_SEL_2" "0,1,2,3" newline bitfld.word 0x0 10. "STAT_CLK_EN,Clock gate enable for stat clock" "0,1" newline bitfld.word 0x0 9. "SC_PAUSE,Pause the sample counter and stat counters" "0,1" newline bitfld.word 0x0 7.--8. "RESERVED_8_7,Reserved bits" "0,1,2,3" newline bitfld.word 0x0 6. "STAT_CNT_6_EN,Enable for stat counter 6" "0,1" newline bitfld.word 0x0 5. "STAT_CNT_5_EN,Enable for stat counter 5" "0,1" newline bitfld.word 0x0 4. "STAT_CNT_4_EN,Enable for stat counter 4" "0,1" newline bitfld.word 0x0 3. "STAT_CNT_3_EN,Enable for stat counter 3 Only counter to be enabled by default since used for offset calibration" "0,1" newline bitfld.word 0x0 2. "STAT_CNT_2_EN,Enable for stat counter 2" "0,1" newline bitfld.word 0x0 1. "STAT_CNT_1_EN,Enable for stat counter 1" "0,1" newline bitfld.word 0x0 0. "STAT_CNT_0_EN,Enable for stat counter 0" "0,1" rgroup.word 0x4618++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_SMPL_CNT1,Sample Counter #1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "SMPL_CNT1,Current value of sample counter #1" rgroup.word 0x461C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_0,Stat Counter 0 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_0,Current value of stat counter #0" rgroup.word 0x4620++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_1,Stat Counter 1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_1,Current value of stat counter #1" rgroup.word 0x4624++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_2,Stat Counter 2 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_2,Current value of stat counter #2" rgroup.word 0x4628++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_3,Stat Counter 3 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_3,Current value of stat counter #3" rgroup.word 0x462C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_4,Stat Counter 4 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_4,Current value of stat counter #4" rgroup.word 0x4630++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_5,Stat Counter 5 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_5,Current value of stat counter #5" rgroup.word 0x4634++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_6,Stat Counter 6 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_6,Current value of stat counter #6" group.word 0x4638++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_CAL_COMP_CLK_CTL,Calibration Comparator Control" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "REF_DIV_CNT,Ref range clock count (e.g. 5'd3 = 4 ref_range cycles)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PRECHRGE_CNT,Precharge Count (e.g. 5'd1 = 2 ref_range cycles)" "0,1,2,3,4,5,6,7" repeat 4. (list 0x2 0x3 0x4 0x5 )(list 0x0 0x4 0x8 0xC ) group.word ($2+0x463C)++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_MATCH_CTL$1,Stat match controls register #2" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "PTTRN_CR1A_19_5,Value of pattern A for 1st correlator (bits 19:5)" repeat.end group.word 0x464C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CTL2,Stat controls register #2" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "SCOPE_DLY_2,Additional MSB bit for SCOPE_DLY to extend the delay range to 0->7" "0,1" newline bitfld.word 0x0 0. "DATA_DLY_SEL_2,Additional MSB bit for DATA_DLY_SEL to extend the delay range to 0->7" "0,1" group.word 0x4650++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_STOP,Stat stop register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "SC1_STOP,Stop sample counters #1 and associated stat counters. This is a self-clearing bit and requires re-start of sample counter #1." "0,1" group.word 0x4680++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_OVRD_OUT,Override values for TX signals going to ANA" newline bitfld.word 0x0 15. "TX_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 14. "TX_RXDET_EN,Override value for tx_ana_rxdet_en" "0,1" newline bitfld.word 0x0 13. "TX_DIV4_EN,Override value for tx_ana_div4_en" "0,1" newline bitfld.word 0x0 12. "RESERVED," "0,1" newline bitfld.word 0x0 10.--11. "TX_ANA_DATA_RATE,Override value for tx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 9. "TX_ANA_SERIAL_EN,Override value for tx_ana_serial_en" "0,1" newline bitfld.word 0x0 8. "TX_ANA_RESET,Override value for tx_ana_reset" "0,1" newline bitfld.word 0x0 7. "TX_ANA_MPLLB_CLK_EN,Override value for tx_ana_mpllb_clk_en" "0,1" newline bitfld.word 0x0 6. "TX_ANA_MPLLA_CLK_EN,Override value for tx_ana_mplla_clk_en" "0,1" newline bitfld.word 0x0 5. "TX_ANA_WORD_CLK_EN,Override value for tx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_EN,Override value for tx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_VCM_HOLD,Override value for tx_ana_vcm_hold" "0,1" newline bitfld.word 0x0 2. "TX_ANA_REFGEN_EN,Override value for tx_ana_refgen_en" "0,1" newline bitfld.word 0x0 1. "TX_ANA_DATA_EN,Override value for tx_ana_data_en" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT,Override value for tx_ana_clk_shift" "0,1" group.word 0x4684++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_TERM_CODE_OVRD_OUT,Override value for TX termination code going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "TX_CLK_LB_EN,Override value for tx_ana_clk_lb_en (override enabled by TX_OVRD_EN)." "0,1" newline bitfld.word 0x0 10. "TX_TERM_OVRD_EN,Override enable for the tx_ana_term_code[9:0] signal" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_TERM_CODE,Overrides the tx_ana_term_code[9:0] signal" group.word 0x4688++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_TERM_CODE_CLK_OVRD_OUT,Override value for TX termination code clocks going to ANA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "TX_TERM_CLK_SELF_CLEAR_DISABLE,Disable self-clearing for the tx_ana_term_up/dn_clk register" "0,1" newline bitfld.word 0x0 1. "TX_TERM_UP_CLK,Override for TX term UP clock This bit is self-clearing (4 cr_clks later)." "0,1" newline bitfld.word 0x0 0. "TX_TERM_DN_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x468C++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_0,Override values for TX EQ signals going to ANA register #0" newline bitfld.word 0x0 15. "TX_EQ_OVRD_EN,Override enable for tx eq signals" "0,1" newline hexmask.word 0x0 1.--14. 1. "TX_ANA_CTRL_ATTEN_13_0,Override value for tx_ana_ctrl_atten[13:0]" newline bitfld.word 0x0 0. "TX_ANA_LOAD_CLK,Override value for tx_ana_load_clk" "0,1" group.word 0x4690++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_1,Override values for TX EQ signals going to ANA register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "TX_ANA_CTRL_ATTEN_19_14,Override value for tx_ana_ctrl_atten[19:14]" group.word 0x4694++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_2,Override values for TX EQ signals going to ANA register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 7.--12. 1. "TX_ANA_CTRL_PRE,Override value for tx_ana_ctrl_pre[5:0]" newline hexmask.word.byte 0x0 0.--6. 1. "RESERVED," group.word 0x4698++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_3,Override values for TX EQ signals going to ANA register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "TX_ANA_CTRL_POST,Override value for tx_ana_ctrl_post[7:0]" group.word 0x469C++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_CTL_OVRD_OUT,Override values for RX control signals going to ANA" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_CTL_OVRD_EN,Enable override values for outputs [8-0] below" "0,1" newline bitfld.word 0x0 7. "RX_LBK_CLK_EN,Override value for rx_ana_loopback_clk_en" "0,1" newline bitfld.word 0x0 6. "RX_ANA_ADAPTATION_EN,Override value for rx_ana_adaptation_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_DFE_TAPS_EN,Override value for rx_ana_dfe_taps_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_DIV4_EN,Override value for rx_ana_div4_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_WORD_CLK_EN,Override value for rx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_DATA_RATE,Override value for rx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 0. "RESERVED," "0,1" group.word 0x46A0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_PWR_OVRD_OUT,Override values for RX PWR UP/DN signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_PWR_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_ANA_DESERIAL_EN,Override value for rx_ana_deserial_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_CDR_EN,Override value for rx_ana_cdr_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_CLK_EN,Override value for rx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_CLK_DCC_EN,Override value for rx_ana_clk_dcc_en" "0,1" newline bitfld.word 0x0 2. "RX_ANA_CLK_VREG_EN,Override value for rx_ana_clk_vreg_en" "0,1" newline bitfld.word 0x0 1. "RX_ANA_AFE_EN,Override value for rx_ana_afe_en" "0,1" newline bitfld.word 0x0 0. "RX_ANA_LOS_EN,Override value for rx_ana_los_en" "0,1" group.word 0x46A4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_VCO_OVRD_OUT_0,Override values for RX VCO signals going to ANA #0" newline bitfld.word 0x0 15. "RX_CDR_FREQ_TUNE_OVRD_EN,Enable override value for rx_ana_cdr_freq_tune" "0,1" newline bitfld.word 0x0 14. "RX_ANA_VCO_CNTR_CLK,Override value for rx_ana_vco_cntr_clk" "0,1" newline bitfld.word 0x0 13. "RX_ANA_VCO_CNTR_EN,Override value for rx_ana_vco_cntr_en" "0,1" newline hexmask.word 0x0 3.--12. 1. "RX_ANA_CDR_FREQ_TUNE,Override value for rx_ana_cdr_freq_tune" newline bitfld.word 0x0 2. "RX_VCO_CDR_OVRD_EN,Enable override values for cdr_vco_en and cdr_startup" "0,1" newline bitfld.word 0x0 1. "RX_ANA_CDR_STARTUP,Override value for rx_ana_cdr_startup" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_EN,Override value for rx_ana_cdr_vco_en" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x46A8)++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_VCO_OVRD_OUT_$1,Override values for RX VCO signals going to ANA #1" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_ANA_VCO_CNTR_PD,Override value for rx_ana_vco_cntr_pd" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_LOWFREQ,Override value for rx_ana_cdr_vco_lowfreq" "0,1" repeat.end group.word 0x46B0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_CAL,Sets values for RX CAL signals going to ANA register" newline bitfld.word 0x0 15. "RX_ANA_CAL_COMP_EN,Value for rx_ana_cal_comp_en" "0,1" newline bitfld.word 0x0 13.--14. "RX_ANA_CAL_MODE,Value for rx_ana_cal_mode[1:0] 00 Dual differential comparison ( [vip2 - vim2] greater than [vip1 - vim1] ) 01 Differential comparison on input2 (vip2 greater than vim2) 10 Single-ended comparison negative node to negative node (vim1.." "?,?,vim2] greater than [vip1,?" newline bitfld.word 0x0 12. "RX_ANA_SLICER_CAL_EN,Value for rx_ana_slicer_cal_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 10. "RX_ANA_CAL_LPFBYP_EN,Value for rx_ana_cal_lpfbyp_en" "0,1" newline hexmask.word.byte 0x0 5.--9. 1. "RX_ANA_CAL_MUXB_SEL,Value for rx_ana_cal_muxb_sel[4:0]" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_MUXA_SEL,Value for rx_ana_cal_muxa_sel[4:0]" group.word 0x46B4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_DAC_CTRL,Sets values for RX DAC CTRL value going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ANA_CAL_DAC_CTRL,Value for rx_ana_cal_dac_ctrl[7:0]" group.word 0x46B8++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_DAC_CTRL_OVRD,Overrides RX DAC CTRL bus (en/val/sel) going to ANA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CAL_DAC_CTRL_OVRD,Override enable for Cal DAC control" "0,1" group.word 0x46BC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_DAC_CTRL_SEL,Sets values for RX DAC CTRL Select signal going to ANA" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_DAC_CTRL_SEL,Value for rx_ana_cal_dac_ctrl_sel[4:0]" group.word 0x46C0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_AFE_ATT_VGA,Value for RX AFE ATT & VGA signals going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_AFE_OVRD_EN,Override enable for AFE control" "0,1" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "RX_ANA_AFE_GAIN,Value for rx_ana_afe_gain[3:0]" newline bitfld.word 0x0 0.--2. "RX_ANA_AFE_ATT_LVL,Value for rx_ana_afe_att_lvl[2:0]" "0,1,2,3,4,5,6,7" group.word 0x46C4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_AFE_CTLE,Values for RX AFE CTLE signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 3.--7. 1. "RX_ANA_AFE_CTLE_BOOST,Value for rx_ana_afe_ctle_boost[4:0]" newline bitfld.word 0x0 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" group.word 0x46C8++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_SCOPE,Values for RX SCOPE signals going to ANA" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_SCOPE_SELF_CLEAR_DISABLE,Disable the self-clearing for rx_ana_scope_ph_clk register" "0,1" newline bitfld.word 0x0 12. "RX_ANA_SCOPE_CLK_EN,Enable the scope clocks going to the scope slicer and the lane digital part" "0,1" newline hexmask.word.byte 0x0 4.--11. 1. "RX_ANA_SCOPE_PHASE,Sets value for rx_ana_scope_phase[7:0]" newline bitfld.word 0x0 3. "RX_ANA_SCOPE_PH_CLK,Sets value for rx_ana_scope_ph_clk - This bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_SCOPE_SEL,Sets value for rx_ana_scope_sel 00 - AFE scope selected 01 - DFE even scope selected 10 - DFE odd scope selected 11 - DFE bypass/AFE buffer scope selected" "AFE scope selected,DFE even scope selected,?,?" newline bitfld.word 0x0 0. "RX_ANA_SCOPE_EN,Sets value for rx_ana_scope_en" "0,1" group.word 0x46CC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_SLICER_CTRL,Sets values for RX Slicer Ctrl signals going to ANA register" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_ANA_SLICER_CTRL_OVRD_EN,Override enable for Rx ANA Slicer Ctrl" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x46D0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_IQ_PHASE_ADJUST,Sets values for RX ANA IQ PHASE Adjust signal going to ANA register" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_ANA_IQ_PHASE_ADJUST,Value for rx_ana_iq_phase_adjust[6:0]" group.word 0x46D4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_IQ_SENSE_EN,Sets values for RX ANA IQ SENSE signal" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ANA_IQ_SENSE_EN,Value for rx_ana_iq_sense_en" "0,1" group.word 0x46D8++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_CAL_DAC_CTRL_EN,DAC CTRL enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DAC_CTRL_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_cal_dac_ctrl_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CAL_DAC_CTRL_EN,Value for rx_ana_cal_dac_ctrl_en - If DAC_CTRL_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x46DC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_SIGNALS_CHANGES_ENABLE,Afe update enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "AFE_UPDATE_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_afe_update_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_AFE_UPDATE_EN,Value for rx_ana_afe_update_en - If AFE_UPDATE_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x46E0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_PHASE_ADJUST_CLK,Phase adjust clock signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "PHASE_ADJUST_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_iq_phase_adjust_clk register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_IQ_PHASE_ADJUST_CLK,Value for rx_ana_iq_phase_adjust_clk - If PHASE_ADJUST_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" rgroup.word 0x46E4++0x1 line.word 0x0 "LANE1_DIG_ANA_STATUS_0,Lane input status register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_ANA_SCOPE_DATA,Value from ANA for rx_ana_scope_data" "0,1" newline bitfld.word 0x0 6. "RX_ANA_CAL_RESULT,Value from ANA for rx_ana_cal_result" "0,1" newline bitfld.word 0x0 5. "RX_ANA_LOS,Value from ANA for rx_ana_los" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_LB_EN,Value of tx_ana_clk_lb_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_LOOPBACK_EN,Value of tx_ana_loopback_en" "0,1" newline bitfld.word 0x0 2. "TX_ANA_RXDETM_RESULT,Value from ANA for tx_ana_rxdetm_result" "0,1" newline bitfld.word 0x0 1. "TX_ANA_RXDETP_RESULT,Value from ANA for tx_ana_rxdetp_result" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT_ACK,Value from ANA for tx_ana_clk_shift_ack" "0,1" rgroup.word 0x46E8++0x1 line.word 0x0 "LANE1_DIG_ANA_STATUS_1,Lane input status register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_ANA_VCO_CNTR,Value from ANA for rx_ana_vco_cntr" group.word 0x46EC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_TERM_CODE_OVRD_OUT,Override value for RX termination code going to ANA" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "RX_TERM_OVRD_EN,Override enable for the rx_ana_term_code[5:0] signal" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_TERM_CODE,Overrides the rx_ana_term_code[5:0] signal" group.word 0x46F0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_TERM_CODE_CLK_OVRD_OUT,Override value for RX termination code clock going to ANA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_TERM_CLK_SELF_CLEAR_DISABLE,Disable the self-clearing of rx_ana_term_clk register" "0,1" newline bitfld.word 0x0 0. "RX_TERM_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x4700++0x1 line.word 0x0 "LANE1_ANA_TX_OVRD_MEAS,TX_OVRD_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "PULL_DN_REG,Pull down TX output if asserted" "0,1" newline bitfld.word 0x0 6. "PULL_UP_REG,Pull up TX output if asserted" "0,1" newline bitfld.word 0x0 5. "VCM_HOLD_REG,Set Tx in common mode if asserted together with bit 4" "0,1" newline bitfld.word 0x0 4. "OVRD_VCM_HOLD,If asserted bit 5 take effect on control Tx common mode" "0,1" newline bitfld.word 0x0 3. "MEAS_SAMP_P,Measure clock p DCD through atb_s_p on clock psample" "0,1" newline bitfld.word 0x0 2. "MEAS_SAMP_M,Measure clock m DCD through atb_s_p on clock m sample" "0,1" newline bitfld.word 0x0 1. "CLK_SHIFT_REG,Controls clock shift if asserted with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_CLK_SHIFT,If asserted allow analog register to control clock shift function" "0,1" group.word 0x4704++0x1 line.word 0x0 "LANE1_ANA_TX_PWR_OVRD,TX_PWR_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_EN,Enable analog register to take control TX power state if asserted" "0,1" newline bitfld.word 0x0 6. "SERIAL_EN_REG,Enable TX serializer if assered with bit 7" "0,1" newline bitfld.word 0x0 5. "CLK_EN_REG,Enable TX clock if asserted with bit 7" "0,1" newline bitfld.word 0x0 4. "DATA_EN_REG,Enable TX driver data path if asserted with bit 7" "0,1" newline bitfld.word 0x0 3. "CLK_DIV_EN_REG,Enable TX divider if asserted with bit 7 overrides !tx_reset" "0,1" newline bitfld.word 0x0 2. "REFGEN_EN_REG,Enable TX biasing if asserted with bit 7" "0,1" newline bitfld.word 0x0 1. "LOOPBACK_EN_REG,Enable TX loopback path to RX if asserted along with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_TX_LOOPBACK,Enable Tx loopback mode over ridden by analog register if asserted" "0,1" group.word 0x4708++0x1 line.word 0x0 "LANE1_ANA_TX_ALT_BUS,TX_ALT_BUS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "JTAG_DATA_REG,When bit 2 is asserted it replace jtag data" "0,1" newline bitfld.word 0x0 4.--6. "TX_ALT_RINGO,Three bit select of the ALT path test oscillators 000 no oscillators enabled 001 osc_vp_ulvt oscillator enabled 010 osc_vptx_lvt oscillator enabled 011 osc_vp_lvt oscillator enabled 100 Reserved 101 Reserved 110 Reserved 111 osc_vph_hv.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "NC3,Reserved" "0,1" newline bitfld.word 0x0 2. "OVRD_ALT_BUS,If asserted jtag data and TX data source selection are controlled by bits [1:0] and bit 7" "0,1" newline bitfld.word 0x0 0.--1. "DRV_SOURCE_REG,When bit 2 is asserted drv_source_reg[1:0] takes control of TX function overrides tx_data_source[1:0]" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x470C)++0x1 line.word 0x0 "LANE1_ANA_TX_ATB$1,TX_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "NC7,Reserved" "0,1" newline bitfld.word 0x0 6. "ATB_VREG1,Put TX regulator 1 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "ATB_VREG0,Put TX regulator 0 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_0,Use atb_s_m as TX regulator 0 reference voltage when asserted" "0,1" newline bitfld.word 0x0 3. "ATB_VPTX,Put TX driver local vptx on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 2. "ATB_VDCCP,Put DCC control voltage p on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 1. "ATB_VDCCM,Put DCC control voltage m on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 0. "ATB_GD,Put tx local gd on atb_s_p when asserted" "0,1" repeat.end group.word 0x4714++0x1 line.word 0x0 "LANE1_ANA_TX_VBOOST,TX_VBOOST" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_VBOOST_EN,Enable TX boost mode to be override by bit 6" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_REG,If bit 7 is set to 1 analog register takes control of Tx vboost enable/disable" "0,1" newline bitfld.word 0x0 5. "BOOST_VPTX_MODE_N,If asserted TX boost mode becomes a direct boost mode. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref) in default TX boost mode" "0,1" newline bitfld.word 0x0 4. "ATB_VBOOST,Measure vptx/2 through atb_s_p when TX boost is enabled" "0,1" newline bitfld.word 0x0 3. "ATB_VBOOST_VREF,Measure tx boost reference voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREF_BOOST_REF,If enabled atb_s_m is used to provide Tx boost reference voltage instead of bandgap voltage tx_vboost_vref" "0,1" newline bitfld.word 0x0 1. "ATB_S_ENABLE,Enables TX atb function if asserted This bit has to be set to 1 in order to make TX atb_s_p/m visible" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VPH_HALF,Measure vph/2 on atb_s_p" "0,1" group.word 0x4718++0x1 line.word 0x0 "LANE1_ANA_TX_TERM_CODE,TX_TERM_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "TERM_CODE_REG,TX leg biasing register (7 MSBs) this is Term_code_reg[9:3]" newline bitfld.word 0x0 0. "TERM_CODE_OVRD,Enable analog register to overdrive TX leg biasing" "0,1" group.word 0x471C++0x1 line.word 0x0 "LANE1_ANA_TX_TERM_CODE_CTRL,TX_TERM_CODE_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_TERM_REG,Resets the tx termination code DACs" "0,1" newline bitfld.word 0x0 6. "RESET_TERM_OVRD,Enable analog register to reset termination code DACs" "0,1" newline bitfld.word 0x0 5. "UPDATE_TERM_UP_REG,Register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 4. "UPDATE_TERM_UP_OVRD,Enables analog register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 3. "UPDATE_TERM_DN_REG,Register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 2. "UPDATE_TERM_DN_OVRD,Enables analog register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 1. "TX_PWR_EN_REG,Register control over the power gating of blocks within the TX" "0,1" newline bitfld.word 0x0 0. "TX_PWR_EN_OVRD,Enables analog register control over the power gating of blocks within the TX" "0,1" group.word 0x4720++0x1 line.word 0x0 "LANE1_ANA_TX_IBOOST_CODE,TX_IBOOST_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "IBOOST_CODE_REG,When TX boost is enabled and bit 3 is asserted these 4 bits take control of TX boost. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref-vptx/2) in default TX boost mode" newline bitfld.word 0x0 3. "IBOOST_CODE_OVRD,Enable analog register overdrive for TX boost" "0,1" newline bitfld.word 0x0 1.--2. "TERM_CODE_REG,TX leg biasing register bit 2 & 1 this is term_code_reg[2:1]" "0,1,2,3" newline bitfld.word 0x0 0. "LFPS_HIGH_PRIORITY,If asserted lfps/beacon enable has higher priority than data enable" "0,1" group.word 0x4724++0x1 line.word 0x0 "LANE1_ANA_TX_OVRD_CLK,TX_OVRD_CLK" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_WORD_CLK_EN,If asserted it allows bit 6 to override tx word clock enable" "0,1" newline bitfld.word 0x0 6. "WORD_CLK_EN_REG,Tx word clock enable/disable when bit 7 is asserted" "0,1" newline bitfld.word 0x0 5. "OVRD_MPLLAB_EN,If asserted it allows bit 3 or 4 to take control of selecting MPLL clocks" "0,1" newline bitfld.word 0x0 4. "MPLLA_CLK_EN_REG,When asserted with bit 5 selects MPLLA clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 3. "MPLLB_CLK_EN_REG,When asserted with bit 5 selects MPLLB clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 2. "OVRD_LB_EN,If asserted it allows bit 1 takes control of RX clock loopback to TX" "0,1" newline bitfld.word 0x0 1. "CLK_LB_EN_REG,When asserted with bit 2 selects RX clock for TX data output clock" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_REGREF_1,Use atb_s_m to over ride TX regulator reference voltage when asserted" "0,1" group.word 0x4728++0x1 line.word 0x0 "LANE1_ANA_TX_MISC,TX_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_RXDETREF,If asserted atb_s_m is used to override RX detection reference voltage" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_BIAS_VPTX,Measure TX bias local vptx through atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "OSC_DIV4_EN,If asserted divides tx_alt oscillator output frequency by 4" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "NC4_0,Reserved" group.word 0x472C++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_IQSKEW,RX_ATB_IQSKEW" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MASTER_ATB_EN,If asserted enable RX ATB sensing bus atb_s_p/m visible externally.The exception is bit 5 which dont require this bit to be asserted" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_RX_SCOPE_REG,If asserted enable scope linearity characterization through atb_s_p/m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VP,If asserted vp is measured through atb_s_p" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "IQ_PHASE_ADJUST_REG,If ovrd_iq_phase_adjust is enabled these bits control the main PMIX" group.word 0x4730++0x1 line.word 0x0 "LANE1_ANA_RX_DCC_OVRD,RX_DCC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "DCC_EN_REG,When bit 6 is asserted this bit takes control of RX DCC enable" "0,1" newline bitfld.word 0x0 6. "OVRD_DCCANDAFE_EN,When asserted override RX DCC enable by analog register" "0,1" newline bitfld.word 0x0 5. "RX_LOOPBACK_CLK_REG,When bit 4 asserted this bit takes control of RX clock loopback enable/disable" "0,1" newline bitfld.word 0x0 4. "OVRD_RX_LOOPBACK_CLK,When asserted override rx clock loopback by analog register" "0,1" newline bitfld.word 0x0 2.--3. "MEAS_ATB_VDCC,meas_atb_vdcc[1:0] 00 Disable atb measurement 01 measure vdcc_i_p/m through atb_s_p/m 10 measure vdcc_q_p/m through atb_s_p/m 11 measure vdcc_i/q through atb_s_p/m" "0,1,2,3" newline bitfld.word 0x0 0.--1. "NC1_0,Reserved" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0xC ) group.word ($2+0x4734)++0x1 line.word 0x0 "LANE1_ANA_RX_PWR_CTRL$1,RX_PWR_CTRL1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "AFE_EN_REG,When asserted with bit 6 enables AFE" "0,1" newline bitfld.word 0x0 6. "OVRD_AFE_EN,If asserted bit 7 take control of AFE enable/disable" "0,1" newline bitfld.word 0x0 5. "LOS_EN_REG,When asserted with bit 4 enables LOS" "0,1" newline bitfld.word 0x0 4. "OVRD_LOS_EN,If asserted bit 5 take control of LOS enable/disable" "0,1" newline bitfld.word 0x0 3. "CLK_EN_REG,When asserted with bit 2 enables RX clock" "0,1" newline bitfld.word 0x0 2. "OVRD_CLK_EN,If asserted bit 3 take control of RX clock enable/disable" "0,1" newline bitfld.word 0x0 1. "ACJT_EN_REG,When asserted with bit 0 enables ACJTAG" "0,1" newline bitfld.word 0x0 0. "OVRD_ACJT_EN,If asserted bit 1 take control of ACJTAG enable/disable" "0,1" repeat.end group.word 0x4738++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_REGREF,RX_ATB_REGREF" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "MEAS_ATB_CAL_MUX,Meas_atb_cal_mux is 3 bit signal Meas_atb_cal_mux[2:0] If Meas_atb_cal_mux[2] asserted RX offset calibration comparator first stage differential outputs are measured through atb_s_p/m If Meas_atb_cal_mux[1] asserted atb_s_p/m.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_CLK,If asserted RX clock regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 3. "OVERRIDE_REGREF_SCOPE,If asserted RX scope regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 2. "NC2,Reserved" "0,1" newline bitfld.word 0x0 0.--1. "MEAS_ATB_SAMP,meas_atb_samp[1:0] 00 Disable atb measurement 01 measure clk_i_p/m sampling node through atb_s_p/m 10 measure clk_q_p/m sampling node through atb_s_p/m 11 measure clk_i/q sampling node through atb_s_p/m" "0,1,2,3" group.word 0x473C++0x1 line.word 0x0 "LANE1_ANA_RX_CDR_AFE,RX_CDR_AFE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "NC7_6,Reserved" "0,1,2,3" newline bitfld.word 0x0 5. "PHDET_EVEN_REG,If asserted CDR phase detector uses even data path" "0,1" newline bitfld.word 0x0 4. "PHDET_ODD_REG,If asserted CDR phase detector uses odd data path" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MEAS_ATB_RX,This is meas_atb_rx[9:6] bit each bit correspond to ==> meas_atb_rx[9] If asserted AFE biasing vbp is measured through atb_s_p meas_atb_rx[8] If asserted AFE biasing vbn is measured through atb_s_m meas_atb_rx[7] If asserted rx_p is.." group.word 0x4744++0x1 line.word 0x0 "LANE1_ANA_RX_MISC_OVRD,RX_MISC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_VREG_PRECHG_REG,If asserted the overvoltage compensation circuit in rx_vregs disabled" "0,1" newline bitfld.word 0x0 6. "CTLE_OFFSET_CAL_ENB,If asserted the offset calibration currents in the CTLE are disabled" "0,1" newline bitfld.word 0x0 5. "OVRD_RX_LOS_LFPS_EN,If asserted bit 4 enable/disables RX true LFPS detection" "0,1" newline bitfld.word 0x0 4. "RX_LOS_LFPS_EN_REG,If asserted with bit 5 enables true LFPS detection" "0,1" newline bitfld.word 0x0 2.--3. "NC3_2,Reserved" "0,1,2,3" newline bitfld.word 0x0 1. "WORD_CLK_EN_REG,If asserted with bit 0 enables rx word clock" "0,1" newline bitfld.word 0x0 0. "OVRD_WORD_CLK_EN,If asserted bit 1 takes control of word clock enable/disable" "0,1" group.word 0x4748++0x1 line.word 0x0 "LANE1_ANA_RX_CAL_MUXA,RX_CAL_MUXA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXA_SEL,If asserted selects analog register setting to control RX calibration path A" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXA_SEL_REG,Analog registers to control RX calibration path A if bit 7 is asserted this is cal_muxa_sel_reg[4:0]" newline bitfld.word 0x0 1. "MEAS_ATB_VIBIAS_VCO,If asserted measure CDR VCO bias current through atb_s_p (25uA)" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_200U,If asserted measure CDR VCO bias current through atb_s_m (200uA)" "0,1" group.word 0x474C++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_MEAS1,RX_ATB_MEAS1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "ATB_FRC_VLOS,If asserted force los detection reference voltage through atb_s_m" "0,1" newline hexmask.word.byte 0x0 1.--6. 1. "MEAS_ATB_RX,This is meas_atb_rx[5:0] bits where each bit correspond to meas_atb_rx[5] If asserted rx_m is driven by atb_f_m meas_atb_rx[4] If asserted rx_m is sensed through atb_s_m meas_atb_rx[3] If asserted measure RX LOS detection threshold.." newline bitfld.word 0x0 0. "NC0,Reserved" "0,1" group.word 0x4750++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_MEAS2,RX_ATB_MEAS2" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_GD,If asserted measure RX regulator local gd through atb_s_p" "0,1" newline bitfld.word 0x0 6. "NC6,Reserved" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_CLK,If asserted measure RX clock regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_VREG_SCOPE,If asserted measure RX scope regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_OVRD_CDR_EN,If asserted enables CDR regardless of the digital control" "0,1" newline bitfld.word 0x0 1. "MEAS_ATB_VCO_GD,If asserted measure CDR VCO local gd through atb_s_m" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_VOSC,If asserted measure CDR VCO oscillation bias current through atb_s_m" "0,1" group.word 0x4754++0x1 line.word 0x0 "LANE1_ANA_RX_CAL_MUXB,RX_CAL_MUXB" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXB_SEL,If asserted selects analog register setting to control RX calibration path B" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXB_SEL_REG,Analog registers to control RX calibration path B if bit 7 is asserted this is cal_muxb_sel_reg[4:0]" newline bitfld.word 0x0 1. "OVRD_DFE_TAPS_EN,If asserted allows bit 0 to enable/disable dfe taps 1 and 2" "0,1" newline bitfld.word 0x0 0. "DFE_TAPS_EN_REG,If bit 1 is asserted controls DFE tap 1 and 2" "0,1" group.word 0x4758++0x1 line.word 0x0 "LANE1_ANA_RX_TERM,RX_TERM" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "AFE_CM_SEL,Added to make AFE CM voltage controllable (below values are for TT vp=0.8V) 00 vcm=662mV 01 vcm=627mV (default) 10 vcm=593mV 11 vcm=558mV" "0,1,2,3" newline bitfld.word 0x0 5. "OVRD_RX_TERM_GD_EN,If asserted the ground termination enable value is controlled via registers" "0,1" newline bitfld.word 0x0 4. "RX_TERM_GD_EN_REG,If termination override is asserted controls the ground termination enable" "0,1" newline bitfld.word 0x0 3. "OVRD_IQ_PHASE_ADJUST,If asserted the iq_phase_adjust value is controlled via registers" "0,1" newline bitfld.word 0x0 2. "VCO_TEMP_COMP_EN,If asserted the RX-VCO temperature compensation circuit is enabled" "0,1" newline bitfld.word 0x0 0.--1. "CDR_VCO_STARTUP_CODE_REG,RX_VCO startup current over-ride cdr_vco_startup_code Startup override 00 cdr_freq_code_int[9:7] = cdr_freq_code[9:7] 01 when startup = 1 -> cdr_freq_code_int[9] = 1 10 when startup = 1 -> cdr_freq_code_int[8] = 1 11 when startup.." "?,cdr_freq_code_int[7] = 1,?,?" group.word 0x475C++0x1 line.word 0x0 "LANE1_ANA_RX_SLC_CTRL,RX_SLC_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "RX_SLICER_CTRL_E_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the even slicer configuration" newline hexmask.word.byte 0x0 0.--3. 1. "RX_SLICER_CTRL_O_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the odd slicer configuration" group.word 0x4760++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_VREG,RX_ATB_VREG" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_REGREF_IQC,If asserted main PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 6. "OVRD_REGREF_IQC_SCOPE,If asserted scope PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VREG_DFE,If asserted measure RX DFE regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_ATB_VREG_IQC,If asserted measure RX main PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_VREG_IQC_SCOPE,If asserted measure RX scope PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 1. "OVRD_IQC_VREF_SEL,If asserted the vref on the iqc regulator is controlled via vbg_vref which can be controlled via registers" "0,1" newline bitfld.word 0x0 0. "OVRD_RX_SLICER_CTRL_REG,If asserted the slicer configuration value is controlled via registers (LANE.RX_SLC_CTRL)" "0,1" group.word 0x8000++0x1 line.word 0x0 "RAWCMN_DIG_CMN_CTL,Common control register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "PHY_FUNC_RST,Resets the PHY except registers in the Raw PCS common and always-on registers. Useful for resetting the PHY after reloading the Memory and without resetting the Memory." "0,1" group.word 0x8004++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_OVRD_IN,Override values for incoming MPLLA signals" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLA_BW_OVRD_EN,Override enable for mplla_bandwidth[15:0]" "0,1" newline bitfld.word 0x0 9. "MPLLA_DIV8_CLK_EN_OVRD_EN,Override enable for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 8. "MPLLA_DIV8_CLK_EN_OVRD_VAL,Override value for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 7. "MPLLA_DIV10_CLK_EN_OVRD_EN,Override enable for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 6. "MPLLA_DIV10_CLK_EN_OVRD_VAL,Override value for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 5. "MPLLA_TX_CLK_DIV_OVRD_EN,Override enable for mplla_tx_clk_div[2:0]" "0,1" newline bitfld.word 0x0 2.--4. "MPLLA_TX_CLK_DIV_OVRD_VAL,Override value for mplla_tx_clk_div[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1. "MPLLA_WORD_DIV2_EN_OVRD_EN,Override enable for mplla_word_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_WORD_DIV2_EN_OVRD_VAL,Override value for mplla_word_div2_en" "0,1" group.word 0x8008++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_BW_OVRD_IN,Override values for incoming MPLLA bandwidth" newline hexmask.word 0x0 0.--15. 1. "MPLLA_BW_OVRD_VAL,Override value for mplla_bandwidth[15:0]" group.word 0x800C++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_SSC_CTL_OVRD_IN_0,Override values for incoming MPLLA SSC control settings" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLA_SSC_EN_OVRD_EN,Override enable for mplla_ssc_en" "0,1" newline bitfld.word 0x0 8. "MPLLA_SSC_EN_OVRD_VAL,Override value for mplla_ssc_en" "0,1" newline bitfld.word 0x0 7. "MPLLA_SSC_CLK_SEL_OVRD_EN,Override enable for mplla_ssc_clk_sel[2:0]" "0,1" newline bitfld.word 0x0 4.--6. "MPLLA_SSC_CLK_SEL_OVRD_VAL,Override value for mplla_ssc_clk_sel[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "MPLLA_SSC_RANGE_OVRD_EN,Override enable for mplla_ssc_range[2:0]" "0,1" newline bitfld.word 0x0 0.--2. "MPLLA_SSC_RANGE_OVRD_VAL,Override value for mplla_ssc_range[2:0]" "0,1,2,3,4,5,6,7" group.word 0x8010++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_OVRD_IN,Override values for incoming MPLLB signals" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLB_BW_OVRD_EN,Override enable for mpllb_bandwidth[15:0]" "0,1" newline bitfld.word 0x0 9. "MPLLB_DIV8_CLK_EN_OVRD_EN,Override enable for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_DIV8_CLK_EN_OVRD_VAL,Override value for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 7. "MPLLB_DIV10_CLK_EN_OVRD_EN,Override enable for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 6. "MPLLB_DIV10_CLK_EN_OVRD_VAL,Override value for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 5. "MPLLB_TX_CLK_DIV_OVRD_EN,Override enable for mpllb_tx_clk_div[2:0]" "0,1" newline bitfld.word 0x0 2.--4. "MPLLB_TX_CLK_DIV_OVRD_VAL,Override value for mpllb_tx_clk_div[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1. "MPLLB_WORD_DIV2_EN_OVRD_EN,Override enable for mpllb_word_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLB_WORD_DIV2_EN_OVRD_VAL,Override value for mpllb_word_div2_en" "0,1" group.word 0x8014++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_BW_OVRD_IN,Override values for incoming MPLLB bandwidth" newline hexmask.word 0x0 0.--15. 1. "MPLLB_BW_OVRD_VAL,Override value for mpllb_bandwidth[15:0]" group.word 0x8018++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_SSC_CTL_OVRD_IN_0,Override values for incoming MPLLB SSC control settings" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLB_SSC_EN_OVRD_EN,Override enable for mpllb_ssc_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_SSC_EN_OVRD_VAL,Override value for mpllb_ssc_en" "0,1" newline bitfld.word 0x0 7. "MPLLB_SSC_CLK_SEL_OVRD_EN,Override enable for mpllb_ssc_clk_sel[2:0]" "0,1" newline bitfld.word 0x0 4.--6. "MPLLB_SSC_CLK_SEL_OVRD_VAL,Override value for mpllb_ssc_clk_sel[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "MPLLB_SSC_RANGE_OVRD_EN,Override enable for mpllb_ssc_range[2:0]" "0,1" newline bitfld.word 0x0 0.--2. "MPLLB_SSC_RANGE_OVRD_VAL,Override value for mpllb_ssc_range[2:0]" "0,1,2,3,4,5,6,7" group.word 0x801C++0x1 line.word 0x0 "RAWCMN_DIG_LANE_FSM_OP_XTND,Lane FSM OP XTND control register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "DATA,Required to prevent timing violations while accessing through external interface." "0,1" group.word 0x8020++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_SSC_CTL_OVRD_IN_1,Override values for incoming MPLLA SSC control settings" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "MPLLA_FRACN_CTRL_OVRD_EN,Override enable for mplla_fracn_ctrl[10:0]" "0,1" newline hexmask.word 0x0 0.--10. 1. "MPLLA_FRACN_CTRL_OVRD_VAL,Override value for mplla_fracn_ctrl[10:0]" group.word 0x8024++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_SSC_CTL_OVRD_IN_1,Override values for incoming MPLLB SSC control settings" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "MPLLB_FRACN_CTRL_OVRD_EN,Override enable for mpllb_fracn_ctrl[10:0]" "0,1" newline hexmask.word 0x0 0.--10. 1. "MPLLB_FRACN_CTRL_OVRD_VAL,Override value for mpllb_fracn_ctrl[10:0]" group.word 0x8028++0x1 line.word 0x0 "RAWCMN_DIG_CMN_CTL_1,Common control register 1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 5. "RTUNE_REQ_OVRD_EN,Override enable for rtune_req" "0,1" newline bitfld.word 0x0 4. "RTUNE_REQ_OVRD_VAL,Override value for rtune_req" "0,1" newline bitfld.word 0x0 3. "MPLLB_INIT_CAL_DISABLE_OVRD_EN,Override enable for mpllb_init_cal_disable" "0,1" newline bitfld.word 0x0 2. "MPLLB_INIT_CAL_DISABLE_OVRD_VAL,Override value for mpllb_init_cal_disable" "0,1" newline bitfld.word 0x0 1. "MPLLA_INIT_CAL_DISABLE_OVRD_EN,Override enable for mplla_init_cal_disable" "0,1" newline bitfld.word 0x0 0. "MPLLA_INIT_CAL_DISABLE_OVRD_VAL,Override value for mplla_init_cal_disable" "0,1" group.word 0x802C++0x1 line.word 0x0 "RAWCMN_DIG_CMN_CTL_2,Common control register 2" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "FW_PWRUP_DONE,Indicates whether Firmware power-up has completed or not. After PG exit restore value from AON and assign it after coarse tune is restored in PG Exit sequence." "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_WAIT_MPLL_OFF_TIME,Number of ref_range cycles to wait for MPLL to turn off" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0xC 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.word ($2+0x8080)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_RTUNE_RX_VAL_$1,Resistor Tune RX Value 0" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "RTUNE_RX_VAL_0,Stored resister tune RX value 0" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0xC 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.word ($2+0x8084)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_RTUNE_TXDN_VAL_$1,Resistor Tune TX Down Value 0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "RTUNE_TXDN_VAL_0,Stored resister tune TX down value 0" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0xC 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.word ($2+0x8088)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_RTUNE_TXUP_VAL_$1,Resistor Tune TX Up Value 0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "RTUNE_TXUP_VAL_0,Stored resister tune TX up value 0" repeat.end group.word 0x80E0++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_SRAM_PGATE_BL_EN,Enable SRAM bootloader on power-gated exit" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "SRAM_PGATE_BL_EN,Enable SRAM bootloader on power-gated exit." "0,1" group.word 0x80E4++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_PG_OVRD_IN,Override values for incoming power-gating signals" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 5. "PG_MODE_EN_OVRD_EN,Override enable for pg_mode_en." "0,1" newline bitfld.word 0x0 4. "PG_MODE_EN_OVRD_VAL,Override value for pg_mode_en." "0,1" newline bitfld.word 0x0 3. "PG_RESET_OVRD_EN,Override enable for pg_reset." "0,1" newline bitfld.word 0x0 2. "PG_RESET_OVRD_VAL,Override value for pg_reset." "0,1" newline bitfld.word 0x0 1. "PCS_PWR_STABLE_OVRD,Enable overriding pcs_pwr_stable to 1'b1." "0,1" newline bitfld.word 0x0 0. "PMA_PWR_STABLE_OVRD,Enable overriding pma_pwr_stable to 1'b1." "0,1" group.word 0x80E8++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_PG_OVRD_OUT,Override values for outgoing power-gating signals" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "PCS_PWR_EN_OVRD,Enable overriding pcs_pwr_en to 1'b1." "0,1" newline bitfld.word 0x0 0. "PMA_PWR_EN_OVRD,Enable overriding pma_pwr_en to 1'b1." "0,1" group.word 0x80EC++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_SUP_OVRD_IN,Override values for incoming SUP signals" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 5. "REF_CLK_EN_OVRD_EN,Override enable for ref_clk_en" "0,1" newline bitfld.word 0x0 4. "REF_CLK_EN_OVRD_VAL,Override value for ref_clk_en" "0,1" newline bitfld.word 0x0 3. "MPLLB_FORCE_EN_OVRD_EN,Override enable for mpllb_force_en" "0,1" newline bitfld.word 0x0 2. "MPLLB_FORCE_EN_OVRD_VAL,Override value for mpllb_force_en" "0,1" newline bitfld.word 0x0 1. "MPLLA_FORCE_EN_OVRD_EN,Override enable for mplla_force_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_FORCE_EN_OVRD_VAL,Override value for mplla_force_en" "0,1" group.word 0x80F0++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_FW_PWRUP_DONE,Firmware Power-Up Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FW_PWRUP_DONE,Indicates whether Firmware power-up has completed or not." "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.word ($2+0x80F4)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_FW_VERSION_$1,Firmware version register #0" newline hexmask.word 0x0 0.--15. 1. "FW_VERSION_0,Firmware version" repeat.end group.word 0xC000++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_OVRD_IN,Override values for incoming TX controls from PCS" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "OVRD_EN,Override enable for all input signals below" "0,1" newline bitfld.word 0x0 11. "MSTR_MPLLB_STATE,Override value for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 10. "MSTR_MPLLA_STATE,Override value for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 9. "MPLL_EN,Override value for tx_mpll_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--4. "WIDTH,Override value for tx_width" "0,1,2,3" newline bitfld.word 0x0 2. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 0.--1. "PSTATE,Override value for tx_pstate" "0,1,2,3" group.word 0xC004++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_OVRD_IN_1,Override values for incoming TX controls from PCS. register #1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "IBOOST_LVL_OVRD_EN,Override enable for tx_iboost_lvl[3:0]" "0,1" newline hexmask.word.byte 0x0 8.--11. 1. "IBOOST_LVL_OVRD_VAL,Override value for tx_iboost_lvl[3:0]" newline bitfld.word 0x0 7. "VBOOST_EN_OVRD_EN,Override enable for tx_vboost_en" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_OVRD_VAL,Override value for tx_vboost_en" "0,1" newline bitfld.word 0x0 5. "DETRX_REQ_OVRD_EN,Override enable for tx_detrx_req" "0,1" newline bitfld.word 0x0 4. "DETRX_REQ_OVRD_VAL,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for tx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for tx_reset" "0,1" rgroup.word 0xC008++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_PCS_IN,Current values for incoming TX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "DETRX_REQ,Value from PCS for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MSTR_MPLLB_STATE,Value from PCS for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 12. "MSTR_MPLLA_STATE,Value from PCS for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 11. "MPLL_EN,Value from PCS for tx_mpll_en" "0,1" newline bitfld.word 0x0 10. "MPLLB_SEL,Value from PCS for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 7.--9. "RATE,Value from PCS for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5.--6. "WIDTH,Value from PCS for tx_width" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from PCS for tx_lpd" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Value from PCS for tx_pstate" "0,1,2,3" newline bitfld.word 0x0 1. "REQ,Value from PCS for tx_req" "0,1" newline bitfld.word 0x0 0. "RESET,Value from PCS for tx_reset" "0,1" group.word 0xC00C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PCS" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 1. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for tx_ack" "0,1" rgroup.word 0xC010++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_PCS_OUT,Current values for outgoing TX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for tx_ack" "0,1" group.word 0xC014++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN,Override values for incoming RX controls from PCS" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 8. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 7. "OVRD_EN,Enable override values for all fields in this register" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for rx_lpd" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 2.--3. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 0.--1. "RATE,Override value for rx_rate" "0,1,2,3" group.word 0xC018++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN_1,Override values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for rx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for rx_reset" "0,1" group.word 0xC01C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN_2,Override values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 15. "VCO_LOWFREQ_VAL_OVRD_EN,Enable override for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 14. "VCO_LOWFREQ_VAL_OVRD,Override value for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 13. "VCO_LD_VAL_OVRD_EN,Enable override for rx_vco_ld_val" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL_OVRD,Override value for rx_vco_ld_val" group.word 0xC020++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN_3,Override values for incoming RX controls from PCS. register #3" newline bitfld.word 0x0 15. "CONT_OVRD_EN,Enable override values for rx_adapt_cont and rx_offcan_cont" "0,1" newline bitfld.word 0x0 14. "OFFCAN_CONT,Override value for rx_offcan_cont" "0,1" newline bitfld.word 0x0 13. "ADAPT_CONT,Override value for rx_adapt_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_REQ_OVRD_EN,Enable override values for rx_adapt_req" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Override value for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "REF_LD_VAL_OVRD_EN,Enable override for rx_ref_ld_val" "0,1" newline hexmask.word.byte 0x0 4.--9. 1. "REF_LD_VAL_OVRD,Override value for rx_ref_ld_val" newline bitfld.word 0x0 3. "RX_LOS_THRSHLD_OVRD_EN,Enable override for rx_los_threshold" "0,1" newline bitfld.word 0x0 0.--2. "RX_LOS_THRSHLD_OVRD_VAL,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" rgroup.word 0xC024++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN,Current values for incoming RX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "RESET,Value from PCS for rx_reset" "0,1" newline bitfld.word 0x0 13. "OFFCAN_CONT,Value from PCS for rx_offcan_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_CONT,Value from PCS for rx_adapt_cont" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Value from PCS for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "ADAPT_DFE_EN,Value from PCS for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 9. "ADAPT_AFE_EN,Value from PCS for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 8. "CDR_VCO_LOWFREQ,Value from PCS for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 7. "LPD,Value from PCS for rx_lpd" "0,1" newline bitfld.word 0x0 5.--6. "PSTATE,Value from PCS for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3.--4. "WIDTH,Value from PCS for rx_width" "0,1,2,3" newline bitfld.word 0x0 1.--2. "RATE,Value from PCS for rx_rate" "0,1,2,3" newline bitfld.word 0x0 0. "REQ,Value from PCS for rx_req" "0,1" rgroup.word 0xC028++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_1,Current values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "REF_LD_VAL,Value from PCS for rx_ref_ld_val" rgroup.word 0xC02C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_2,Current values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL,Value from PCS for rx_vco_ld_val" rgroup.word 0xC030++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_3,Current values for incoming RX controls from PCS. register #3" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "EQ_VGA2_GAIN,Value from ASIC for rx_eq_vga2_gain" newline hexmask.word.byte 0x0 3.--6. 1. "EQ_VGA1_GAIN,Value from ASIC for rx_eq_vga1_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0xC034++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_4,Current values for incoming RX controls from PCS. register #4" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 3.--10. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline bitfld.word 0x0 0.--2. "EQ_CTLE_POLE,Value from ASIC for rx_eq_ctle_pole" "0,1,2,3,4,5,6,7" group.word 0xC038++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PCS" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0xC03C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_OUT,Current values for outgoing RX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for rx_ack" "0,1" group.word 0xC040++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_ADAPT_ACK,RX Adaptation Acknowledge" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_ACK,RX Adaptation Acknowledge" "0,1" group.word 0xC044++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_ADAPT_FOM,RX Adaptation Figure of Merit" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,RX Adaptation Figure of Merit" group.word 0xC048++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_TXPRE_DIR,RX calculated direction for TX-pre" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPRE_DIR,RX calculated direction for TX-pre 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC04C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_TXMAIN_DIR,RX calculated direction for TX-Main" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXMAIN_DIR,RX calculated direction for TX-Main 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC050++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_TXPOST_DIR,RX calculated direction for TX-Post" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPOST_DIR,RX calculated direction for TX-Post 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" rgroup.word 0xC054++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_LANE_NUMBER,Current lane number" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "LANE_NUMBER,Current lane number" group.word 0xC058++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_LANE_XCVR_MODE_OVRD_IN,Override incoming values for lane_xcvr_mode" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_XCVR_MODE_OVRD_EN,Enable override value for lane_xcvr_mode" "0,1" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE_OVRD_VAL,Override value for lane_xcvr_mode" "0,1,2,3" rgroup.word 0xC05C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_LANE_XCVR_MODE_IN,Lane transceiver mode status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE,Lane transceiver mode Determines whether this lane is being used as Tx Rx or Tx/Rx 00 - Reserved 01 - Lane is used for Tx only 10 - Lane is used for Rx only 11 - Lane is used for Tx/Rx" "Reserved,Lane is used for Tx only,?,?" group.word 0xC060++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_ATE_OVRD_IN,ATE Override input to control top-level inputs" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_ADAPT_DFE_EN_OVRD_EN,Enable override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 10. "RX_ADAPT_DFE_EN_OVRD_VAL,Override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 9. "RX_ADAPT_AFE_EN_OVRD_EN,Enable override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 8. "RX_ADAPT_AFE_EN_OVRD_VAL,Override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 7. "TX_REQ_ATE_OVRD_EN,Enable override value for tx_req input" "0,1" newline bitfld.word 0x0 6. "TX_REQ_ATE_OVRD_VAL,Override value for top-level tx_req input" "0,1" newline bitfld.word 0x0 5. "RX_REQ_ATE_OVRD_EN,Enable override value for rx_req input" "0,1" newline bitfld.word 0x0 4. "RX_REQ_ATE_OVRD_VAL,Override value for top-level rx_req input" "0,1" newline bitfld.word 0x0 3. "TX_RESET_ATE_OVRD_EN,Enable override value for tx_reset input" "0,1" newline bitfld.word 0x0 2. "TX_RESET_ATE_OVRD_VAL,Override value for top-level tx_reset input" "0,1" newline bitfld.word 0x0 1. "RX_RESET_ATE_OVRD_EN,Enable override value for rx_reset input" "0,1" newline bitfld.word 0x0 0. "RX_RESET_ATE_OVRD_VAL,Override value for top-level rx_reset input" "0,1" group.word 0xC064++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_EQ_DELTA_IQ_OVRD_IN,Override incoming values for rx_eq_delta_iq" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RX_EQ_DELTA_IQ_OVRD_EN,Enable override value for rx_eq_delta_iq" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_DELTA_IQ_OVRD_VAL,Override value for rx_eq_delta_iq" group.word 0xC068++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TXRX_TERM_CTRL_OVRD_IN,Override incoming values for tx/rx_term_ctrl" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "TX_TERM_CTRL_OVRD_EN,Enable override value for tx_term_ctrl" "0,1" newline bitfld.word 0x0 4.--6. "TX_TERM_CTRL_OVRD_VAL,Override value for tx_term_ctrl" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "RX_TERM_CTRL_OVRD_EN,Enable override value for rx_term_ctrl" "0,1" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL_OVRD_VAL,Override value for rx_term_ctrl" "0,1,2,3,4,5,6,7" rgroup.word 0xC06C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TXRX_TERM_CTRL_IN,tx/rx_term_ctrl status" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "TX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" group.word 0xC070++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_OUT_1,Override values for outgoing RX controls to PCS. register #1" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CLK_EN,Enable the outging rx_clk" "0,1" group.word 0xC074++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_EQ_OVRD_IN_1,Override values for incoming RX EQ controls from PCS. register #1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_EQ_OVRD_EN,Enable override values for all RX EQ settings" "0,1" newline bitfld.word 0x0 4.--6. "RX_EQ_ATT_LVL_OVRD_VAL,Override value for rx_eq_att_lvl[2:0]" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_AFE_GAIN_OVRD_VAL,Override value for rx_eq_afe_gain[3:0]" group.word 0xC078++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_EQ_OVRD_IN_2,Override values for incoming RX EQ controls from PCS. register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 8.--12. 1. "RX_EQ_CTLE_BOOST_OVRD_VAL,Override value for rx_eq_ctle_boost[4:0]" newline hexmask.word.byte 0x0 0.--7. 1. "RX_EQ_DFE_TAP1_OVRD_VAL,Override value for rx_eq_dfe_tap1[7:0]" group.word 0xC07C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_OUT_2,Override value for RX VALID/DATA_EN/DATA_EN_ATE signal from PCS" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RX_RATE_ATE_OVRD_EN,Override enable for rx_rate_ate" "0,1" newline bitfld.word 0x0 8.--9. "RX_RATE_ATE_OVRD_VAL,Override value for rx_rate_ate" "0,1,2,3" newline bitfld.word 0x0 7. "RX_CDR_TRACK_EN_ATE_OVRD_EN,Override enable for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 6. "RX_CDR_TRACK_EN_ATE_OVRD_VAL,Override value for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 5. "RX_DATA_EN_ATE_OVRD_EN,Override enable for rx_data_en_ate" "0,1" newline bitfld.word 0x0 4. "RX_DATA_EN_ATE_OVRD_VAL,Override value for rx_data_en_ate" "0,1" newline bitfld.word 0x0 3. "RX_DATA_EN_OVRD_EN,Override enable for rx_data_en" "0,1" newline bitfld.word 0x0 2. "RX_DATA_EN_OVRD_VAL,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "RX_VALID_OVRD_EN,Override enable for rx_valid" "0,1" newline bitfld.word 0x0 0. "RX_VALID_OVRD_VAL,Override value for rx_valid" "0,1" group.word 0xC080++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FSM_OVRD_CTL,FSM override control register" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FSM_OVRD_EN,Enable overriding the FSM execution of commands Must be asserted to use FSM_CMD_START and FSM_JMP_EN features" "0,1" newline bitfld.word 0x0 13. "FSM_CMD_START,Start executing the new command This is a self-clearing bit" "0,1" newline bitfld.word 0x0 12. "FSM_JMP_EN,Force the FSM to jump to FSM_JMP_ADDR in the program memory Is applied when FSM_CMD_START is pulsed." "0,1" newline hexmask.word 0x0 0.--11. 1. "FSM_JMP_ADDR,The jump address used when FSM_JUMP_EN=1 The address is encoded as follows: [11:8] mem_lane [7:5] bank [4:0] register" rgroup.word 0xC084++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_MEM_ADDR_MON,Memory Address Monitor" newline hexmask.word 0x0 0.--15. 1. "MEM_ADDR,Current value of memory address used in Lane FSM" rgroup.word 0xC088++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_STATUS_MON,FSM Status Monitor" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RDMSK_DISABLED,Check if read mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 9. "WRMSK_DISABLED,Check if write mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 8. "WAIT_CNT_EQ0,Check if wait counter currently equals zero" "0,1" newline bitfld.word 0x0 7. "ALU_RES_EQ0,Check if ALU result register currently equals zero" "0,1" newline bitfld.word 0x0 6. "ALU_OVFLW,Current value of ALU overflow bit" "0,1" newline bitfld.word 0x0 5. "CMD_RDY,New command is ready for execution (applicable when FSM_OVRD_EN=1)" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "STATE,Current state of Lane FSM" rgroup.word 0xC08C++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_STARTUP_CAL,Status of Fast RX Start Up Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Status of fast RX start-up calibration" "0,1" rgroup.word 0xC090++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_ADAPT,Status of Fast RX Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ADAPT,Status of fast RX adaptation" "0,1" rgroup.word 0xC094++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_AFE_CAL,Status of Fast RX AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_CAL,Status of fast RX AFE DAC start-up calibration" "0,1" rgroup.word 0xC098++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_DFE_CAL,Status of Fast RX DFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_CAL,Status of fast RX DFE slicer start-up calibration" "0,1" rgroup.word 0xC09C++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" rgroup.word 0xC0A0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_REFLVL_CAL,Status of Fast RX Reference Level Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_REFLVL_CAL,Status of fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" rgroup.word 0xC0A4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_IQ_CAL,Status of Fast RX IQ Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_CAL,Status of fast RX IQ start-up calibration" "0,1" rgroup.word 0xC0A8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_AFE_ADAPT,Status of Fast RX AFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_ADAPT,Status of fast RX AFE DAC start-up adaptation" "0,1" rgroup.word 0xC0AC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_DFE_ADAPT,Status of Fast RX DFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_ADAPT,Status of fast RX DFE DAC start-up adaptation" "0,1" rgroup.word 0xC0B0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_SUP,Status of Fast Support block" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_SUP,Status of fast Support block (MPLL and Rtune)" "0,1" rgroup.word 0xC0B4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_TX_CMN_MODE,Status of Fast TX Common-mode Charge-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_CMN_MODE,Status of fast TX Common-mode Charge-up" "0,1" rgroup.word 0xC0B8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_TX_RXDET,Status of Fast TX detect RX" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_RXDET,Status of fast TX detect RX" "0,1" rgroup.word 0xC0BC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_PWRUP,Status of Fast RX Power-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_PWRUP,Status of fast RX Power-up (LOS VREG/AFE and DCC)" "0,1" rgroup.word 0xC0C0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_VCO_WAIT,Status of Fast RX VCO Wait Times" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_WAIT,Status of fast RX VCO wait times" "0,1" rgroup.word 0xC0C4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_VCO_CAL,Status of Fast RX VCO Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_CAL,Status of fast RX VCO Calibration" "0,1" rgroup.word 0xC0C8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_CMNCAL_MPLL_STATUS,Status of MPLL common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC0CC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_CAL_ADAPT,Status of Fast RX Continuous Calibration/Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Status of fast RX continuous calibration/adaptation" "0,1" rgroup.word 0xC0D0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_ADAPT,Status of Fast RX Continuous Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_ADAPT,Status of fast RX continuous adaptation" "0,1" rgroup.word 0xC0D4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_DATA_CAL,Status of Fast RX Continuous Data Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_DATA_CAL,Status of fast RX continuous data calibration" "0,1" rgroup.word 0xC0D8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_PHASE_CAL,Status of Fast RX Continuous Phase Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_PHASE_CAL,Status of fast RX continuous phase calibration" "0,1" rgroup.word 0xC0DC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_AFE_CAL,Status of Fast RX Continuous AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_AFE_CAL,Status of fast RX continuous AFE calibration" "0,1" rgroup.word 0xC0E0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" "0,1" rgroup.word 0xC0E4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_RX_IQ_DELTA_ADD,Status of RX Delta addition" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_IQ_DELTA_ADD,Reserved" "0,1" rgroup.word 0xC0E8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" rgroup.word 0xC0EC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" group.word 0xC0F0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_CR_REG_OP_XTND_EN,CR interface timing extension enable" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "CR_REG_OP_XTND_EN,CR interface timing extension enable 1'b0 - No Timing extension 1'b1 - Timing extension" "No Timing extension,Timing extension" group.word 0xC0F4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_TX_EQ_UPDATE_FLAG,TX Eq update flag" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "TX_EQ_UPDATE_FLAG,Tx Eq update flag 1'b0 - Update tx eq post 1'b1 - Update tx eq pre" "Update tx eq post,Update tx eq pre" rgroup.word 0xC0F8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_CMNCAL_RCAL_STATUS,Status of RTUNE common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC0FC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" group.word 0xC100++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_ATT_IDAC_OFST,Offset value for RX AFE ATT iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_IDAC_OFST,Offset value for AFE ATT iDAC" group.word 0xC104++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_CTLE_IDAC_OFST,Offset value for RX AFE CTLE iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_IDAC_OFST,Offset value for AFE CTLE iDAC" group.word 0xC108++0x1 line.word 0x0 "RAWLANE0_DIG_AON_ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" group.word 0xC10C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" group.word 0xC110++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_CTLE_LBK_IDAC_OFST,Offset values for RX CTLE Loopback path iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_LBK_IDAC_OFST,Offset value for RX CTLE Loopback path iDAC" group.word 0xC114++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_PHASE_EVEN_VDAC_OFST,Offset values for RX DFE Phase Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_EVEN_VDAC_OFST,Offset value for DFE Phase Even vDAC" group.word 0xC118++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_PHASE_ODD_VDAC_OFST,Offset values for RX DFE Phase Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_ODD_VDAC_OFST,Offset value for DFE Phase Odd vDAC" group.word 0xC11C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_EVEN_REF_LVL,DFE Even reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_EVEN_REF_LVL,DFE Even reference level" group.word 0xC120++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_ODD_REF_LVL,DFE Odd reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ODD_REF_LVL,DFE Odd reference level" group.word 0xC124++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN,RX Phase Adjust Linear Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_LIN,Linear value for RX phase adjust" rgroup.word 0xC128++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_MAP,RX Phase Adjust Mapped Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_MAP,Mapped value for RX phase adjust" group.word 0xC12C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset values for RX DFE Data Even High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset value for DFE Data Even High vDAC" group.word 0xC130++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_EVEN_LOW_VDAC_OFST,Offset values for RX DFE Data Even Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_EVEN_LOW_VDAC_OFST,Offset value for DFE Data Even Low vDAC" group.word 0xC134++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_ODD_HIGH_VDAC_OFST,Offset values for RX DFE Data Odd High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_HIGH_VDAC_OFST,Offset value for DFE Data Odd High vDAC" group.word 0xC138++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_ODD_LOW_VDAC_OFST,Offset values for RX DFE Data Odd Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_ODD_LOW_VDAC_OFST,Offset value for DFE Data Odd Low vDAC" group.word 0xC13C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_BYPASS_EVEN_VDAC_OFST,Offset values for RX DFE By-Pass Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_EVEN_VDAC_OFST,Offset value for DFE By-Pass Even vDAC" group.word 0xC140++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_BYPASS_ODD_VDAC_OFST,Offset values for RX DFE By-Pass Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_ODD_VDAC_OFST,Offset value for DFE By-Pass Odd vDAC" group.word 0xC144++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0xC148++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" group.word 0xC14C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" group.word 0xC150++0x1 line.word 0x0 "RAWLANE0_DIG_AON_MPLLA_COARSE_TUNE,MPLLA_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLA_COARSE_TUNE,Stored coarse tune value for MPLLA" group.word 0xC154++0x1 line.word 0x0 "RAWLANE0_DIG_AON_MPLLB_COARSE_TUNE,MPLLB_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLB_COARSE_TUNE,Stored coarse tune value for MPLLB" group.word 0xC158++0x1 line.word 0x0 "RAWLANE0_DIG_AON_INIT_PWRUP_DONE,Initial Power-Up Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "INIT_PWRUP_DONE,Indicates whether initial power-up has completed or not." "0,1" group.word 0xC15C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_ATT,RX Adapted value of ATT" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_VAL,Stored RX adapted ATT value" group.word 0xC160++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_VGA,RX Adapted value of VGA" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_VAL,Stored RX adapted VGA value" group.word 0xC164++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_CTLE,RX Adapted value of CTLE" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_VAL,Stored RX adapted CTLE pole value" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_VAL,Stored RX adapted CTLE boost value" group.word 0xC168++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_DFE_TAP1,RX Adapted value of DFE TAP1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_VAL,Stored RX adapted DFE TAP1 value" group.word 0xC16C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADAPT_DONE,RX Adaptation Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DONE,Indicates whether RX adaptation has completed or not." "0,1" group.word 0xC170++0x1 line.word 0x0 "RAWLANE0_DIG_AON_FAST_FLAGS,Fast flags for simulation only" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FAST_RX_VCO_CAL,Enable fast RX VCO Calibration" "0,1" newline bitfld.word 0x0 13. "FAST_RX_VCO_WAIT,Enable fast RX VCO wait times" "0,1" newline bitfld.word 0x0 12. "FAST_RX_PWRUP,Enable fast RX power-up (LOS VREG/AFE and DCC)" "0,1" newline bitfld.word 0x0 11. "FAST_TX_RXDET,Enable fast TX Detect RX" "0,1" newline bitfld.word 0x0 10. "FAST_TX_CMN_MODE,Enable fast TX Common Mode Charge-up" "0,1" newline bitfld.word 0x0 9. "FAST_SUP,Enable fast Support block (MPLL and Rtune)" "0,1" newline bitfld.word 0x0 8. "FAST_RX_DFE_ADAPT,Enables fast RX DFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_AFE_ADAPT,Enables fast RX AFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 6. "FAST_RX_IQ_CAL,Enables fast RX IQ start-up calibration" "0,1" newline bitfld.word 0x0 5. "FAST_RX_REFLVL_CAL,Enables fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" newline bitfld.word 0x0 4. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" newline bitfld.word 0x0 3. "FAST_RX_DFE_CAL,Enables fast RX DFE slicer start-up calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_AFE_CAL,Enables fast RX AFE DAC start-up calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_ADAPT,Enables fast RX adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Enables fast RX start-up calibration" "0,1" group.word 0xC174++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_DFE_TAP2,RX Adapted value of DFE TAP2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_VAL,Stored RX adapted DFE TAP2 value" group.word 0xC178++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN_RIGHT,RX last stable iq phase of Right of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_RIGHT,Stored RX iq phase right edge" group.word 0xC17C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN_LEFT,RX last stable iq phase of Left of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_LEFT,Stored RX iq phase left edge" group.word 0xC180++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN_ADAPT,RX Adapted value of PHASE IQ" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_ADAPT,Stored RX adapted IQ value" group.word 0xC184++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0xC188++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0xC18C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_LANE_CMNCAL_MPLL_STATUS,MPLL Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by this lane or not." "0,1" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C ) group.word ($2+0xC190)++0x1 line.word 0x0 "RAWLANE0_DIG_AON_ADPT_CTL_$1,Adaptation Control register #0" newline hexmask.word 0x0 0.--15. 1. "VAL,Value of adaptation control" repeat.end group.word 0xC1B0++0x1 line.word 0x0 "RAWLANE0_DIG_AON_MPLL_DISABLE,LANE_MPLLA/B_DISABLE override" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_MPLLB_DISABLE,Disable MPLLB" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_DISABLE,Disable MPLLA" "0,1" group.word 0xC1B4++0x1 line.word 0x0 "RAWLANE0_DIG_AON_FAST_FLAGS_2,Fast flags for simulation only" newline hexmask.word.byte 0x0 12.--15. 1. "RSVD_FAST_FLAGS,Reserved fast flags" newline bitfld.word 0x0 11. "SKIP_IQ_STEP_SKIP,Skip the IQ step skip option" "0,1" newline bitfld.word 0x0 10. "SKIP_250US_WAIT,Skip bit for USB Gen1 250us wait FW WA" "0,1" newline bitfld.word 0x0 9. "WA_ATT_VCM_ISSUE_MODE,Workaround en or disable STAR 9001169835" "0,1" newline bitfld.word 0x0 8. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" newline bitfld.word 0x0 6. "RX_IQ_DELTA_ADD,Enables RX IQ DELTA addition" "0,1" newline bitfld.word 0x0 5. "FAST_RX_IQ_ADAPT,Enables fast RX IQ ADAPT" "0,1" newline bitfld.word 0x0 4. "FAST_RX_CONT_AFE_CAL,Enables fast RX continuous AFE calibration" "0,1" newline bitfld.word 0x0 3. "FAST_RX_CONT_PHASE_CAL,Enables fast RX continuous phase calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_CONT_DATA_CAL,Enables fast RX continuous data calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_CONT_ADAPT,Enables fast RX continuous adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Enables fast RX continuous calibration/adaptation" "0,1" group.word 0xC1B8++0x1 line.word 0x0 "RAWLANE0_DIG_AON_LANE_CMNCAL_RCAL_STATUS,RTUNE Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by this lane or not." "0,1" group.word 0xC1BC++0x1 line.word 0x0 "RAWLANE0_DIG_AON_TXRX_OVRD_IN,Override values for incoming AON TX/RX controls from PCS" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_DISABLE_OVRD_EN,Override enable for tx_disable" "0,1" newline bitfld.word 0x0 2. "TX_DISABLE_OVRD_VAL,Override value for tx_disable" "0,1" newline bitfld.word 0x0 1. "RX_DISABLE_OVRD_EN,Override enable for rx_disable" "0,1" newline bitfld.word 0x0 0. "RX_DISABLE_OVRD_VAL,Override value for rx_disable" "0,1" group.word 0xC1C0++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_ATT_2_IDAC_OFST,Offset value for RX AFE ATT_2 iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_2_IDAC_OFST,Offset value for AFE ATT_2 iDAC when cc is 1 in ana typec projects" group.word 0xC1C4++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RXTX_CC_OVRD_IN,Override incoming values for rxtx_cc" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RXTX_CC_OVRD_EN,Enable override value for rxtx_cc" "0,1" newline bitfld.word 0x0 0. "RXTX_CC_OVRD_VAL,Override value for rxtx_cc" "0,1" rgroup.word 0xC1C8++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RXTX_CC_STATUS_IN,Incoming value of CC status from TCA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_IN_I,Value from TCA of cc" "0,1" rgroup.word 0xC1CC++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RXTX_CC_STATUS_OUT,Current values for outgoing CC status to PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_OUT,Value to PMA of cc" "0,1" group.word 0xC200++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RESET_RTN_REQ,Reset routine request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_RTN_REQ,Reset routine request" "0,1" rgroup.word 0xC204++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RESET_IRQ,Rx reset interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET,Rx reset interrupt" "0,1" rgroup.word 0xC208++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_REQ_IRQ,Rx request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ,Rx request interrupt" "0,1" rgroup.word 0xC20C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RATE_IRQ,Rx rate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ,Rx rate change interrupt request" "0,1" rgroup.word 0xC210++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_PSTATE_IRQ,Rx pstate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ,Rx pstate change interrupt request" "0,1" rgroup.word 0xC214++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" "0,1" rgroup.word 0xC218++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" "0,1" group.word 0xC21C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RESET_IRQ_CLR,RX reset interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET_IRQ_CLR,RX reset interrupt clear (self-clearing)" "0,1" group.word 0xC220++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_REQ_IRQ_CLR,RX request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ_IRQ_CLR,RX request interrupt clear (self-clearing)" "0,1" group.word 0xC224++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RATE_IRQ_CLR,RX rate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ_CLR,RX rate change interrupt clear (self-clearing)" "0,1" group.word 0xC228++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear (self-clearing)" "0,1" group.word 0xC22C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear (self-clearing)" "0,1" group.word 0xC230++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear (self-clearing)" "0,1" group.word 0xC234++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_IRQ_MASK,Interrupt Mask" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "LANE_XCVR_MODE_IRQ_MSK,Mask for lane_xcvr_mode interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 5. "RX_RESET_IRQ_MSK,Mask for Rx reset interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 4. "RX_ADAPT_DIS_IRQ_MSK,Mask for Rx adaptation disable interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 3. "RX_ADAPT_REQ_IRQ_MSK,Mask for Rx adaptation request interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 2. "RX_PSTATE_IRQ_MSK,Mask for Rx pstate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 1. "RX_RATE_IRQ_MSK,Mask for Rx rate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 0. "RX_REQ_IRQ_MSK,Mask for Rx request interrupt (0 = cannot interrupt)" "cannot interrupt),?" rgroup.word 0xC238++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" "0,1" group.word 0xC23C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear (self-clearing)" "0,1" group.word 0xC280++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_LANE_OVRD_IN,Override values for incoming LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_IN,Override value for lane_mpllb_en_in" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_IN,Override value for lane_mplla_en_in" "0,1" group.word 0xC284++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_LANE_OVRD_OUT,Override values for outgoing LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_OUT,Override value for lane_mpllb_en_out" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_OUT,Override value for lane_mplla_en_out" "0,1" group.word 0xC288++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_OVRD_IN,Override values for incoming SUP controls from PMA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "SUP_STATE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "MPLLB_STATE,Override value for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Override value for mplla_state" "0,1" rgroup.word 0xC28C++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_PMA_IN,Current values for incoming MPLL status controls from PMA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "MPLLB_STATE,Value from PMA for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Value from PMA for mplla_state" "0,1" group.word 0xC290++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 2. "TX_RESET_OVRD_VAL,Override value for tx_reset" "0,1" newline bitfld.word 0x0 1. "TX_REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 0. "TX_REQ_OVRD_VAL,Override value for tx_req" "0,1" rgroup.word 0xC294++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_TX_PMA_IN,Current values for coming TX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for tx_ack" "0,1" group.word 0xC298++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "RX_RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 2. "RX_RESET_OVRD_VAL,Override value for rx_reset" "0,1" newline bitfld.word 0x0 1. "RX_REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 0. "RX_REQ_OVRD_VAL,Override value for rx_req" "0,1" rgroup.word 0xC29C++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_RX_PMA_IN,Current values for coming RX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for rx_ack" "0,1" group.word 0xC2A0++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_LANE_RTUNE_CTL,Lane Rtune Controls" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_RTUNE_REQ,Lane value for rtune_req" "0,1" rgroup.word 0xC2A4++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_PMA_IN_1,Current values for incoming RTUNE status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RTUNE_ACK,Value from PMA for rtune_ack" "0,1" rgroup.word 0xC2A8++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_PMA_RX_VALID,Current value of RX valid from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_VALID,Value of RX_VALID" "0,1" group.word 0xC300++0x1 line.word 0x0 "RAWLANE0_DIG_TX_CTL_TX_FSM_CTL,TX FSM Control" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P1_ALLOW_RXDET,If asserted then rxdet request is allowed in P1" "0,1" newline bitfld.word 0x0 6. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed in P2" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_WAIT_MPLL_OFF_TIME,Number of ref_range cycles to wait for MPLL to turn off (When entering P2)." group.word 0xC304++0x1 line.word 0x0 "RAWLANE0_DIG_TX_CTL_TX_CLK_CTL,Select clock to act as TX input clock" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 1.--4. 1. "TX_CLK_SEL,Select clock source for tx_pma_clk 0 - tx_pcs_clk (input clock from pcs) 1 - mplla_word_clk 2 - mplla_dword_clk 3 - mplla_qword_clk 4 - mplla_oword_clk 5 - mplla_div_clk 6 - mpllb_word_clk 7 - mpllb_dword_clk 8 - mpllb_qword_clk 9 -.." newline bitfld.word 0x0 0. "TX_CLK_EN,Enable the tx_clk to pma tx lane TX_CLK_EN must be deasserted when switching TX_CLK_SEL" "0,1" group.word 0xC380++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_RX_FSM_CTL,RX FSM control register" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RATE_CHG_IN_P1,When asserted then a rate change in P0/P0s will be sequenced such that the RX is put in P1 the rate change is applied and then the RX is returned to P0/P0s." "0,1" newline bitfld.word 0x0 0. "EN_RX_CTL_FSM,Enable the RX control FSM in the Raw PCS If enabled then when FSM detects a rate change it moves the RX to P1 does the rate change then goes back to P0/P0s. If not enabled then FSM is by-passed." "0,1" group.word 0xC384++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_RX_LOS_MASK_CTL,RX LOS Mask Control" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_MASK_CNT,Number of cycles (ref_range_clk) to mask out the rx_los output from the time the los is powered-on. Default set for minimum 10us." group.word 0xC388++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_RX_DATA_EN_OVRD_CTL,RX Data Enable Override Control" newline hexmask.word 0x0 5.--15. 1. "INT_REF_TRCK_CNT,Number of ref_range cycles to wait for integral reference tracking to settle." newline hexmask.word.byte 0x0 0.--4. 1. "RX_DATA_EN_OVRD_CNT,Number of ref_range cycles to override rx_data_en to 1." rgroup.word 0xC38C++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_OFFCAN_CONT_STATUS,RX continuous offset cancellation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous offset cancellation" "0,1" rgroup.word 0xC390++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_ADAPT_CONT_STATUS,RX continuous adaptation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous adaptation" "0,1" group.word 0xC400++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_OVRD_IN,Override values for incoming TX controls from PCS" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "OVRD_EN,Override enable for all input signals below" "0,1" newline bitfld.word 0x0 11. "MSTR_MPLLB_STATE,Override value for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 10. "MSTR_MPLLA_STATE,Override value for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 9. "MPLL_EN,Override value for tx_mpll_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--4. "WIDTH,Override value for tx_width" "0,1,2,3" newline bitfld.word 0x0 2. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 0.--1. "PSTATE,Override value for tx_pstate" "0,1,2,3" group.word 0xC404++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_OVRD_IN_1,Override values for incoming TX controls from PCS. register #1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "IBOOST_LVL_OVRD_EN,Override enable for tx_iboost_lvl[3:0]" "0,1" newline hexmask.word.byte 0x0 8.--11. 1. "IBOOST_LVL_OVRD_VAL,Override value for tx_iboost_lvl[3:0]" newline bitfld.word 0x0 7. "VBOOST_EN_OVRD_EN,Override enable for tx_vboost_en" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_OVRD_VAL,Override value for tx_vboost_en" "0,1" newline bitfld.word 0x0 5. "DETRX_REQ_OVRD_EN,Override enable for tx_detrx_req" "0,1" newline bitfld.word 0x0 4. "DETRX_REQ_OVRD_VAL,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for tx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for tx_reset" "0,1" rgroup.word 0xC408++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_PCS_IN,Current values for incoming TX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "DETRX_REQ,Value from PCS for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MSTR_MPLLB_STATE,Value from PCS for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 12. "MSTR_MPLLA_STATE,Value from PCS for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 11. "MPLL_EN,Value from PCS for tx_mpll_en" "0,1" newline bitfld.word 0x0 10. "MPLLB_SEL,Value from PCS for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 7.--9. "RATE,Value from PCS for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5.--6. "WIDTH,Value from PCS for tx_width" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from PCS for tx_lpd" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Value from PCS for tx_pstate" "0,1,2,3" newline bitfld.word 0x0 1. "REQ,Value from PCS for tx_req" "0,1" newline bitfld.word 0x0 0. "RESET,Value from PCS for tx_reset" "0,1" group.word 0xC40C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PCS" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 1. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for tx_ack" "0,1" rgroup.word 0xC410++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_PCS_OUT,Current values for outgoing TX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for tx_ack" "0,1" group.word 0xC414++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN,Override values for incoming RX controls from PCS" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 8. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 7. "OVRD_EN,Enable override values for all fields in this register" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for rx_lpd" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 2.--3. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 0.--1. "RATE,Override value for rx_rate" "0,1,2,3" group.word 0xC418++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN_1,Override values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for rx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for rx_reset" "0,1" group.word 0xC41C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN_2,Override values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 15. "VCO_LOWFREQ_VAL_OVRD_EN,Enable override for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 14. "VCO_LOWFREQ_VAL_OVRD,Override value for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 13. "VCO_LD_VAL_OVRD_EN,Enable override for rx_vco_ld_val" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL_OVRD,Override value for rx_vco_ld_val" group.word 0xC420++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN_3,Override values for incoming RX controls from PCS. register #3" newline bitfld.word 0x0 15. "CONT_OVRD_EN,Enable override values for rx_adapt_cont and rx_offcan_cont" "0,1" newline bitfld.word 0x0 14. "OFFCAN_CONT,Override value for rx_offcan_cont" "0,1" newline bitfld.word 0x0 13. "ADAPT_CONT,Override value for rx_adapt_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_REQ_OVRD_EN,Enable override values for rx_adapt_req" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Override value for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "REF_LD_VAL_OVRD_EN,Enable override for rx_ref_ld_val" "0,1" newline hexmask.word.byte 0x0 4.--9. 1. "REF_LD_VAL_OVRD,Override value for rx_ref_ld_val" newline bitfld.word 0x0 3. "RX_LOS_THRSHLD_OVRD_EN,Enable override for rx_los_threshold" "0,1" newline bitfld.word 0x0 0.--2. "RX_LOS_THRSHLD_OVRD_VAL,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" rgroup.word 0xC424++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN,Current values for incoming RX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "RESET,Value from PCS for rx_reset" "0,1" newline bitfld.word 0x0 13. "OFFCAN_CONT,Value from PCS for rx_offcan_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_CONT,Value from PCS for rx_adapt_cont" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Value from PCS for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "ADAPT_DFE_EN,Value from PCS for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 9. "ADAPT_AFE_EN,Value from PCS for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 8. "CDR_VCO_LOWFREQ,Value from PCS for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 7. "LPD,Value from PCS for rx_lpd" "0,1" newline bitfld.word 0x0 5.--6. "PSTATE,Value from PCS for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3.--4. "WIDTH,Value from PCS for rx_width" "0,1,2,3" newline bitfld.word 0x0 1.--2. "RATE,Value from PCS for rx_rate" "0,1,2,3" newline bitfld.word 0x0 0. "REQ,Value from PCS for rx_req" "0,1" rgroup.word 0xC428++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_1,Current values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "REF_LD_VAL,Value from PCS for rx_ref_ld_val" rgroup.word 0xC42C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_2,Current values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL,Value from PCS for rx_vco_ld_val" rgroup.word 0xC430++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_3,Current values for incoming RX controls from PCS. register #3" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "EQ_VGA2_GAIN,Value from ASIC for rx_eq_vga2_gain" newline hexmask.word.byte 0x0 3.--6. 1. "EQ_VGA1_GAIN,Value from ASIC for rx_eq_vga1_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0xC434++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_4,Current values for incoming RX controls from PCS. register #4" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 3.--10. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline bitfld.word 0x0 0.--2. "EQ_CTLE_POLE,Value from ASIC for rx_eq_ctle_pole" "0,1,2,3,4,5,6,7" group.word 0xC438++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PCS" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0xC43C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_OUT,Current values for outgoing RX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for rx_ack" "0,1" group.word 0xC440++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_ADAPT_ACK,RX Adaptation Acknowledge" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_ACK,RX Adaptation Acknowledge" "0,1" group.word 0xC444++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_ADAPT_FOM,RX Adaptation Figure of Merit" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,RX Adaptation Figure of Merit" group.word 0xC448++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_TXPRE_DIR,RX calculated direction for TX-pre" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPRE_DIR,RX calculated direction for TX-pre 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC44C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_TXMAIN_DIR,RX calculated direction for TX-Main" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXMAIN_DIR,RX calculated direction for TX-Main 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC450++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_TXPOST_DIR,RX calculated direction for TX-Post" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPOST_DIR,RX calculated direction for TX-Post 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" rgroup.word 0xC454++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_LANE_NUMBER,Current lane number" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "LANE_NUMBER,Current lane number" group.word 0xC458++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_LANE_XCVR_MODE_OVRD_IN,Override incoming values for lane_xcvr_mode" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_XCVR_MODE_OVRD_EN,Enable override value for lane_xcvr_mode" "0,1" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE_OVRD_VAL,Override value for lane_xcvr_mode" "0,1,2,3" rgroup.word 0xC45C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_LANE_XCVR_MODE_IN,Lane transceiver mode status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE,Lane transceiver mode Determines whether this lane is being used as Tx Rx or Tx/Rx 00 - Reserved 01 - Lane is used for Tx only 10 - Lane is used for Rx only 11 - Lane is used for Tx/Rx" "Reserved,Lane is used for Tx only,?,?" group.word 0xC460++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_ATE_OVRD_IN,ATE Override input to control top-level inputs" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_ADAPT_DFE_EN_OVRD_EN,Enable override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 10. "RX_ADAPT_DFE_EN_OVRD_VAL,Override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 9. "RX_ADAPT_AFE_EN_OVRD_EN,Enable override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 8. "RX_ADAPT_AFE_EN_OVRD_VAL,Override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 7. "TX_REQ_ATE_OVRD_EN,Enable override value for tx_req input" "0,1" newline bitfld.word 0x0 6. "TX_REQ_ATE_OVRD_VAL,Override value for top-level tx_req input" "0,1" newline bitfld.word 0x0 5. "RX_REQ_ATE_OVRD_EN,Enable override value for rx_req input" "0,1" newline bitfld.word 0x0 4. "RX_REQ_ATE_OVRD_VAL,Override value for top-level rx_req input" "0,1" newline bitfld.word 0x0 3. "TX_RESET_ATE_OVRD_EN,Enable override value for tx_reset input" "0,1" newline bitfld.word 0x0 2. "TX_RESET_ATE_OVRD_VAL,Override value for top-level tx_reset input" "0,1" newline bitfld.word 0x0 1. "RX_RESET_ATE_OVRD_EN,Enable override value for rx_reset input" "0,1" newline bitfld.word 0x0 0. "RX_RESET_ATE_OVRD_VAL,Override value for top-level rx_reset input" "0,1" group.word 0xC464++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_EQ_DELTA_IQ_OVRD_IN,Override incoming values for rx_eq_delta_iq" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RX_EQ_DELTA_IQ_OVRD_EN,Enable override value for rx_eq_delta_iq" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_DELTA_IQ_OVRD_VAL,Override value for rx_eq_delta_iq" group.word 0xC468++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TXRX_TERM_CTRL_OVRD_IN,Override incoming values for tx/rx_term_ctrl" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "TX_TERM_CTRL_OVRD_EN,Enable override value for tx_term_ctrl" "0,1" newline bitfld.word 0x0 4.--6. "TX_TERM_CTRL_OVRD_VAL,Override value for tx_term_ctrl" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "RX_TERM_CTRL_OVRD_EN,Enable override value for rx_term_ctrl" "0,1" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL_OVRD_VAL,Override value for rx_term_ctrl" "0,1,2,3,4,5,6,7" rgroup.word 0xC46C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TXRX_TERM_CTRL_IN,tx/rx_term_ctrl status" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "TX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" group.word 0xC470++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_OUT_1,Override values for outgoing RX controls to PCS. register #1" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CLK_EN,Enable the outging rx_clk" "0,1" group.word 0xC474++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_EQ_OVRD_IN_1,Override values for incoming RX EQ controls from PCS. register #1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_EQ_OVRD_EN,Enable override values for all RX EQ settings" "0,1" newline bitfld.word 0x0 4.--6. "RX_EQ_ATT_LVL_OVRD_VAL,Override value for rx_eq_att_lvl[2:0]" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_AFE_GAIN_OVRD_VAL,Override value for rx_eq_afe_gain[3:0]" group.word 0xC478++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_EQ_OVRD_IN_2,Override values for incoming RX EQ controls from PCS. register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 8.--12. 1. "RX_EQ_CTLE_BOOST_OVRD_VAL,Override value for rx_eq_ctle_boost[4:0]" newline hexmask.word.byte 0x0 0.--7. 1. "RX_EQ_DFE_TAP1_OVRD_VAL,Override value for rx_eq_dfe_tap1[7:0]" group.word 0xC47C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_OUT_2,Override value for RX VALID/DATA_EN/DATA_EN_ATE signal from PCS" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RX_RATE_ATE_OVRD_EN,Override enable for rx_rate_ate" "0,1" newline bitfld.word 0x0 8.--9. "RX_RATE_ATE_OVRD_VAL,Override value for rx_rate_ate" "0,1,2,3" newline bitfld.word 0x0 7. "RX_CDR_TRACK_EN_ATE_OVRD_EN,Override enable for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 6. "RX_CDR_TRACK_EN_ATE_OVRD_VAL,Override value for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 5. "RX_DATA_EN_ATE_OVRD_EN,Override enable for rx_data_en_ate" "0,1" newline bitfld.word 0x0 4. "RX_DATA_EN_ATE_OVRD_VAL,Override value for rx_data_en_ate" "0,1" newline bitfld.word 0x0 3. "RX_DATA_EN_OVRD_EN,Override enable for rx_data_en" "0,1" newline bitfld.word 0x0 2. "RX_DATA_EN_OVRD_VAL,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "RX_VALID_OVRD_EN,Override enable for rx_valid" "0,1" newline bitfld.word 0x0 0. "RX_VALID_OVRD_VAL,Override value for rx_valid" "0,1" group.word 0xC480++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FSM_OVRD_CTL,FSM override control register" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FSM_OVRD_EN,Enable overriding the FSM execution of commands Must be asserted to use FSM_CMD_START and FSM_JMP_EN features" "0,1" newline bitfld.word 0x0 13. "FSM_CMD_START,Start executing the new command This is a self-clearing bit" "0,1" newline bitfld.word 0x0 12. "FSM_JMP_EN,Force the FSM to jump to FSM_JMP_ADDR in the program memory Is applied when FSM_CMD_START is pulsed." "0,1" newline hexmask.word 0x0 0.--11. 1. "FSM_JMP_ADDR,The jump address used when FSM_JUMP_EN=1 The address is encoded as follows: [11:8] mem_lane [7:5] bank [4:0] register" rgroup.word 0xC484++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_MEM_ADDR_MON,Memory Address Monitor" newline hexmask.word 0x0 0.--15. 1. "MEM_ADDR,Current value of memory address used in Lane FSM" rgroup.word 0xC488++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_STATUS_MON,FSM Status Monitor" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RDMSK_DISABLED,Check if read mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 9. "WRMSK_DISABLED,Check if write mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 8. "WAIT_CNT_EQ0,Check if wait counter currently equals zero" "0,1" newline bitfld.word 0x0 7. "ALU_RES_EQ0,Check if ALU result register currently equals zero" "0,1" newline bitfld.word 0x0 6. "ALU_OVFLW,Current value of ALU overflow bit" "0,1" newline bitfld.word 0x0 5. "CMD_RDY,New command is ready for execution (applicable when FSM_OVRD_EN=1)" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "STATE,Current state of Lane FSM" rgroup.word 0xC48C++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_STARTUP_CAL,Status of Fast RX Start Up Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Status of fast RX start-up calibration" "0,1" rgroup.word 0xC490++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_ADAPT,Status of Fast RX Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ADAPT,Status of fast RX adaptation" "0,1" rgroup.word 0xC494++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_AFE_CAL,Status of Fast RX AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_CAL,Status of fast RX AFE DAC start-up calibration" "0,1" rgroup.word 0xC498++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_DFE_CAL,Status of Fast RX DFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_CAL,Status of fast RX DFE slicer start-up calibration" "0,1" rgroup.word 0xC49C++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" rgroup.word 0xC4A0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_REFLVL_CAL,Status of Fast RX Reference Level Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_REFLVL_CAL,Status of fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" rgroup.word 0xC4A4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_IQ_CAL,Status of Fast RX IQ Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_CAL,Status of fast RX IQ start-up calibration" "0,1" rgroup.word 0xC4A8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_AFE_ADAPT,Status of Fast RX AFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_ADAPT,Status of fast RX AFE DAC start-up adaptation" "0,1" rgroup.word 0xC4AC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_DFE_ADAPT,Status of Fast RX DFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_ADAPT,Status of fast RX DFE DAC start-up adaptation" "0,1" rgroup.word 0xC4B0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_SUP,Status of Fast Support block" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_SUP,Status of fast Support block (MPLL and Rtune)" "0,1" rgroup.word 0xC4B4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_TX_CMN_MODE,Status of Fast TX Common-mode Charge-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_CMN_MODE,Status of fast TX Common-mode Charge-up" "0,1" rgroup.word 0xC4B8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_TX_RXDET,Status of Fast TX detect RX" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_RXDET,Status of fast TX detect RX" "0,1" rgroup.word 0xC4BC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_PWRUP,Status of Fast RX Power-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_PWRUP,Status of fast RX Power-up (LOS VREG/AFE and DCC)" "0,1" rgroup.word 0xC4C0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_VCO_WAIT,Status of Fast RX VCO Wait Times" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_WAIT,Status of fast RX VCO wait times" "0,1" rgroup.word 0xC4C4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_VCO_CAL,Status of Fast RX VCO Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_CAL,Status of fast RX VCO Calibration" "0,1" rgroup.word 0xC4C8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_CMNCAL_MPLL_STATUS,Status of MPLL common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC4CC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_CAL_ADAPT,Status of Fast RX Continuous Calibration/Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Status of fast RX continuous calibration/adaptation" "0,1" rgroup.word 0xC4D0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_ADAPT,Status of Fast RX Continuous Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_ADAPT,Status of fast RX continuous adaptation" "0,1" rgroup.word 0xC4D4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_DATA_CAL,Status of Fast RX Continuous Data Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_DATA_CAL,Status of fast RX continuous data calibration" "0,1" rgroup.word 0xC4D8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_PHASE_CAL,Status of Fast RX Continuous Phase Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_PHASE_CAL,Status of fast RX continuous phase calibration" "0,1" rgroup.word 0xC4DC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_AFE_CAL,Status of Fast RX Continuous AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_AFE_CAL,Status of fast RX continuous AFE calibration" "0,1" rgroup.word 0xC4E0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" "0,1" rgroup.word 0xC4E4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_RX_IQ_DELTA_ADD,Status of RX Delta addition" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_IQ_DELTA_ADD,Reserved" "0,1" rgroup.word 0xC4E8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" rgroup.word 0xC4EC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" group.word 0xC4F0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_CR_REG_OP_XTND_EN,CR interface timing extension enable" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "CR_REG_OP_XTND_EN,CR interface timing extension enable 1'b0 - No Timing extension 1'b1 - Timing extension" "No Timing extension,Timing extension" group.word 0xC4F4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_TX_EQ_UPDATE_FLAG,TX Eq update flag" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "TX_EQ_UPDATE_FLAG,Tx Eq update flag 1'b0 - Update tx eq post 1'b1 - Update tx eq pre" "Update tx eq post,Update tx eq pre" rgroup.word 0xC4F8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_CMNCAL_RCAL_STATUS,Status of RTUNE common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC4FC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" group.word 0xC500++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_ATT_IDAC_OFST,Offset value for RX AFE ATT iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_IDAC_OFST,Offset value for AFE ATT iDAC" group.word 0xC504++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_CTLE_IDAC_OFST,Offset value for RX AFE CTLE iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_IDAC_OFST,Offset value for AFE CTLE iDAC" group.word 0xC508++0x1 line.word 0x0 "RAWLANE1_DIG_AON_ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" group.word 0xC50C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" group.word 0xC510++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_CTLE_LBK_IDAC_OFST,Offset values for RX CTLE Loopback path iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_LBK_IDAC_OFST,Offset value for RX CTLE Loopback path iDAC" group.word 0xC514++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_PHASE_EVEN_VDAC_OFST,Offset values for RX DFE Phase Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_EVEN_VDAC_OFST,Offset value for DFE Phase Even vDAC" group.word 0xC518++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_PHASE_ODD_VDAC_OFST,Offset values for RX DFE Phase Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_ODD_VDAC_OFST,Offset value for DFE Phase Odd vDAC" group.word 0xC51C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_EVEN_REF_LVL,DFE Even reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_EVEN_REF_LVL,DFE Even reference level" group.word 0xC520++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_ODD_REF_LVL,DFE Odd reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ODD_REF_LVL,DFE Odd reference level" group.word 0xC524++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN,RX Phase Adjust Linear Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_LIN,Linear value for RX phase adjust" rgroup.word 0xC528++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_MAP,RX Phase Adjust Mapped Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_MAP,Mapped value for RX phase adjust" group.word 0xC52C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset values for RX DFE Data Even High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset value for DFE Data Even High vDAC" group.word 0xC530++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_EVEN_LOW_VDAC_OFST,Offset values for RX DFE Data Even Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_EVEN_LOW_VDAC_OFST,Offset value for DFE Data Even Low vDAC" group.word 0xC534++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_ODD_HIGH_VDAC_OFST,Offset values for RX DFE Data Odd High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_HIGH_VDAC_OFST,Offset value for DFE Data Odd High vDAC" group.word 0xC538++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_ODD_LOW_VDAC_OFST,Offset values for RX DFE Data Odd Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_ODD_LOW_VDAC_OFST,Offset value for DFE Data Odd Low vDAC" group.word 0xC53C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_BYPASS_EVEN_VDAC_OFST,Offset values for RX DFE By-Pass Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_EVEN_VDAC_OFST,Offset value for DFE By-Pass Even vDAC" group.word 0xC540++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_BYPASS_ODD_VDAC_OFST,Offset values for RX DFE By-Pass Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_ODD_VDAC_OFST,Offset value for DFE By-Pass Odd vDAC" group.word 0xC544++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0xC548++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" group.word 0xC54C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" group.word 0xC550++0x1 line.word 0x0 "RAWLANE1_DIG_AON_MPLLA_COARSE_TUNE,MPLLA_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLA_COARSE_TUNE,Stored coarse tune value for MPLLA" group.word 0xC554++0x1 line.word 0x0 "RAWLANE1_DIG_AON_MPLLB_COARSE_TUNE,MPLLB_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLB_COARSE_TUNE,Stored coarse tune value for MPLLB" group.word 0xC558++0x1 line.word 0x0 "RAWLANE1_DIG_AON_INIT_PWRUP_DONE,Initial Power-Up Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "INIT_PWRUP_DONE,Indicates whether initial power-up has completed or not." "0,1" group.word 0xC55C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_ATT,RX Adapted value of ATT" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_VAL,Stored RX adapted ATT value" group.word 0xC560++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_VGA,RX Adapted value of VGA" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_VAL,Stored RX adapted VGA value" group.word 0xC564++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_CTLE,RX Adapted value of CTLE" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_VAL,Stored RX adapted CTLE pole value" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_VAL,Stored RX adapted CTLE boost value" group.word 0xC568++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_DFE_TAP1,RX Adapted value of DFE TAP1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_VAL,Stored RX adapted DFE TAP1 value" group.word 0xC56C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADAPT_DONE,RX Adaptation Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DONE,Indicates whether RX adaptation has completed or not." "0,1" group.word 0xC570++0x1 line.word 0x0 "RAWLANE1_DIG_AON_FAST_FLAGS,Fast flags for simulation only" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FAST_RX_VCO_CAL,Enable fast RX VCO Calibration" "0,1" newline bitfld.word 0x0 13. "FAST_RX_VCO_WAIT,Enable fast RX VCO wait times" "0,1" newline bitfld.word 0x0 12. "FAST_RX_PWRUP,Enable fast RX power-up (LOS VREG/AFE and DCC)" "0,1" newline bitfld.word 0x0 11. "FAST_TX_RXDET,Enable fast TX Detect RX" "0,1" newline bitfld.word 0x0 10. "FAST_TX_CMN_MODE,Enable fast TX Common Mode Charge-up" "0,1" newline bitfld.word 0x0 9. "FAST_SUP,Enable fast Support block (MPLL and Rtune)" "0,1" newline bitfld.word 0x0 8. "FAST_RX_DFE_ADAPT,Enables fast RX DFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_AFE_ADAPT,Enables fast RX AFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 6. "FAST_RX_IQ_CAL,Enables fast RX IQ start-up calibration" "0,1" newline bitfld.word 0x0 5. "FAST_RX_REFLVL_CAL,Enables fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" newline bitfld.word 0x0 4. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" newline bitfld.word 0x0 3. "FAST_RX_DFE_CAL,Enables fast RX DFE slicer start-up calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_AFE_CAL,Enables fast RX AFE DAC start-up calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_ADAPT,Enables fast RX adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Enables fast RX start-up calibration" "0,1" group.word 0xC574++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_DFE_TAP2,RX Adapted value of DFE TAP2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_VAL,Stored RX adapted DFE TAP2 value" group.word 0xC578++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN_RIGHT,RX last stable iq phase of Right of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_RIGHT,Stored RX iq phase right edge" group.word 0xC57C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN_LEFT,RX last stable iq phase of Left of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_LEFT,Stored RX iq phase left edge" group.word 0xC580++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN_ADAPT,RX Adapted value of PHASE IQ" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_ADAPT,Stored RX adapted IQ value" group.word 0xC584++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0xC588++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0xC58C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_LANE_CMNCAL_MPLL_STATUS,MPLL Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by this lane or not." "0,1" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C ) group.word ($2+0xC590)++0x1 line.word 0x0 "RAWLANE1_DIG_AON_ADPT_CTL_$1,Adaptation Control register #0" newline hexmask.word 0x0 0.--15. 1. "VAL,Value of adaptation control" repeat.end group.word 0xC5B0++0x1 line.word 0x0 "RAWLANE1_DIG_AON_MPLL_DISABLE,LANE_MPLLA/B_DISABLE override" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_MPLLB_DISABLE,Disable MPLLB" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_DISABLE,Disable MPLLA" "0,1" group.word 0xC5B4++0x1 line.word 0x0 "RAWLANE1_DIG_AON_FAST_FLAGS_2,Fast flags for simulation only" newline hexmask.word.byte 0x0 12.--15. 1. "RSVD_FAST_FLAGS,Reserved fast flags" newline bitfld.word 0x0 11. "SKIP_IQ_STEP_SKIP,Skip the IQ step skip option" "0,1" newline bitfld.word 0x0 10. "SKIP_250US_WAIT,Skip bit for USB Gen1 250us wait FW WA" "0,1" newline bitfld.word 0x0 9. "WA_ATT_VCM_ISSUE_MODE,Workaround en or disable STAR 9001169835" "0,1" newline bitfld.word 0x0 8. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" newline bitfld.word 0x0 6. "RX_IQ_DELTA_ADD,Enables RX IQ DELTA addition" "0,1" newline bitfld.word 0x0 5. "FAST_RX_IQ_ADAPT,Enables fast RX IQ ADAPT" "0,1" newline bitfld.word 0x0 4. "FAST_RX_CONT_AFE_CAL,Enables fast RX continuous AFE calibration" "0,1" newline bitfld.word 0x0 3. "FAST_RX_CONT_PHASE_CAL,Enables fast RX continuous phase calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_CONT_DATA_CAL,Enables fast RX continuous data calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_CONT_ADAPT,Enables fast RX continuous adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Enables fast RX continuous calibration/adaptation" "0,1" group.word 0xC5B8++0x1 line.word 0x0 "RAWLANE1_DIG_AON_LANE_CMNCAL_RCAL_STATUS,RTUNE Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by this lane or not." "0,1" group.word 0xC5BC++0x1 line.word 0x0 "RAWLANE1_DIG_AON_TXRX_OVRD_IN,Override values for incoming AON TX/RX controls from PCS" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_DISABLE_OVRD_EN,Override enable for tx_disable" "0,1" newline bitfld.word 0x0 2. "TX_DISABLE_OVRD_VAL,Override value for tx_disable" "0,1" newline bitfld.word 0x0 1. "RX_DISABLE_OVRD_EN,Override enable for rx_disable" "0,1" newline bitfld.word 0x0 0. "RX_DISABLE_OVRD_VAL,Override value for rx_disable" "0,1" group.word 0xC5C0++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_ATT_2_IDAC_OFST,Offset value for RX AFE ATT_2 iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_2_IDAC_OFST,Offset value for AFE ATT_2 iDAC when cc is 1 in ana typec projects" group.word 0xC5C4++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RXTX_CC_OVRD_IN,Override incoming values for rxtx_cc" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RXTX_CC_OVRD_EN,Enable override value for rxtx_cc" "0,1" newline bitfld.word 0x0 0. "RXTX_CC_OVRD_VAL,Override value for rxtx_cc" "0,1" rgroup.word 0xC5C8++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RXTX_CC_STATUS_IN,Incoming value of CC status from TCA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_IN_I,Value from TCA of cc" "0,1" rgroup.word 0xC5CC++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RXTX_CC_STATUS_OUT,Current values for outgoing CC status to PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_OUT,Value to PMA of cc" "0,1" group.word 0xC600++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RESET_RTN_REQ,Reset routine request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_RTN_REQ,Reset routine request" "0,1" rgroup.word 0xC604++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RESET_IRQ,Rx reset interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET,Rx reset interrupt" "0,1" rgroup.word 0xC608++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_REQ_IRQ,Rx request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ,Rx request interrupt" "0,1" rgroup.word 0xC60C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RATE_IRQ,Rx rate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ,Rx rate change interrupt request" "0,1" rgroup.word 0xC610++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_PSTATE_IRQ,Rx pstate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ,Rx pstate change interrupt request" "0,1" rgroup.word 0xC614++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" "0,1" rgroup.word 0xC618++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" "0,1" group.word 0xC61C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RESET_IRQ_CLR,RX reset interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET_IRQ_CLR,RX reset interrupt clear (self-clearing)" "0,1" group.word 0xC620++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_REQ_IRQ_CLR,RX request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ_IRQ_CLR,RX request interrupt clear (self-clearing)" "0,1" group.word 0xC624++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RATE_IRQ_CLR,RX rate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ_CLR,RX rate change interrupt clear (self-clearing)" "0,1" group.word 0xC628++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear (self-clearing)" "0,1" group.word 0xC62C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear (self-clearing)" "0,1" group.word 0xC630++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear (self-clearing)" "0,1" group.word 0xC634++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_IRQ_MASK,Interrupt Mask" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "LANE_XCVR_MODE_IRQ_MSK,Mask for lane_xcvr_mode interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 5. "RX_RESET_IRQ_MSK,Mask for Rx reset interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 4. "RX_ADAPT_DIS_IRQ_MSK,Mask for Rx adaptation disable interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 3. "RX_ADAPT_REQ_IRQ_MSK,Mask for Rx adaptation request interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 2. "RX_PSTATE_IRQ_MSK,Mask for Rx pstate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 1. "RX_RATE_IRQ_MSK,Mask for Rx rate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 0. "RX_REQ_IRQ_MSK,Mask for Rx request interrupt (0 = cannot interrupt)" "cannot interrupt),?" rgroup.word 0xC638++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" "0,1" group.word 0xC63C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear (self-clearing)" "0,1" group.word 0xC680++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_LANE_OVRD_IN,Override values for incoming LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_IN,Override value for lane_mpllb_en_in" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_IN,Override value for lane_mplla_en_in" "0,1" group.word 0xC684++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_LANE_OVRD_OUT,Override values for outgoing LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_OUT,Override value for lane_mpllb_en_out" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_OUT,Override value for lane_mplla_en_out" "0,1" group.word 0xC688++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_OVRD_IN,Override values for incoming SUP controls from PMA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "SUP_STATE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "MPLLB_STATE,Override value for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Override value for mplla_state" "0,1" rgroup.word 0xC68C++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_PMA_IN,Current values for incoming MPLL status controls from PMA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "MPLLB_STATE,Value from PMA for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Value from PMA for mplla_state" "0,1" group.word 0xC690++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 2. "TX_RESET_OVRD_VAL,Override value for tx_reset" "0,1" newline bitfld.word 0x0 1. "TX_REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 0. "TX_REQ_OVRD_VAL,Override value for tx_req" "0,1" rgroup.word 0xC694++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_TX_PMA_IN,Current values for coming TX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for tx_ack" "0,1" group.word 0xC698++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "RX_RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 2. "RX_RESET_OVRD_VAL,Override value for rx_reset" "0,1" newline bitfld.word 0x0 1. "RX_REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 0. "RX_REQ_OVRD_VAL,Override value for rx_req" "0,1" rgroup.word 0xC69C++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_RX_PMA_IN,Current values for coming RX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for rx_ack" "0,1" group.word 0xC6A0++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_LANE_RTUNE_CTL,Lane Rtune Controls" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_RTUNE_REQ,Lane value for rtune_req" "0,1" rgroup.word 0xC6A4++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_PMA_IN_1,Current values for incoming RTUNE status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RTUNE_ACK,Value from PMA for rtune_ack" "0,1" rgroup.word 0xC6A8++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_PMA_RX_VALID,Current value of RX valid from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_VALID,Value of RX_VALID" "0,1" group.word 0xC700++0x1 line.word 0x0 "RAWLANE1_DIG_TX_CTL_TX_FSM_CTL,TX FSM Control" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P1_ALLOW_RXDET,If asserted then rxdet request is allowed in P1" "0,1" newline bitfld.word 0x0 6. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed in P2" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_WAIT_MPLL_OFF_TIME,Number of ref_range cycles to wait for MPLL to turn off (When entering P2)." group.word 0xC704++0x1 line.word 0x0 "RAWLANE1_DIG_TX_CTL_TX_CLK_CTL,Select clock to act as TX input clock" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 1.--4. 1. "TX_CLK_SEL,Select clock source for tx_pma_clk 0 - tx_pcs_clk (input clock from pcs) 1 - mplla_word_clk 2 - mplla_dword_clk 3 - mplla_qword_clk 4 - mplla_oword_clk 5 - mplla_div_clk 6 - mpllb_word_clk 7 - mpllb_dword_clk 8 - mpllb_qword_clk 9 -.." newline bitfld.word 0x0 0. "TX_CLK_EN,Enable the tx_clk to pma tx lane TX_CLK_EN must be deasserted when switching TX_CLK_SEL" "0,1" group.word 0xC780++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_RX_FSM_CTL,RX FSM control register" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RATE_CHG_IN_P1,When asserted then a rate change in P0/P0s will be sequenced such that the RX is put in P1 the rate change is applied and then the RX is returned to P0/P0s." "0,1" newline bitfld.word 0x0 0. "EN_RX_CTL_FSM,Enable the RX control FSM in the Raw PCS If enabled then when FSM detects a rate change it moves the RX to P1 does the rate change then goes back to P0/P0s. If not enabled then FSM is by-passed." "0,1" group.word 0xC784++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_RX_LOS_MASK_CTL,RX LOS Mask Control" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_MASK_CNT,Number of cycles (ref_range_clk) to mask out the rx_los output from the time the los is powered-on. Default set for minimum 10us." group.word 0xC788++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_RX_DATA_EN_OVRD_CTL,RX Data Enable Override Control" newline hexmask.word 0x0 5.--15. 1. "INT_REF_TRCK_CNT,Number of ref_range cycles to wait for integral reference tracking to settle." newline hexmask.word.byte 0x0 0.--4. 1. "RX_DATA_EN_OVRD_CNT,Number of ref_range cycles to override rx_data_en to 1." rgroup.word 0xC78C++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_OFFCAN_CONT_STATUS,RX continuous offset cancellation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous offset cancellation" "0,1" rgroup.word 0xC790++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_ADAPT_CONT_STATUS,RX continuous adaptation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous adaptation" "0,1" tree.end tree "PCIE_PHY_NCR" base ad:0xF1210000 group.long 0x0++0x3B line.long 0x0 "CTRL_0,CTRL_0" newline bitfld.long 0x0 24. "CR_CKEN,CR_CKEN This bit enable CR clock. 0x0 : CR clock disabled 0x1 : CR clock enabled Software need to enable this bit before any CR register access thourgh CR port." "CR clock disabled,CR clock enabled" newline bitfld.long 0x0 22.--23. "CR_PARA_SEL,Control Register (CR) parallel interface select Function: Controls selection between JTAG and CR interfaces: 0 : JTAG 1: Control Register (CR) This input can only be changed when the cr_para_clk and jtag_tck clock inputs are disabled." "JTAG,Control Register,?,?" newline bitfld.long 0x0 21. "PHY_SRAM_EXT_LD_DONE,SRAM external load done.Function: Signal asserted by user after any updates to the SRAM have been loaded." "0,1" newline bitfld.long 0x0 20. "PHY_SRAM_BYPASS,SRAM bypass Function: Control signal when asserted bypasses the SRAM interface. In this case the adaptation and calibration algorithms are executed from the hard wired values within the Raw PCS. If SRAM is not bypassed the internal.." "0,1" newline bitfld.long 0x0 19. "PG_MODE_EN,Power gating support enable. Function: Control input to enable the power gating support.When de-asserted. the control inputs related to power gating are ignored." "0,1" newline hexmask.long.word 0x0 3.--18. 1. "UPCS_PIPE_CONFIG,PCS PIPE configuration.When upcs_pipe_config[0] is set to 1 the PCS ignores lane-off via PIPE specification method (TxElecIdle = 1 and TxCompliance = 1) and responds to power-down/rate/width changes. Otherwise until the MAC deasserts.." newline bitfld.long 0x0 2. "PCS_EXT_PCLK_REQ,External PCLK request.When asserted the MPLL clock sources in the PHY are powered up and pcs_laneX_pclk outputs stay active regardless of the pcs_laneX_powerdown[3:0] inputs." "0,1" newline bitfld.long 0x0 1. "BIF_EN,BIF_EN 0x0 : Bifurcation disabled (Both Lane #0 and Lane #1 used for PCIEX2 core) 0x1 : Bifurcation enabled (Lane #0 used for PCIEX2 core and Lane #1 used for PCIEX1 core)" "Bifurcation disabled,Bifurcation enabled" newline bitfld.long 0x0 0. "PHY_RESET,PHY reset.Function: Asynchronously resets the core and all state machines.Asserting phy_reset resets all sequential elements in the design including control registers. As a result any register programming needs to be redone after phy_reset is.." "0,1" line.long 0x4 "CTRL_1,CTRL_1" newline bitfld.long 0x4 27. "PIPE_TX0_ONES_ZEROS,USB TX compliance pattern enable for lane 0" "0,1" newline bitfld.long 0x4 26. "PIPE_RX0_TERMINATION,RX termination enable for lane 0.When asserted the RX terminations are enabled." "0,1" newline bitfld.long 0x4 25. "PIPE_RX0_EQ_TRAINING,RX equalization training mode enable for lane 0" "0,1" newline bitfld.long 0x4 24. "PIPE_LANE0_CLKREQ_N,Clock request for lane 0" "0,1" newline bitfld.long 0x4 23. "PIPE_LANE0_TX2RX_LOOPBK,TX-to-RX loopback enable for lane 0.When asserted this input turns on the TX-to-RX serial loopback within the PHY.This signal is for debug purposes only." "0,1" newline hexmask.long.byte 0x4 19.--22. 1. "PIPE_LANE0_LINK_NUM,Reserved for future." newline bitfld.long 0x4 18. "PHY_TEST_TX_REF_CLK_EN,TX ref clock output enable.Function: Enables the reference clock inputs (ref_pad_clk_\{p m\} or ref_alt_clk_\{p m\}) to be directly output on txN_\{p m\}. For additional information see 'PHY Test Mode Selection' ." "0,1" newline bitfld.long 0x4 17. "PHY_TEST_STOP_CLK_EN,Stop-clock test mode enable.Function: Reserved" "0,1" newline bitfld.long 0x4 16. "PHY_MPLLB_SSC_EN,Spread spectrum enable.Function: Enables spread-spectrum clock (SSC) generation on the mpllb_div_clk output. If the reference clock already has spread spectrum applied mpllb_ssc_en must be de-asserted.These inputs can only be changed.." "0,1" newline bitfld.long 0x4 15. "PHY_MPLLB_FORCE_EN,MPLLB force enable.Function: When asserted the corresponding MPLL is forced to bepowered up irrespective of the txX_mpll_en input.This input is used for applications whehe a free-running MPLL clock output is required. There are no.." "0,1" newline bitfld.long 0x4 14. "PHY_MPLLA_SSC_EN,Spread spectrum enable.Function:Enables spread-spectrum clock (SSC) generation on the mplla_div_clk output. If the reference clock already has spread spectrum applied mplla_ssc_en must be de-asserted.These inputs can only be changed.." "0,1" newline bitfld.long 0x4 13. "PHY_MPLLA_FORCE_EN,MPLLA force enable.Function: When asserted the corresponding MPLL is forced to be powered up irrespective of the txX_mpll_en input.This input is used for applications where a free-running MPLL clock output is required. There are no.." "0,1" newline bitfld.long 0x4 12. "PHY_RX1_TERM_ACDC,Receiver termination control.Function: Reserved; tie off to 1'b1" "0,1" newline bitfld.long 0x4 11. "PHY_LANE1_RX2TX_PAR_LB_EN,Parallel (RX to TX) loopback enable.Function: When this signal is asserted recovered parallel data from the receiver is looped back to the transmit serializer." "0,1" newline bitfld.long 0x4 10. "PHY_RX0_TERM_ACDC,Receiver termination control.Function: Reserved; tie off to 1'b1" "0,1" newline bitfld.long 0x4 9. "PHY_LANE0_RX2TX_PAR_LB_EN,Parallel (RX to TX) loopback enable.Function: When this signal is asserted recovered parallel data from the receiver is looped back to the transmit serializer." "0,1" newline bitfld.long 0x4 8. "PHY_REF_ALT_CLK_SEL,PHY_REF_ALT_CLK_SEL 0x0 : PHY ref_alt_clk_p input from internal SoC PLL (100MHz). 0x1 : PHY ref_alt_clk_p input from Differential Buffer (100MHz)" "PHY ref_alt_clk_p input from internal SoC PLL,PHY ref_alt_clk_p input from Differential Buffer" newline bitfld.long 0x4 7. "PHY_LANE_POWER_PRESENT,VBUS power present.Signal from external VBUS detection circuit." "0,1" newline bitfld.long 0x4 6. "PHY_REF_REPEAT_CLK_EN,Repeat reference clock enable.Function: Enables the CMOS output clocks ref_repeat_clk_\{p m\}.This pair of clocks can be used as reference clocks for other on-chip PHYs." "0,1" newline bitfld.long 0x4 5. "PHY_REF_USE_PAD,Select reference clock connected to ref_pad_clk_p/ref_pad_clk_m.Function: Selects the external ref_pad_clk_p and ref_pad_clk_m inputs as the reference clock source when asserted. When deasserted ref_alt_clk_p and ref_alt_clk_m are the.." "0,1" newline bitfld.long 0x4 4. "PHY_TEST_POWERDOWN,All circuits power-down control.Function: Powers down all circuitry in the PHY for IDDQ testing Note:The PHY is not functional in this mode and must be reset after this signal is de-asserted." "0,1" newline bitfld.long 0x4 3. "PHY_TEST_BURNIN,All circuits activator." "0,1" newline bitfld.long 0x4 2. "PHY_RTUNE_REQ,Resistor tune request.Function: Assertion triggers a resistor tune request (if one is not already in progress)." "0,1" newline bitfld.long 0x4 0.--1. "CR_CKDIV,CR_CKDIV Clock divider for CR Bus clock. 0x0 : div 1 from APB bus clock 0x1 : div 2 from APB bus clock ...0x3: div4 from APB bus clock" "div 1 from APB bus clock,div 2 from APB bus clock,?,div4 from APB bus clock" line.long 0x8 "CTRL_2,CTRL_2" newline hexmask.long.byte 0x8 23.--27. 1. "PROTOCOL0_EXT_BS_RX_LEVEL,PROTOCOL0_EXT_BS_RX_LEVEL" newline bitfld.long 0x8 22. "PROTOCOL0_EXT_BS_TX_LOWSWING,PROTOCOL0_EXT_BS_TX_LOWSWING" "0,1" newline bitfld.long 0x8 21. "PROTOCOL0_EXT_BS_RX_BIGSWING,PROTOCOL0_EXT_BS_RX_BIGSWING" "0,1" newline bitfld.long 0x8 20. "PROTOCOL0_EXT_RX_LOS_LFPS_EN,PROTOCOL0_EXT_RX_LOS_LFPS_EN" "0,1" newline bitfld.long 0x8 17.--19. "PROTOCOL0_EXT_REF_RANGE,PROTOCOL0_EXT_REF_RANGE" "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 16. "PROTOCOL0_EXT_REF_CLK_MPLLB_DIV2_EN,PROTOCOL0_EXT_REF_CLK_MPLLB_DIV2_EN" "0,1" newline bitfld.long 0x8 15. "PROTOCOL0_EXT_REF_CLK_MPLLA_DIV2_EN,PROTOCOL0_EXT_REF_CLK_MPLLA_DIV2_EN" "0,1" newline bitfld.long 0x8 14. "PROTOCOL0_EXT_REF_CLK_DIV2_EN,PROTOCOL0_EXT_REF_CLK_DIV2_EN" "0,1" newline bitfld.long 0x8 13. "PHY_EXT_CTRL_SEL,PHY_EXT_CTRL_SEL" "0,1" newline bitfld.long 0x8 11.--12. "PIPE_TX1_PATTERN,PIPE_TX1_PATTERN" "0,1,2,3" newline bitfld.long 0x8 10. "PIPE_TX1_ONES_ZEROS,PIPE_TX1_ONES_ZEROS" "0,1" newline bitfld.long 0x8 9. "PIPE_RX1_TERMINATION,PIPE_RX1_TERMINATION" "0,1" newline bitfld.long 0x8 8. "PIPE_RX1_EQ_TRAINING,PIPE_RX1_EQ_TRAINING" "0,1" newline bitfld.long 0x8 7. "PIPE_LANE1_TX2RX_LOOPBK,PIPE_LANE1_TX2RX_LOOPBK" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "PIPE_LANE1_LINK_NUM,PIPE_LANE1_LINK_NUM" newline bitfld.long 0x8 2. "PIPE_LANE1_CLKREQ_N,PIPE_LANE1_CLKREQ_N" "0,1" newline bitfld.long 0x8 0.--1. "PIPE_TX0_PATTERN,PIPE_TX0_PATTERN" "0,1,2,3" line.long 0xC "CTRL_3,CTRL_3" newline hexmask.long.byte 0xC 22.--29. 1. "PROTOCOL0_EXT_MPLLA_DIV_MULTIPLIER,PROTOCOL0_EXT_MPLLA_DIV_MULTIPLIER" newline hexmask.long.word 0xC 6.--21. 1. "PROTOCOL0_EXT_MPLLA_BANDWIDTH,PROTOCOL0_EXT_MPLLA_BANDWIDTH" newline bitfld.long 0xC 5. "PROTOCOL0_EXT_MPLLA_WORD_DIV2_EN,PROTOCOL0_EXT_MPLLA_WORD_DIV2_EN" "0,1" newline bitfld.long 0xC 4. "PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_OVRD_EN,PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_OVRD_EN" "0,1" newline bitfld.long 0xC 3. "PROTOCOL0_EXT_MPLLA_DIV_CLK_EN,PROTOCOL0_EXT_MPLLA_DIV_CLK_EN" "0,1" newline bitfld.long 0xC 2. "PROTOCOL0_EXT_MPLLA_DIV8_CLK_EN,PROTOCOL0_EXT_MPLLA_DIV8_CLK_EN" "0,1" newline bitfld.long 0xC 1. "PIPE_RX_RECAL_CONT_EN,PIPE_RX_RECAL_CONT_EN" "0,1" newline bitfld.long 0xC 0. "PROTOCOL0_EXT_MPLLA_DIV10_CLK_EN,PROTOCOL0_EXT_MPLLA_DIV10_CLK_EN" "0,1" line.long 0x10 "CTRL_4,CTRL_4" bitfld.long 0x10 30.--31. "CR_ADDR_BIT15_14,CR_ADDR_BIT15_14" "0,1,2,3" newline bitfld.long 0x10 29. "CR_ADDR_MODE,CR_ADDR_MODE" "0,1" newline bitfld.long 0x10 26.--28. "PROTOCOL0_EXT_MPLLA_SSC_CLK_SEL,PROTOCOL0_EXT_MPLLA_SSC_CLK_SEL" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 18.--25. 1. "PROTOCOL0_EXT_MPLLA_MULTIPLIER,PROTOCOL0_EXT_MPLLA_MULTIPLIER" newline hexmask.long.word 0x10 7.--17. 1. "PROTOCOL0_EXT_MPLLA_FRACN_CTRL,PROTOCOL0_EXT_MPLLA_FRACN_CTRL" newline bitfld.long 0x10 6. "PROTOCOL0_EXT_MPLLB_DIV10_CLK_EN,PROTOCOL0_EXT_MPLLB_DIV10_CLK_EN" "0,1" newline bitfld.long 0x10 3.--5. "PROTOCOL0_EXT_MPLLA_TX_CLK_DIV,PROTOCOL0_EXT_MPLLA_TX_CLK_DIV" "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 0.--2. "PROTOCOL0_EXT_MPLLA_SSC_RANGE,PROTOCOL0_EXT_MPLLA_SSC_RANGE" "0,1,2,3,4,5,6,7" line.long 0x14 "CTRL_5,CTRL_5" hexmask.long.byte 0x14 26.--31. 1. "PIPE_RX0_IDLE_LOS_CNT,PIPE_RX0_IDLE_LOS_CNT" newline bitfld.long 0x14 24. "PIPE_RX0_CMN_REFCLK_MODE,PIPE_RX0_CMN_REFCLK_MODE" "0,1" newline bitfld.long 0x14 23. "PROTOCOL0_EXT_MPLLB_WORD_DIV2_EN,PROTOCOL0_EXT_MPLLB_WORD_DIV2_EN" "0,1" newline hexmask.long.word 0x14 11.--22. 1. "PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_INIT,PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_INIT" newline bitfld.long 0x14 10. "PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_OVRD_EN,PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_OVRD_EN" "0,1" newline bitfld.long 0x14 9. "PROTOCOL0_EXT_MPLLB_DIV_CLK_EN,PROTOCOL0_EXT_MPLLB_DIV_CLK_EN" "0,1" newline bitfld.long 0x14 8. "PROTOCOL0_EXT_MPLLB_DIV8_CLK_EN,PROTOCOL0_EXT_MPLLB_DIV8_CLK_EN" "0,1" newline hexmask.long.byte 0x14 0.--7. 1. "PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_PEAK,PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_PEAK" line.long 0x18 "CTRL_6,CTRL_6" newline hexmask.long.byte 0x18 18.--25. 1. "PROTOCOL0_EXT_MPLLB_DIV_MULTIPLIER,PROTOCOL0_EXT_MPLLB_DIV_MULTIPLIER" newline bitfld.long 0x18 16.--17. "PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G1,PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G1" "0,1,2,3" newline hexmask.long.word 0x18 0.--15. 1. "PROTOCOL0_EXT_MPLLB_BANDWIDTH,PROTOCOL0_EXT_MPLLB_BANDWIDTH" line.long 0x1C "CTRL_7,CTRL_7" hexmask.long.byte 0x1C 26.--31. 1. "PIPE_RX1_IDLE_LOS_CNT,PIPE_RX1_IDLE_LOS_CNT" newline bitfld.long 0x1C 24. "PIPE_RX1_CMN_REFCLK_MODE,PIPE_RX1_CMN_REFCLK_MODE" "0,1" newline bitfld.long 0x1C 22.--23. "PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G2,PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G2" "0,1,2,3" newline bitfld.long 0x1C 19.--21. "PROTOCOL0_EXT_MPLLB_SSC_CLK_SEL,PROTOCOL0_EXT_MPLLB_SSC_CLK_SEL" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x1C 11.--18. 1. "PROTOCOL0_EXT_MPLLB_MULTIPLIER,PROTOCOL0_EXT_MPLLB_MULTIPLIER" newline hexmask.long.word 0x1C 0.--10. 1. "PROTOCOL0_EXT_MPLLB_FRACN_CTRL,PROTOCOL0_EXT_MPLLB_FRACN_CTRL" line.long 0x20 "CTRL_8,CTRL_8" bitfld.long 0x20 30.--31. "PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G1,PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G1" "0,1,2,3" newline bitfld.long 0x20 26.--27. "PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G3,PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G3" "0,1,2,3" newline bitfld.long 0x20 23.--25. "PROTOCOL0_EXT_MPLLB_TX_CLK_DIV,PROTOCOL0_EXT_MPLLB_TX_CLK_DIV" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 20.--22. "PROTOCOL0_EXT_MPLLB_SSC_RANGE,PROTOCOL0_EXT_MPLLB_SSC_RANGE" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x20 12.--19. 1. "PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_PEAK,PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_PEAK" newline hexmask.long.word 0x20 0.--11. 1. "PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_INIT,PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_INIT" line.long 0x24 "CTRL_9,CTRL_9" newline hexmask.long.byte 0x24 20.--27. 1. "PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G3,PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G3" newline hexmask.long.byte 0x24 12.--19. 1. "PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G2,PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G2" newline hexmask.long.byte 0x24 4.--11. 1. "PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G1,PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G1" newline bitfld.long 0x24 2.--3. "PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G3,PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G3" "0,1,2,3" newline bitfld.long 0x24 0.--1. "PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G2,PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G2" "0,1,2,3" line.long 0x28 "CTRL_10,CTRL_10" newline bitfld.long 0x28 22.--23. "PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G3,PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G3" "0,1,2,3" newline bitfld.long 0x28 20.--21. "PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G2,PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G2" "0,1,2,3" newline bitfld.long 0x28 18.--19. "PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G1,PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G1" "0,1,2,3" newline hexmask.long.byte 0x28 12.--17. 1. "PROTOCOL0_EXT_RX_EQ_ATT_LVL_G3,PROTOCOL0_EXT_RX_EQ_ATT_LVL_G3" newline hexmask.long.byte 0x28 6.--11. 1. "PROTOCOL0_EXT_RX_EQ_ATT_LVL_G2,PROTOCOL0_EXT_RX_EQ_ATT_LVL_G2" newline hexmask.long.byte 0x28 0.--5. 1. "PROTOCOL0_EXT_RX_EQ_ATT_LVL_G1,PROTOCOL0_EXT_RX_EQ_ATT_LVL_G1" line.long 0x2C "CTRL_11,CTRL_11" newline hexmask.long.word 0x2C 20.--29. 1. "PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G3,PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G3" newline hexmask.long.word 0x2C 10.--19. 1. "PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G2,PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G2" newline hexmask.long.word 0x2C 0.--9. 1. "PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G1,PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G1" line.long 0x30 "CTRL_12,CTRL_12" newline hexmask.long.byte 0x30 8.--15. 1. "PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G2,PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G2" newline hexmask.long.byte 0x30 0.--7. 1. "PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G1,PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G1" line.long 0x34 "CTRL_13,CTRL_13" newline hexmask.long.word 0x34 8.--23. 1. "PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G1,PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G1" newline hexmask.long.byte 0x34 0.--7. 1. "PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G3,PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G3" line.long 0x38 "CTRL_14,CTRL_14" hexmask.long.word 0x38 16.--31. 1. "PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G3,PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G3" newline hexmask.long.word 0x38 0.--15. 1. "PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G2,PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G2" repeat 6. (list 0xF 0x17 0x18 0x19 0x1A 0x1B )(list 0x0 0x20 0x24 0x28 0x2C 0x30 ) group.long ($2+0x3C)++0x3 line.long 0x0 "CTRL_$1,CTRL_15" hexmask.long 0x0 0.--31. 1. "RSVD0,RSVD0" repeat.end group.long 0x40++0x1B line.long 0x0 "CTRL_16,CTRL_16" newline hexmask.long.byte 0x0 18.--23. 1. "PROTOCOL0_EXT_RX_REF_LD_VAL_G3,PROTOCOL0_EXT_RX_REF_LD_VAL_G3" newline hexmask.long.byte 0x0 12.--17. 1. "PROTOCOL0_EXT_RX_REF_LD_VAL_G2,PROTOCOL0_EXT_RX_REF_LD_VAL_G2" newline hexmask.long.byte 0x0 6.--11. 1. "PROTOCOL0_EXT_RX_REF_LD_VAL_G1,PROTOCOL0_EXT_RX_REF_LD_VAL_G1" newline hexmask.long.byte 0x0 0.--5. 1. "PROTOCOL0_EXT_RX_LOS_THRESHOLD,PROTOCOL0_EXT_RX_LOS_THRESHOLD" line.long 0x4 "CTRL_17,CTRL_17" newline hexmask.long.word 0x4 16.--28. 1. "PROTOCOL0_EXT_RX_VCO_LD_VAL_G2,PROTOCOL0_EXT_RX_VCO_LD_VAL_G2" newline hexmask.long.word 0x4 3.--15. 1. "PROTOCOL0_EXT_RX_VCO_LD_VAL_G1,PROTOCOL0_EXT_RX_VCO_LD_VAL_G1" newline bitfld.long 0x4 0.--2. "PROTOCOL0_EXT_RX_TERM_CTRL,PROTOCOL0_EXT_RX_TERM_CTRL" "0,1,2,3,4,5,6,7" line.long 0x8 "CTRL_18,CTRL_18" newline hexmask.long.byte 0x8 13.--17. 1. "PROTOCOL0_EXT_RX_VREF_CTRL,PROTOCOL0_EXT_RX_VREF_CTRL" newline hexmask.long.word 0x8 0.--12. 1. "PROTOCOL0_EXT_RX_VCO_LD_VAL_G3,PROTOCOL0_EXT_RX_VCO_LD_VAL_G3" line.long 0xC "CTRL_19,CTRL_19" newline hexmask.long.word 0xC 16.--25. 1. "PROTOCOL0_EXT_TX_EQ_MAIN_G2,PROTOCOL0_EXT_TX_EQ_MAIN_G2" newline hexmask.long.word 0xC 6.--15. 1. "PROTOCOL0_EXT_TX_EQ_MAIN_G1,PROTOCOL0_EXT_TX_EQ_MAIN_G1" newline bitfld.long 0xC 4.--5. "PROTOCOL0_EXT_TX_EQ_OVRD_G3,PROTOCOL0_EXT_TX_EQ_OVRD_G3" "0,1,2,3" newline bitfld.long 0xC 2.--3. "PROTOCOL0_EXT_TX_EQ_OVRD_G2,PROTOCOL0_EXT_TX_EQ_OVRD_G2" "0,1,2,3" newline bitfld.long 0xC 0.--1. "PROTOCOL0_EXT_TX_EQ_OVRD_G1,PROTOCOL0_EXT_TX_EQ_OVRD_G1" "0,1,2,3" line.long 0x10 "CTRL_20,CTRL_20" newline hexmask.long.byte 0x10 18.--25. 1. "PROTOCOL0_EXT_TX_EQ_POST_G2,PROTOCOL0_EXT_TX_EQ_POST_G2" newline hexmask.long.byte 0x10 10.--17. 1. "PROTOCOL0_EXT_TX_EQ_POST_G1,PROTOCOL0_EXT_TX_EQ_POST_G1" newline hexmask.long.word 0x10 0.--9. 1. "PROTOCOL0_EXT_TX_EQ_MAIN_G3,PROTOCOL0_EXT_TX_EQ_MAIN_G3" line.long 0x14 "CTRL_21,CTRL_21" hexmask.long.byte 0x14 24.--31. 1. "PROTOCOL0_EXT_TX_EQ_PRE_G3,PROTOCOL0_EXT_TX_EQ_PRE_G3" newline hexmask.long.byte 0x14 16.--23. 1. "PROTOCOL0_EXT_TX_EQ_PRE_G2,PROTOCOL0_EXT_TX_EQ_PRE_G2" newline hexmask.long.byte 0x14 8.--15. 1. "PROTOCOL0_EXT_TX_EQ_PRE_G1,PROTOCOL0_EXT_TX_EQ_PRE_G1" newline hexmask.long.byte 0x14 0.--7. 1. "PROTOCOL0_EXT_TX_EQ_POST_G3,PROTOCOL0_EXT_TX_EQ_POST_G3" line.long 0x18 "CTRL_22,CTRL_22" hexmask.long.word 0x18 16.--31. 1. "DEBUG_CTL_79_64,DEBUG_CTL_79_64" newline bitfld.long 0x18 11.--13. "PROTOCOL0_EXT_TX_VBOOST_LVL,PROTOCOL0_EXT_TX_VBOOST_LVL" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 8.--10. "PROTOCOL0_EXT_TX_TERM_CTRL,PROTOCOL0_EXT_TX_TERM_CTRL" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x18 0.--7. 1. "PROTOCOL0_EXT_TX_IBOOST_LVL,PROTOCOL0_EXT_TX_IBOOST_LVL" rgroup.long 0x80++0x7 line.long 0x0 "STS_0,STS_0" bitfld.long 0x0 31. "PIPE_RX1_ALIGN_DETECT,RX ALIGN symbol detected for lane 1;indicates receiver detection of an Align." "0,1" newline hexmask.long.word 0x0 22.--30. 1. "PIPE_RX1_EBUFF_LOCATION,Entries in elastic buffer for lane 1.Encodes the number of entries currently in the elastic buffer." newline bitfld.long 0x0 21. "PIPE_LANE1_POWER_PRESENT,Reserved." "0,1" newline bitfld.long 0x0 19.--20. "PIPE_LANE1_DATABUSWIDTH,Bus width configuration for lane 1.This field reports the width of the data bus configured for the PHY." "0,1,2,3" newline hexmask.long.word 0x0 10.--18. 1. "PIPE_RX0_EBUFF_LOCATION,Similar to PIPE_RX1_EBUFF_LOCATION." newline bitfld.long 0x0 9. "PIPE_RX0_ALIGN_DETECT,Similar to PIPE_RX1_ALIGN_DETECT." "0,1" newline bitfld.long 0x0 8. "PIPE_LANE1_CLKACK_N,Clock acknowledge for lane 1" "0,1" newline bitfld.long 0x0 7. "PIPE_LANE0_CLKACK_N,Similar to PIPE_LANE1_CLKACK_N" "0,1" newline bitfld.long 0x0 6. "PIPE_LANE0_POWER_PRESENT,Similar to PIPE_LANE1_POWER_PRESENT" "0,1" newline bitfld.long 0x0 4.--5. "PIPE_LANE0_DATABUSWIDTH,Similar to PIPE_LANE1_DATABUSWIDTH" "0,1,2,3" newline bitfld.long 0x0 3. "PHY_ANA_PWR_STABLE,Internal PMA switch stable.Function: Stable status signal from the PMA power switch." "0,1" newline bitfld.long 0x0 2. "PHY_RTUNE_ACK,Resistor tune acknowledge.Function: Indicates that a resistor tune has completed" "0,1" newline bitfld.long 0x0 1. "PHY_MPLLB_STATE,MPLLB state indicator.Function: Indicates the state of mpllb. This signal is asserted when MPLLB is powered up and phase-locked." "0,1" newline bitfld.long 0x0 0. "PHY_MPLLA_STATE,MPLLA state indicator.Function: Indicates the state of mplla. This signal is asserted when MPLLA is powered up and phase-locked." "0,1" line.long 0x4 "STS_1,STS_1" hexmask.long 0x4 4.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x4 3. "UPCS_PWR_EN,Power enable for PCS power switch(es).Enable signal for external switch(es) to supply power to the power-gated logic in the PCS" "0,1" newline bitfld.long 0x4 2. "PHY_PCS_PWR_EN,Power enable for Raw PCS power switches.Function: Enable signal for external switches to supply power to the power gated logic in Raw PCS." "0,1" newline bitfld.long 0x4 1. "PHY_PMA_PWR_EN,Power enable for PMA power switch Function: Enable signal for PMA power switch (external) to supply power to the PMA." "0,1" newline bitfld.long 0x4 0. "PHY_SRAM_INIT_DONE,SRAM Initialization done Function: Signal indicating that the SRAM has been initialized by the boot loader in the Raw PCS.This signal will not assert if sram_bypass is asserted." "0,1" repeat 6. (list 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 ) rgroup.long ($2+0x88)++0x3 line.long 0x0 "STS_$1,STS_2" hexmask.long 0x0 0.--31. 1. "RSVD0,RSVD0" repeat.end tree.end elif (CORENAME()=="CORTEXA55") tree "PCIE_PHY" base ad:0x31200000 rgroup.word 0x0++0x1 line.word 0x0 "SUP_DIG_IDCODE_LO,Low 16 bits of IDCODE" newline hexmask.word 0x0 0.--15. 1. "DATA," rgroup.word 0x4++0x1 line.word 0x0 "SUP_DIG_IDCODE_HI,High 16 bits of IDCODE" newline hexmask.word 0x0 0.--15. 1. "DATA," group.word 0x8++0x1 line.word 0x0 "SUP_DIG_REFCLK_OVRD_IN,Override values for incoming REFCLK and RESET controls from ASIC" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "BG_EN_OVRD_EN,Enable override for bg_en" "0,1" newline bitfld.word 0x0 12. "BG_EN,Override value for bg_en" "0,1" newline bitfld.word 0x0 11. "REF_CLK_RANGE_OVRD_EN,Enable override for ref_range" "0,1" newline bitfld.word 0x0 8.--10. "REF_CLK_RANGE,Override value for ref_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 7. "REF_REPEAT_CLK_EN_OVRD_EN,Enable override for ref_repeat_clk_en" "0,1" newline bitfld.word 0x0 6. "REF_REPEAT_CLK_EN,Override value for ref_repeat_clk_en" "0,1" newline bitfld.word 0x0 5. "REF_USE_PAD_OVRD_EN,Enable override for ref_use_pad" "0,1" newline bitfld.word 0x0 4. "REF_USE_PAD,Override value for ref_use_pad" "0,1" newline bitfld.word 0x0 3. "REF_CLK_DIV2_EN_OVRD_EN,Enable override for ref_clk_div2_en" "0,1" newline bitfld.word 0x0 2. "REF_CLK_DIV2_EN,Override value for ref_clk_div2_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_EN_OVRD_EN,Enable override for ref_clk_en" "0,1" newline bitfld.word 0x0 0. "REF_CLK_EN,Override value for ref_clk_en" "0,1" group.word 0xC++0x1 line.word 0x0 "SUP_DIG_MPLLA_DIV_CLK_OVRD_IN,Override values for incoming MPLLA_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLA_DIV_OVRD_EN,Enable overrides for MPLLA Div clock" "0,1" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLA_DIV_MULTIPLIER,Override value for mplla_div_multiplier" newline bitfld.word 0x0 0. "MPLLA_DIV_CLK_EN,Override value for mplla_div_clk_en" "0,1" group.word 0x10++0x1 line.word 0x0 "SUP_DIG_MPLLB_DIV_CLK_OVRD_IN,Override values for incoming MPLLB_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLB_DIV_OVRD_EN,Enable overrides for MPLLB Div clock" "0,1" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLB_DIV_MULTIPLIER,Override value for mpllb_div_multiplier" newline bitfld.word 0x0 0. "MPLLB_DIV_CLK_EN,Override value for mpllb_div_clk_en" "0,1" group.word 0x14++0x1 line.word 0x0 "SUP_DIG_MPLLA_OVRD_IN_0,Override values for incoming MPLLA controls from ASIC" newline bitfld.word 0x0 15. "OVRD_EN,Enable override values for all inputs controlled by this register (mplla_word_div2_en)" "0,1" newline bitfld.word 0x0 12.--14. "MPLLA_TX_CLK_DIV,Override value for mplla_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLA_MULTIPLIER,Override value for mplla_multiplier" newline bitfld.word 0x0 3. "MPLLA_DIV10_CLK_EN,Override value for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLA_DIV8_CLK_EN,Override value for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLA_DIV2_EN,Override value for ref_clk_mplla_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_EN,Override value for mplla_en" "0,1" group.word 0x18++0x1 line.word 0x0 "SUP_DIG_MPLLA_OVRD_IN_1,Override values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "MPLLA_WORD_DIV2_EN,Override value for mplla_word_div2_en" "0,1" newline bitfld.word 0x0 7. "MPLLA_SSC_OVRD_EN,Enable override values for MPLLA SSC inputs" "0,1" newline bitfld.word 0x0 4.--6. "MPLLA_SSC_CLK_SEL,Override value for mplla_ssc_clk_sel" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLA_SSC_RANGE,Override value for mplla_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLA_SSC_EN,Override value for mplla_ssc_en" "0,1" group.word 0x1C++0x1 line.word 0x0 "SUP_DIG_MPLLA_OVRD_IN_2,Override values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLA_FRACN_CTRL,Override value for mplla_fracn_ctrl" group.word 0x20++0x1 line.word 0x0 "SUP_DIG_MPLLA_BANDWIDTH_OVRD_IN,Override values for incoming MPLLA bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLA_BANDWIDTH,Override value for mplla_bandwidth[15:0]" group.word 0x24++0x1 line.word 0x0 "SUP_DIG_MPLLB_OVRD_IN_0,Override values for incoming MPLLB controls from ASIC" newline bitfld.word 0x0 15. "OVRD_EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 12.--14. "MPLLB_TX_CLK_DIV,Override value for mpllb_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLB_MULTIPLIER,Override value for mpllb_multiplier" newline bitfld.word 0x0 3. "MPLLB_DIV10_CLK_EN,Override value for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLB_DIV8_CLK_EN,Override value for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLB_DIV2_EN,Override value for ref_clk_mpllb_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLB_EN,Override value for mpllb_en" "0,1" group.word 0x28++0x1 line.word 0x0 "SUP_DIG_MPLLB_OVRD_IN_1,Override values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "MPLLB_WORD_DIV2_EN,Override value for mpllb_word_div2_en" "0,1" newline bitfld.word 0x0 7. "MPLLB_SSC_OVRD_EN,Enable override values for MPLLB SSC inputs" "0,1" newline bitfld.word 0x0 4.--6. "MPLLB_SSC_CLK_SEL,Override value for mpllb_ssc_clk_sel[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLB_SSC_RANGE,Override value for mpllb_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLB_SSC_EN,Override value for mpllb_ssc_en" "0,1" group.word 0x2C++0x1 line.word 0x0 "SUP_DIG_MPLLB_OVRD_IN_2,Override values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLB_FRACN_CTRL,Override value for mpllb_fracn_ctrl" group.word 0x30++0x1 line.word 0x0 "SUP_DIG_MPLLB_BANDWIDTH_OVRD_IN,Override values for incoming MPLLB bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLB_BANDWIDTH,Override value for mpllb_bandwidth[15:0]" group.word 0x34++0x1 line.word 0x0 "SUP_DIG_SUP_OVRD_IN,Override values for support block ASIC inputs" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RES_OVRD_EN,Enable override of res_req_in and res_ack_in" "0,1" newline bitfld.word 0x0 3. "RES_ACK_IN,Override value for res_ack_in" "0,1" newline bitfld.word 0x0 2. "RES_REQ_IN,Override value for res_req_in" "0,1" newline bitfld.word 0x0 1. "RTUNE_OVRD_EN,Enable override of rtune_req" "0,1" newline bitfld.word 0x0 0. "RTUNE_REQ,Override value for rtune_req" "0,1" group.word 0x38++0x1 line.word 0x0 "SUP_DIG_SUP_OVRD_OUT,Override values for support block ASIC outputs" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "BG_SUP_STATE_OVRD_EN,Enable override for bg_sup_state signal" "0,1" newline bitfld.word 0x0 12. "BG_SUP_STATE,Override value for bg_sup_state signal" "0,1" newline bitfld.word 0x0 11. "BG_LANE_STATE_OVRD_EN,Enable override for bg_lane_state signal" "0,1" newline bitfld.word 0x0 10. "BG_LANE_STATE,Override value for bg_lane_state signal" "0,1" newline bitfld.word 0x0 9. "MPLLB_STATE_OVRD_EN,Enable override for mpllb_state output" "0,1" newline bitfld.word 0x0 8. "MPLLB_STATE,Override value for mpllb_state output" "0,1" newline bitfld.word 0x0 7. "MPLLA_STATE_OVRD_EN,Enable override for mplla_state output" "0,1" newline bitfld.word 0x0 6. "MPLLA_STATE,Override value for mplla_state output" "0,1" newline bitfld.word 0x0 5. "RES_ACK_OUT_OVRD_EN,Enable override for res_ack_out output" "0,1" newline bitfld.word 0x0 4. "RES_ACK_OUT,Override value for res_ack_out output" "0,1" newline bitfld.word 0x0 3. "RES_REQ_OUT_OVRD_EN,Enable override for res_req_out output" "0,1" newline bitfld.word 0x0 2. "RES_REQ_OUT,Override value for res_req_out output" "0,1" newline bitfld.word 0x0 1. "RTUNE_ACK_OVRD_EN,Enable override for rtune_ack output" "0,1" newline bitfld.word 0x0 0. "RTUNE_ACK,Override value for rtune_ack output" "0,1" group.word 0x3C++0x1 line.word 0x0 "SUP_DIG_LVL_OVRD_IN,Override values for level settings" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_VBOOST_LVL_EN,Enable override value for tx_vboost_lvl" "0,1" newline bitfld.word 0x0 6.--8. "TX_VBOOST_LVL,Override value for tx_vboost_lvl" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5. "RX_VREF_CTRL_EN,Enable override value for rx_vref_ctrl" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "RX_VREF_CTRL,Override value for rx_vref_ctrl" group.word 0x40++0x1 line.word 0x0 "SUP_DIG_DEBUG,Debug controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 0.--2. "DTB_SEL,The lane DTB's are OR'd together with the support DTB signals selected with the below encodings 0 - none 1 - mplla DTB output 2 - mpllb DTB output 3 - rtune DTB output" "none,mplla DTB output,mpllb DTB output,rtune DTB output,?,?,?,?" rgroup.word 0x44++0x1 line.word 0x0 "SUP_DIG_MPLLA_ASIC_IN_0,Current values for incoming MPLLA controls from ASIC" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "MPLLA_TX_CLK_DIV,Value from ASIC for mplla_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLA_MULTIPLIER,Value from ASIC for mplla_multiplier" newline bitfld.word 0x0 3. "MPLLA_DIV10_CLK_EN,Value from ASIC for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLA_DIV8_CLK_EN,Value from ASIC for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLA_DIV2_EN,Value from ASIC for ref_clk_mplla_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_EN,Value from ASIC for mplla_en" "0,1" rgroup.word 0x48++0x1 line.word 0x0 "SUP_DIG_MPLLA_ASIC_IN_1,Current values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MPLLA_WORD_DIV2_EN,Value from mplla_word_div2_en" "0,1" newline bitfld.word 0x0 4.--6. "MPLLA_SSC_CLK_SEL,Value from mplla_ssc_clk_sel" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLA_SSC_RANGE,Value from mplla_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLA_SSC_EN,Value from mplla_ssc_en" "0,1" rgroup.word 0x4C++0x1 line.word 0x0 "SUP_DIG_MPLLA_ASIC_IN_2,Current values for incoming MPLLA controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLA_FRACN_CTRL,Value from mplla_fracn_ctrl" rgroup.word 0x50++0x1 line.word 0x0 "SUP_DIG_MPLLB_ASIC_IN_0,Current values for incoming MPLLB controls from ASIC" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "MPLLB_TX_CLK_DIV,Value from ASIC for mpllb_tx_clk_div" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 4.--11. 1. "MPLLB_MULTIPLIER,Value from ASIC for mpllb_multiplier" newline bitfld.word 0x0 3. "MPLLB_DIV10_CLK_EN,Value from ASIC for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 2. "MPLLB_DIV8_CLK_EN,Value from ASIC for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_MPLLB_DIV2_EN,Value from ASIC for ref_clk_mpllb_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLB_EN,Value from ASIC for mpllb_en" "0,1" rgroup.word 0x54++0x1 line.word 0x0 "SUP_DIG_MPLLB_ASIC_IN_1,Current values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MPLLB_WORD_DIV2_EN,Value from mpllb_word_div2_en" "0,1" newline bitfld.word 0x0 4.--6. "MPLLB_SSC_CLK_SEL,Value from mpllb_ssc_clk_sel" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1.--3. "MPLLB_SSC_RANGE,Value from mpllb_ssc_range" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0. "MPLLB_SSC_EN,Value from mpllb_ssc_en" "0,1" rgroup.word 0x58++0x1 line.word 0x0 "SUP_DIG_MPLLB_ASIC_IN_2,Current values for incoming MPLLB controls from ASIC" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 0.--10. 1. "MPLLB_FRACN_CTRL,Value from mpllb_fracn_ctrl" rgroup.word 0x5C++0x1 line.word 0x0 "SUP_DIG_MPLLA_DIV_CLK_ASIC_IN,Current values for incoming MPLLA_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLA_DIV_MULTIPLIER,Value from mplla_div_multiplier" newline bitfld.word 0x0 0. "MPLLA_DIV_CLK_EN,Value from mplla_div_clk_en" "0,1" rgroup.word 0x60++0x1 line.word 0x0 "SUP_DIG_MPLLB_DIV_CLK_ASIC_IN,Current values for incoming MPLLB_DIV_CLK controls from ASIC" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word.byte 0x0 1.--8. 1. "MPLLB_DIV_MULTIPLIER,Value from mpllb_div_multiplier" newline bitfld.word 0x0 0. "MPLLB_DIV_CLK_EN,Value from mpllb_div_clk_en" "0,1" rgroup.word 0x64++0x1 line.word 0x0 "SUP_DIG_ASIC_IN,Current values for incoming SUP control signals from ASIC" newline bitfld.word 0x0 15. "TEST_TX_REF_CLK_EN,Value from ASIC for test_tx_refclk_en" "0,1" newline bitfld.word 0x0 14. "MPLLB_STATE,Value to ASIC for mpllb_state_i" "0,1" newline bitfld.word 0x0 13. "MPLLA_STATE,Value to ASIC for mplla_state_i" "0,1" newline bitfld.word 0x0 12. "RES_ACK_OUT,Value to ASIC for res_ack_out_i" "0,1" newline bitfld.word 0x0 11. "RES_ACK_IN,Value from ASIC for res_req_in" "0,1" newline bitfld.word 0x0 10. "RES_REQ_OUT,Value to ASIC for res_ack_out_i" "0,1" newline bitfld.word 0x0 9. "RES_REQ_IN,Value from ASIC for res_req_in" "0,1" newline bitfld.word 0x0 8. "RTUNE_ACK,Value to ASIC for rtune_ack_i" "0,1" newline bitfld.word 0x0 7. "RTUNE_REQ,Value from ASIC for rtune_req" "0,1" newline bitfld.word 0x0 6. "TEST_POWERDOWN,Value from ASIC for test_powerdown" "0,1" newline bitfld.word 0x0 5. "TEST_BURNIN,Value from ASIC for test_burnin" "0,1" newline bitfld.word 0x0 4. "REF_USE_PAD,Value from ASIC for ref_use_pad" "0,1" newline bitfld.word 0x0 3. "REF_REPEAT_CLK_EN,Value from ASIC for ref_repeat_clk_en" "0,1" newline bitfld.word 0x0 2. "REF_CLK_DIV2_EN,Value from ASIC for ref_clk_div2_en" "0,1" newline bitfld.word 0x0 1. "REF_CLK_EN,Value from ASIC for ref_clk_en" "0,1" newline bitfld.word 0x0 0. "PHY_RESET,Value from ASIC for phy_reset" "0,1" rgroup.word 0x68++0x1 line.word 0x0 "SUP_DIG_LVL_ASIC_IN,Current values for incoming level controls from ASIC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "TX_VBOOST_LVL,Value from ASIC for tx_vboost_lvl" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 0.--4. 1. "RX_VREF_CTRL,Value from ASIC for rx_vref_ctrl" rgroup.word 0x6C++0x1 line.word 0x0 "SUP_DIG_BANDGAP_ASIC_IN,Current values for incoming bandgap control from ASIC" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "BG_EN,Value from ASIC for bg_en" "0,1" rgroup.word 0x70++0x1 line.word 0x0 "SUP_DIG_MPLLA_BANDWIDTH_ASIC_IN,Current values for incoming MPLLA bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLA_BANDWIDTH,Value from ASIC for mplla_bandwidth" rgroup.word 0x74++0x1 line.word 0x0 "SUP_DIG_MPLLB_BANDWIDTH_ASIC_IN,Current values for incoming MPLLB bandwidth controls from ASIC" newline hexmask.word 0x0 0.--15. 1. "MPLLB_BANDWIDTH,Value from ASIC for mpllb_bandwidth" group.word 0x80++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_CAL_CTRL,MPLL Calibration controls" newline bitfld.word 0x0 15. "EXT_CAL_DONE,Set the external calibration status to done" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EXT_COARSE_TUNE,Value of mpll_ana_coarse_tune_i[7:0] in external calibration mode" newline bitfld.word 0x0 6. "EXT_CHKFRQ_EN,Check the frequency of the MPLL Only valid in external calibration mode" "0,1" newline bitfld.word 0x0 5. "MPLL_EXTCAL,Enable external calibration of MPLL" "0,1" newline bitfld.word 0x0 4. "MPLL_SKIPCAL,Skip automatic (internal) calibration of MPLL (and also skip external calibration if it is enabled) If skipcal is enabled then MPLL_SKIPCAL_COARSE_TUNE is used." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "LOAD_CNT,MSBs for the CHKFRQ FSM ld_val[10:0] load value 0x0 - gives a ld_val of 0 no PPM difference can be detected 0x8 - gives a load value of 1024 3000PPM resolution possible 0xA - gives a load value of 1280 2343PPM resolution possible 0xB - gives.." group.word 0x84++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_OVRD,MPLL override controls" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "DTB_SEL,DTB select for MPLL dtb signals" newline bitfld.word 0x0 4. "FAST_MPLL_LOCK,Enable fast MPLL locking" "0,1" newline bitfld.word 0x0 3. "FAST_MPLL_PWRUP,Enable fast MPLL powerup" "0,1" newline bitfld.word 0x0 2. "MPLL_PCLK_EN,Overrides the PWR FSM mpll_pclk_en signal" "0,1" newline bitfld.word 0x0 1. "MPLL_FBDIGCLK_EN,Overrides the PWR FSM mpll_fb_dig_clk_en signal" "0,1" newline bitfld.word 0x0 0. "OVRD_SEL,Override enable bit" "0,1" rgroup.word 0x88++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_STAT,MPLL status register" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "MPLL_ANA_EN,Current value of mpll_ana_en_i" "0,1" newline bitfld.word 0x0 13. "MPLL_RST,Current value of mpll_ana_rst_i" "0,1" newline bitfld.word 0x0 12. "MPLL_CAL,Current value of mpll_ana_cal_i" "0,1" newline bitfld.word 0x0 11. "MPLL_FBCLK_EN,Current value of mpll_ana_fb_clk_en_i" "0,1" newline bitfld.word 0x0 10. "MPLL_OUTPUT_EN,Current value of mpll_ana_output_en_i" "0,1" newline bitfld.word 0x0 9. "MPLL_PCLK_EN,Current value of mpll_pclk_en" "0,1" newline bitfld.word 0x0 8. "MPLL_L_LANES,Current value of lane_mpll_l" "0,1" newline bitfld.word 0x0 7. "MPLL_R_LANES,Current value of lane_mpll_r" "0,1" newline bitfld.word 0x0 6. "MPLL_CAL_RDY,Current value of mpll_cal_rdy" "0,1" newline bitfld.word 0x0 5. "CHKFRQ_DONE,Current value of mpll_chkfrq_done" "0,1" newline bitfld.word 0x0 4. "MPLL_TOOSLOW,Current value of mpll_tooslow" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "FSM_STATE,Current value of the PWR FSM state register" group.word 0x8C++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_MISC_TIME_THRESHOLD,Thresholds for MPLL CAL Update timer and MPLL VCO Stabilization timer" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 9.--12. 1. "MPLL_CAL_UPDATE_TIME_THRESHOLD,Threshold for the MPLL calibration control word update timer in terms of number of ref_rang_clk cycles" newline hexmask.word 0x0 0.--8. 1. "VCO_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0x90++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_PCLK_EN_AND_VCO_CLK_STABILIZATION_TIME_THRESHOLD,Thresholds for PCLK enable and MPLL VCO Clock Stabilization timer" newline hexmask.word.byte 0x0 11.--15. 1. "PCLK_EN_TIME_THRESHOLD,Threshold for the PCLK enable timer in terms of number of ref_range_clk cycles" newline hexmask.word 0x0 0.--10. 1. "VCO_CLK_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO clock stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0x94++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_PCLK_DIS_AND_MPLL_VCO_PWRDN_THRESHOLD,Thresholds for PCLK disable and MPLL VCO POWER DOWN timer" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "MPLL_VCO_PWRDN_TIME_THRESHOLD,Threshold for the MPLL VCO power down timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--4. 1. "PCLK_DIS_TIME_THRESHOLD,Threshold for the PCLK disable timer in terms of number of ref_range_clk cycles" group.word 0x98++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_FBCLK_EN_AND_MPLL_FBDIGCLK_DIS_AND_MPLL_ANA_PWRUP_TIME_THRESHOLD,Thresholds for MPLL feedback clock enable and MPLL feedback digital clock disable and MPLL ANA POWER UP timer" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 8.--14. 1. "MPLL_ANA_PWRUP_TIME_THRESHOLD,Threshold for the MPLL analog power up timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 4.--7. 1. "MPLL_FBDIGCLK_DIS_TIME_THRESHOLD,Threshold for the MPLL feedback digital clock disable timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--3. 1. "MPLL_FBCLK_EN_TIME_THRESHOLD,Threshold for the MPLL feedback clock enable timer in terms of number of ref_range_clk cycles" rgroup.word 0x9C++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_COARSE_TUNE_VAL,MPLL coarse_tune value register" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_COARSE_TUNE_VAL,Current value of mpll_ana_coarse_tune_i" rgroup.word 0xA0++0x1 line.word 0x0 "SUP_DIG_MPLLA_MPLL_PWR_CTL_MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when skipping calibration" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when calibration is skipped" group.word 0xA4++0x1 line.word 0x0 "SUP_DIG_MPLLA_SSC_SS_PHASE,Current MPLL phase selector value" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "ZERO_FREQ,Zero frequency register. NOTES: Must be set for PHASE writes to stick (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 2.--10. 1. "VAL,Phase value from zero reference (2 reads needed to read value)" newline bitfld.word 0x0 0.--1. "DTHR,Bits below the useful resolution (2 reads needed to read value)" "0,1,2,3" group.word 0xA8++0x1 line.word 0x0 "SUP_DIG_MPLLA_SSC_SS_FREQ_0,Frequency Control for Spread Spectrum #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "FREQ_0_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word 0x0 0.--11. 1. "FREQ_CNT_INIT,Initial Frequency Counter Value" group.word 0xAC++0x1 line.word 0x0 "SUP_DIG_MPLLA_SSC_SS_FREQ_1,Frequency Control for Spread Spectrum #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "FREQ_1_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "FREQ_PK,Peak Frequency Value (for changing direction)" group.word 0xB0++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_CAL_CTRL,MPLL Calibration controls" newline bitfld.word 0x0 15. "EXT_CAL_DONE,Set the external calibration status to done" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EXT_COARSE_TUNE,Value of mpll_ana_coarse_tune_i[7:0] in external calibration mode" newline bitfld.word 0x0 6. "EXT_CHKFRQ_EN,Check the frequency of the MPLL Only valid in external calibration mode" "0,1" newline bitfld.word 0x0 5. "MPLL_EXTCAL,Enable external calibration of MPLL" "0,1" newline bitfld.word 0x0 4. "MPLL_SKIPCAL,Skip automatic (internal) calibration of MPLL (and also skip external calibration if it is enabled) If skipcal is enabled then MPLL_SKIPCAL_COARSE_TUNE is used." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "LOAD_CNT,MSBs for the CHKFRQ FSM ld_val[10:0] load value 0x0 - gives a ld_val of 0 no PPM difference can be detected 0x8 - gives a load value of 1024 3000PPM resolution possible 0xA - gives a load value of 1280 2343PPM resolution possible 0xB - gives.." group.word 0xB4++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_OVRD,MPLL override controls" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "DTB_SEL,DTB select for MPLL dtb signals" newline bitfld.word 0x0 4. "FAST_MPLL_LOCK,Enable fast MPLL locking" "0,1" newline bitfld.word 0x0 3. "FAST_MPLL_PWRUP,Enable fast MPLL powerup" "0,1" newline bitfld.word 0x0 2. "MPLL_PCLK_EN,Overrides the PWR FSM mpll_pclk_en signal" "0,1" newline bitfld.word 0x0 1. "MPLL_FBDIGCLK_EN,Overrides the PWR FSM mpll_fb_dig_clk_en signal" "0,1" newline bitfld.word 0x0 0. "OVRD_SEL,Override enable bit" "0,1" rgroup.word 0xB8++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_STAT,MPLL status register" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "MPLL_ANA_EN,Current value of mpll_ana_en_i" "0,1" newline bitfld.word 0x0 13. "MPLL_RST,Current value of mpll_ana_rst_i" "0,1" newline bitfld.word 0x0 12. "MPLL_CAL,Current value of mpll_ana_cal_i" "0,1" newline bitfld.word 0x0 11. "MPLL_FBCLK_EN,Current value of mpll_ana_fb_clk_en_i" "0,1" newline bitfld.word 0x0 10. "MPLL_OUTPUT_EN,Current value of mpll_ana_output_en_i" "0,1" newline bitfld.word 0x0 9. "MPLL_PCLK_EN,Current value of mpll_pclk_en" "0,1" newline bitfld.word 0x0 8. "MPLL_L_LANES,Current value of lane_mpll_l" "0,1" newline bitfld.word 0x0 7. "MPLL_R_LANES,Current value of lane_mpll_r" "0,1" newline bitfld.word 0x0 6. "MPLL_CAL_RDY,Current value of mpll_cal_rdy" "0,1" newline bitfld.word 0x0 5. "CHKFRQ_DONE,Current value of mpll_chkfrq_done" "0,1" newline bitfld.word 0x0 4. "MPLL_TOOSLOW,Current value of mpll_tooslow" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "FSM_STATE,Current value of the PWR FSM state register" group.word 0xBC++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_MISC_TIME_THRESHOLD,Thresholds for MPLL CAL Update timer and MPLL VCO Stabilization timer" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 9.--12. 1. "MPLL_CAL_UPDATE_TIME_THRESHOLD,Threshold for the MPLL calibration control word update timer in terms of number of ref_rang_clk cycles" newline hexmask.word 0x0 0.--8. 1. "VCO_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0xC0++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_PCLK_EN_AND_VCO_CLK_STABILIZATION_TIME_THRESHOLD,Thresholds for PCLK enable and MPLL VCO Clock Stabilization timer" newline hexmask.word.byte 0x0 11.--15. 1. "PCLK_EN_TIME_THRESHOLD,Threshold for the PCLK enable timer in terms of number of ref_range_clk cycles" newline hexmask.word 0x0 0.--10. 1. "VCO_CLK_STABILIZATION_TIME_THRESHOLD,Threshold for the VCO clock stabilization timer in terms of number of reference clock cycles Here the reference clock means the one that is fed to the phase detector of the corresponding PLL not the raw reference clock." group.word 0xC4++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_PCLK_DIS_AND_MPLL_VCO_PWRDN_THRESHOLD,Thresholds for PCLK disable and MPLL VCO POWER DOWN timer" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "MPLL_VCO_PWRDN_TIME_THRESHOLD,Threshold for the MPLL VCO power down timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--4. 1. "PCLK_DIS_TIME_THRESHOLD,Threshold for the PCLK disable timer in terms of number of ref_range_clk cycles" group.word 0xC8++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_FBCLK_EN_AND_MPLL_FBDIGCLK_DIS_AND_MPLL_ANA_PWRUP_TIME_THRESHOLD,Thresholds for MPLL feedback clock enable and MPLL feedback digital clock disable and MPLL ANA POWER UP timer" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 8.--14. 1. "MPLL_ANA_PWRUP_TIME_THRESHOLD,Threshold for the MPLL analog power up timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 4.--7. 1. "MPLL_FBDIGCLK_DIS_TIME_THRESHOLD,Threshold for the MPLL feedback digital clock disable timer in terms of number of ref_range_clk cycles" newline hexmask.word.byte 0x0 0.--3. 1. "MPLL_FBCLK_EN_TIME_THRESHOLD,Threshold for the MPLL feedback clock enable timer in terms of number of ref_range_clk cycles" rgroup.word 0xCC++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_COARSE_TUNE_VAL,MPLL coarse_tune value register" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_COARSE_TUNE_VAL,Current value of mpll_ana_coarse_tune_i" rgroup.word 0xD0++0x1 line.word 0x0 "SUP_DIG_MPLLB_MPLL_PWR_CTL_MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when skipping calibration" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLL_SKIPCAL_COARSE_TUNE,Value for MPLL coarse_tune when calibration is skipped" group.word 0xD4++0x1 line.word 0x0 "SUP_DIG_MPLLB_SSC_SS_PHASE,Current MPLL phase selector value" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "ZERO_FREQ,Zero frequency register. NOTES: Must be set for PHASE writes to stick (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 2.--10. 1. "VAL,Phase value from zero reference (2 reads needed to read value)" newline bitfld.word 0x0 0.--1. "DTHR,Bits below the useful resolution (2 reads needed to read value)" "0,1,2,3" group.word 0xD8++0x1 line.word 0x0 "SUP_DIG_MPLLB_SSC_SS_FREQ_0,Frequency Control for Spread Spectrum #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "FREQ_0_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word 0x0 0.--11. 1. "FREQ_CNT_INIT,Initial Frequency Counter Value" group.word 0xDC++0x1 line.word 0x0 "SUP_DIG_MPLLB_SSC_SS_FREQ_1,Frequency Control for Spread Spectrum #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "FREQ_1_OVRD,Frequency Reigster Override. NOTE: Must be set for PHASE writes to stick" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "FREQ_PK,Peak Frequency Value (for changing direction)" group.word 0xE0++0x1 line.word 0x0 "SUP_DIG_CLK_RST_BG_PWRUP_TIME_0,BG Power UP Time Register #0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "FAST_BG_WAIT,Enable fast BG times (simulation only)" "0,1" newline hexmask.word 0x0 0.--8. 1. "BG_SUP_EN_TIME,Power up time (in ref_range cycles) for bandgap in SUP (spec >=5us)" group.word 0xE4++0x1 line.word 0x0 "SUP_DIG_CLK_RST_BG_PWRUP_TIME_1,BG Power UP Time Register #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "BG_LANE_EN_TIME,Power up time (in ref_range cycles) for bandgap in LANE (spec >= 20us)" group.word 0x100++0x1 line.word 0x0 "SUP_ANA_MPLLA_MISC,MPLLA_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "NC7_4,Reserved" newline bitfld.word 0x0 3. "PR_BYPASS,If ovrd_pr_bypass is enabled: PR_BYPASS Function 1 stops SSC and disables PMIX 0 enables SSC and PMIX" "0,1" newline bitfld.word 0x0 2. "MODE_OLD_SSC,Uses just two bits for SSC interpolation" "0,1" newline bitfld.word 0x0 1. "OVRD_PR_BYPASS,If asserted pr_bypass take effect on phase rotator bypass control" "0,1" newline bitfld.word 0x0 0. "BYPASS_BUF,To bypass CP buffers." "0,1" group.word 0x104++0x1 line.word 0x0 "SUP_ANA_MPLLA_OVRD,MPLLA_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_REG,set local reset control to ON" "0,1" newline bitfld.word 0x0 6. "OVRD_RESET,enable local control of reset signal (mpll_rst)" "0,1" newline bitfld.word 0x0 5. "FB_CLK_EN_REG,set local feedback clock control to ON" "0,1" newline bitfld.word 0x0 4. "OVRD_FB_CLK_EN,enable local control of feedback clock control signal (mpll_fb_clk_en)" "0,1" newline bitfld.word 0x0 3. "CAL_REG,set local calibration control to ON" "0,1" newline bitfld.word 0x0 2. "OVRD_CAL,enable local control of calibration signal (mpll_cal)" "0,1" newline bitfld.word 0x0 1. "ENABLE_REG,set local enable control to ON" "0,1" newline bitfld.word 0x0 0. "OVRD_ENABLE,enable local control of enable signal (mpll_en)" "0,1" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.word ($2+0x108)++0x1 line.word 0x0 "SUP_ANA_MPLLA_ATB$1,MPLLA_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_VREG_L,Measure vreg_left (atb_s_p)" "0,1" newline bitfld.word 0x0 6. "MEAS_VREG_S,Measure vreg_s in MPLL voltage regulator (atb_s_p)" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_VCO,Measure vreg_vco (atb_s_p)" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_VREG_CP,Override vreg_cp to be 4/3X of voltage on atb_s_m." "0,1" newline bitfld.word 0x0 3. "OVERRIDE_VREG_VP,Override vreg_vp to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREG_LEFT,Override vreg_left to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 1. "OVERRIDE_VREG_RIGHT,Override vreg_right to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_VREG_VCO,Override vreg_vco to be 4/3X of voltage on atb_s_m" "0,1" repeat.end group.word 0x114++0x1 line.word 0x0 "SUP_ANA_MPLLB_MISC,MPLLB_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "NC7_4,Reserved" newline bitfld.word 0x0 3. "PR_BYPASS,If ovrd_pr_bypass is enabled: PR_BYPASS Function 1 stops SSC and disables PMIX 0 enables SSC and PMIX" "0,1" newline bitfld.word 0x0 2. "MODE_OLD_SSC,Uses just two bits for SSC interpolation" "0,1" newline bitfld.word 0x0 1. "OVRD_PR_BYPASS,If asserted pr_bypass take effect on phase rotator bypass control" "0,1" newline bitfld.word 0x0 0. "BYPASS_BUF,To bypass CP buffers." "0,1" group.word 0x118++0x1 line.word 0x0 "SUP_ANA_MPLLB_OVRD,MPLLB_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_REG,set local reset control to ON" "0,1" newline bitfld.word 0x0 6. "OVRD_RESET,enable local control of reset signal (mpll_rst)" "0,1" newline bitfld.word 0x0 5. "FB_CLK_EN_REG,set local feedback clock control to ON" "0,1" newline bitfld.word 0x0 4. "OVRD_FB_CLK_EN,enable local control of feedback clock control signal (mpll_fb_clk_en)" "0,1" newline bitfld.word 0x0 3. "CAL_REG,set local calibration control to ON" "0,1" newline bitfld.word 0x0 2. "OVRD_CAL,enable local control of calibration signal (mpll_cal)" "0,1" newline bitfld.word 0x0 1. "ENABLE_REG,set local enable control to ON" "0,1" newline bitfld.word 0x0 0. "OVRD_ENABLE,enable local control of enable signal (mpll_en)" "0,1" repeat 3. (list 0x1 0x2 0x3 )(list 0x0 0x4 0x8 ) group.word ($2+0x11C)++0x1 line.word 0x0 "SUP_ANA_MPLLB_ATB$1,MPLLB_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_VREG_L,Measure vreg_left (atb_s_p)" "0,1" newline bitfld.word 0x0 6. "MEAS_VREG_S,Measure vreg_s in MPLL voltage regulator (atb_s_p)" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_VCO,Measure vreg_vco (atb_s_p)" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_VREG_CP,Override vreg_cp to be 4/3X of voltage on atb_s_m." "0,1" newline bitfld.word 0x0 3. "OVERRIDE_VREG_VP,Override vreg_vp to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREG_LEFT,Override vreg_left to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 1. "OVERRIDE_VREG_RIGHT,Override vreg_right to be 4/3X of voltage on atb_s_m" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_VREG_VCO,Override vreg_vco to be 4/3X of voltage on atb_s_m" "0,1" repeat.end group.word 0x128++0x1 line.word 0x0 "SUP_ANA_RTUNE_CTRL,RTUNE_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RT_EN_FRCON,Local rtune block enable control force rtune block on if asserted" "0,1" newline bitfld.word 0x0 6. "NC6,Reserved" "0,1" newline bitfld.word 0x0 4.--5. "RT_DAC_MODE,Margin DAC mode control bits." "0,1,2,3" newline bitfld.word 0x0 3. "RT_DAC_CHOP,[RT_DAC_CHOP RT_DAC_MODE] Function 00 Margin DAC power down 01 Margin DAC single ended output drives atb_s_m 10 Margin DAC power down 11 Margin DAC single ended output drives atb_s_p" "0,1" newline bitfld.word 0x0 2. "RT_ATB,RTUNE ATB mode control. Combines with rt_ana_mode[1:0] to perform different functions." "0,1" newline bitfld.word 0x0 1. "RT_SEL_ATBP,RTUNE ATB input select: RT_SEL_ATBP Function 1 select atb_s_p 0 select atb_s_m" "0,1" newline bitfld.word 0x0 0. "RT_SEL_ATBF,RTUNE ATB input select: RT_SEL_ATBF Function 1 select gd as input 0 select atb_s_p/m as input" "0,1" group.word 0x12C++0x1 line.word 0x0 "SUP_ANA_SWITCH_PWR_MEAS,SWITCH_PWR_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "NC7,Reserved" "0,1" newline bitfld.word 0x0 6. "ATB_SW,Connect atb_s_p to atb_s_m if asserted" "0,1" newline bitfld.word 0x0 5. "ATB_SW_HALF_VPH,Connect atb_s_p to vph/2 if asserted. In this mode bit 1 should be 0." "0,1" newline bitfld.word 0x0 4. "ATB_SW_GD,Connect atb_s_m to gd if asserted" "0,1" newline bitfld.word 0x0 3. "ATB_SW_VP,Connect atb_s_p to vp if asserted" "0,1" newline bitfld.word 0x0 2. "ATB_SW_VBG_VREF,Connect vbg_vref to atb_s_p if asserted" "0,1" newline bitfld.word 0x0 1. "ATB_SW_VPH,Connect atb_s_p to vph if bit 5 is asserted Connect atb_s_p to vph/2 if bit 5 is asserted (not recommended)" "0,1" newline bitfld.word 0x0 0. "ATB_SW_VBG_BIAS_REF,Connect vbg_bias_ref to atb_s_p If asserted" "0,1" group.word 0x130++0x1 line.word 0x0 "SUP_ANA_SWITCH_MISC_MEAS,SWITCH_MISC_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "NC7_6,Reserved" "0,1,2,3" newline bitfld.word 0x0 5. "TEMP_MEAS,If asserted enable temperature measurement. Vbe is sent to atb_s_m vbg is sent to atb_s_p." "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 2.--3. "SEL_VPLL_REF,Select the reference voltage for the MPLL 00 vpll_ref = 707mV 01 vpll_ref = 731mV 10 vpll_ref = 756mV (default) 11 vpll_ref = 780mV" "0,1,2,3" newline bitfld.word 0x0 0.--1. "HYST_REF,Function 00 No hysteresis 01 18mVpp hysteresis 10 35mVpp hysteresis (default) 11 50mVpp hysteresis" "0,1,2,3" group.word 0x134++0x1 line.word 0x0 "SUP_ANA_BG,BG" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "NC7_5,Reserved" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "POR_START_KICK_EN,Enable fast startup using bg kick voltage for POR bandgap outputs" "0,1" newline bitfld.word 0x0 3. "CHOP_EN,Enable chopper clock for bandgap" "0,1" newline bitfld.word 0x0 1.--2. "SEL_VBG_VREF,vbg_vref voltage level select 00 vbg_vref = 658mV 01 vbg_vref = 683mV 10 vbg_vref = 707mV (default) 11 vbg_vref = 731mV" "0,1,2,3" newline bitfld.word 0x0 0. "BYPASS_BG,Bypass bandgap with VP" "0,1" group.word 0x138++0x1 line.word 0x0 "SUP_ANA_PRESCALER_CTRL,PRESCALER_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 3.--7. 1. "NC7_3,Reserved" newline bitfld.word 0x0 2. "ATB_SELECT,Connect internal ATB_S signals to external ATB_S signals" "0,1" newline bitfld.word 0x0 1. "NC1,Reserved" "0,1" newline bitfld.word 0x0 0. "MEAS_VREG,If asserted measure sup_prescaler regulator output voltage (vp_lcl) through atb_s_p" "0,1" group.word 0x180++0x1 line.word 0x0 "SUP_DIG_RTUNE_DEBUG,Resistor tuning debug controls" newline bitfld.word 0x0 15. "TXUP_GO,Enable TxUP tune to continue in manual tune mode when TYPE is TxUP tune. When in non TxUP manual tune mode this bit must be 0. When in TxUP manual tune mode and after TxUP manual tune is triggered if the read only register.." "0,1" newline hexmask.word 0x0 5.--14. 1. "VALUE,Value to use when triggering SET_VAL field only the 6 LSB's are used when setting rx cal values" newline bitfld.word 0x0 3.--4. "TYPE,Type of manual tuning or register read/write to execute 0 - ADC or read/write rt_value 1 - Rx tune or read/write rx_cal_val (only 6 bits) 2 - TxDN tune or read/write txdn_cal_val (10 bits) 3 - TxUP tune or read/write txup_cal_val (10 bits) or.." "ADC,Rx tune,TxDN tune,TxUP tune" newline bitfld.word 0x0 2. "SET_VAL,Set value Write to a 1 to manually write the register specified by the TYPE field to the value in the VALUE field" "0,1" newline bitfld.word 0x0 1. "MAN_TUNE,Write to a 1 to do a manual tuning specified by TYPE field starting a manual tune while a tune is currently running can cause unpredictable results. For use only when you know what the part is doing (w.r.t. resistor tuning)" "0,1" newline bitfld.word 0x0 0. "FLIP_COMP,Invert analog comparator output" "0,1" group.word 0x184++0x1 line.word 0x0 "SUP_DIG_RTUNE_CONFIG,Configure Rtune Operation" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "SUP_ANA_TERM_CTRL,Set the reference resistor in the analog Value Impedence (Ohms) 000 54 001 52 010 50 (default) 011 48 100 46 101 44 110 42 111 40" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 2. "TX_CAL_EN,Enable calibration of TX resistor" "0,1" newline bitfld.word 0x0 1. "FAST_RTUNE,Enable fast resitor tuning (simulation only)" "0,1" newline bitfld.word 0x0 0. "RX_CAL_EN,Enable calibration of RX resistor" "0,1" rgroup.word 0x188++0x1 line.word 0x0 "SUP_DIG_RTUNE_STAT,Resistor tuning register status" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 10.--11. "DTB_RTUNE,DTB sampling for rtune" "0,1,2,3" newline hexmask.word 0x0 0.--9. 1. "STAT,Current value of the register specifed by the DEBUG.TYPE field" group.word 0x18C++0x1 line.word 0x0 "SUP_DIG_RTUNE_RX_SET_VAL,Set value of RX Resistor" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "RX_SET_VAL,Set value of rx resistor Writing a value to this register will set the rx resistor value." group.word 0x190++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXDN_SET_VAL,Set value of TX-DN Resistor" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXDN_SET_VAL,Set value of tx-dn resistor Writing a value to this register will set the tx-dn resistor value." group.word 0x194++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXUP_SET_VAL,Set value of TX-UP Resistor" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXUP_SET_VAL,Set value of tx-up resistor Writing a value to this register will set the tx-up resistor value." rgroup.word 0x198++0x1 line.word 0x0 "SUP_DIG_RTUNE_RX_STAT,RX Resistor tuning register status" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "RX_STAT,Current value of the rx resistor tuning register" rgroup.word 0x19C++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXDN_STAT,TX-DN Resistor tuning register status" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXDN_STAT,Current value of the tx-dn resistor tuning register" rgroup.word 0x1A0++0x1 line.word 0x0 "SUP_DIG_RTUNE_TXUP_STAT,TX-UP Resistor tuning register status" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "TXUP_STAT,Current value of the tx-up resistor tuning register" group.word 0x1A4++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLA_OVRD_OUT,Override value for mplla signals going to ANA" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "OVRD_SEL,Override bit for mplla_ana outputs" "0,1" newline bitfld.word 0x0 13. "MPLLA_DIV_CLK_EN,Overrides the mplla_ana_div_clk_en signal" "0,1" newline hexmask.word.byte 0x0 9.--12. 1. "RESERVED," newline bitfld.word 0x0 8. "MPLLA_FBCLK_EN,Overrides the mplla_fb_clk_en signal" "0,1" newline bitfld.word 0x0 7. "MPLLA_DIV10_CLK_EN,Overrides the mplla_ana_div10_clk_en signal" "0,1" newline bitfld.word 0x0 6. "MPLLA_DIV8_CLK_EN,Overrides the mplla_ana_div8_clk_en signal" "0,1" newline bitfld.word 0x0 5. "MPLLA_OUTPUT_R_EN,Overrides the mplla_ana_output_r_en signal" "0,1" newline bitfld.word 0x0 4. "MPLLA_OUTPUT_L_EN,Overrides the mplla_ana_output_l_en signal" "0,1" newline bitfld.word 0x0 3. "MPLLA_OUTPUT_EN,Overrides the mplla_ana_output_en signal" "0,1" newline bitfld.word 0x0 2. "MPLLA_CAL,Overrides the mplla_ana_cal signal" "0,1" newline bitfld.word 0x0 1. "MPLLA_RST,Overrides the mplla_ana_rst signal" "0,1" newline bitfld.word 0x0 0. "MPLLA_ANA_EN,Overrides the mplla_ana_en signal" "0,1" group.word 0x1A8++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLB_OVRD_OUT,Override value for mpllb signals going to ANA" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "OVRD_SEL,Override bit for mpllb_ana outputs" "0,1" newline bitfld.word 0x0 13. "MPLLB_DIV_CLK_EN,Overrides the mpllb_ana_div_clk_en signal" "0,1" newline hexmask.word.byte 0x0 9.--12. 1. "RESERVED," newline bitfld.word 0x0 8. "MPLLB_FBCLK_EN,Overrides the mpllb_fb_clk_en signal" "0,1" newline bitfld.word 0x0 7. "MPLLB_DIV10_CLK_EN,Overrides the mpllb_ana_div10_clk_en signal" "0,1" newline bitfld.word 0x0 6. "MPLLB_DIV8_CLK_EN,Overrides the mpllb_ana_div8_clk_en signal" "0,1" newline bitfld.word 0x0 5. "MPLLB_OUTPUT_R_EN,Overrides the mpllb_ana_output_r_en signal" "0,1" newline bitfld.word 0x0 4. "MPLLB_OUTPUT_L_EN,Overrides the mpllb_ana_output_l_en signal" "0,1" newline bitfld.word 0x0 3. "MPLLB_OUTPUT_EN,Overrides the mpllb_ana_output_en signal" "0,1" newline bitfld.word 0x0 2. "MPLLB_CAL,Overrides the mpllb_ana_cal signal" "0,1" newline bitfld.word 0x0 1. "MPLLB_RST,Overrides the mpllb_ana_rst signal" "0,1" newline bitfld.word 0x0 0. "MPLLB_ANA_EN,Overrides the mpllb_ana_en signal" "0,1" group.word 0x1AC++0x1 line.word 0x0 "SUP_DIG_ANA_RTUNE_OVRD_OUT,Override value for RTUNE signals going to ANA" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "RTUNE_OVRD_EN,Override bit for rtune (rt_ana_* and term) outputs" "0,1" newline hexmask.word 0x0 4.--13. 1. "RTUNE_VALUE,Overrides the rt_ana_value[9:0] signal" newline bitfld.word 0x0 3. "RTUNE_EN,Overrides the rt_ana_en signal" "0,1" newline bitfld.word 0x0 1.--2. "RTUNE_MODE,Overrides the rt_ana_mode[1:0] signal" "0,1,2,3" newline bitfld.word 0x0 0. "RTUNE_COMP_RST,Overrides the rt_ana_comp_rst signal" "0,1" rgroup.word 0x1B0++0x1 line.word 0x0 "SUP_DIG_ANA_STAT,SUP input status register for SUP ANA outputs" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RT_ANA_COMP_RESULT,Value from ANA for rt_ana_comp_result" "0,1" group.word 0x1B4++0x1 line.word 0x0 "SUP_DIG_ANA_ANA_OVRD_OUT,Override values for ana_async_rst and bandgap signals going to ANA" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "ANA_ASYNC_RST_OVRD_EN,Override enable for ana_async_rst" "0,1" newline bitfld.word 0x0 3. "ANA_ASYNC_RST,Override value for reset register for analog latches" "0,1" newline bitfld.word 0x0 2. "BG_OVRD_EN,Override bit for bandgap outputs" "0,1" newline bitfld.word 0x0 1. "BG_EN,Overrides the bg_ana_en signal" "0,1" newline bitfld.word 0x0 0. "BG_FAST_START,Overrides the bg_ana_fast_start signal" "0,1" group.word 0x1B8++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLA_PMIX_OVRD_OUT,Override value for mplla pmix signals going to ANA" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLA_PMIX_EN_OVRD_EN,Override bit for mplla_ana_pmix_en signal" "0,1" newline bitfld.word 0x0 9. "MPLLA_PMIX_SEL_OVRD_EN,Override bit for mplla_ana_pmix_sel signal" "0,1" newline bitfld.word 0x0 8. "MPLLA_PMIX_EN,Override bit for mplla_ana_pmix_en signal" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLA_PMIX_SEL,Overrides the mplla_ana_pmix_sel signal" group.word 0x1BC++0x1 line.word 0x0 "SUP_DIG_ANA_MPLLB_PMIX_OVRD_OUT,Override value for mpllb pmix signals going to ANA" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLB_PMIX_EN_OVRD_EN,Override bit for mpllb_ana_pmix_en signal" "0,1" newline bitfld.word 0x0 9. "MPLLB_PMIX_SEL_OVRD_EN,Override bit for mpllb_ana_pmix_sel signal" "0,1" newline bitfld.word 0x0 8. "MPLLB_PMIX_EN,Override bit for mpllb_ana_pmix_en signal" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLB_PMIX_SEL,Overrides the mpllb_ana_pmix_sel signal" group.word 0x4000++0x1 line.word 0x0 "LANE0_DIG_ASIC_LANE_OVRD_IN,Override values for incoming LANE controls from ASIC" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Override value for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Override value for lane_tx2rx_ser_lb_en_r" "0,1" group.word 0x4004++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_0,Override values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DATA_EN_OVRD_EN,Enable override for tx_data_en" "0,1" newline bitfld.word 0x0 14. "DATA_EN,Override value for tx_data_en" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL_OVRD_EN,Enable override for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 12. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11. "WIDTH_OVRD_EN,Enable override for tx_width[1:0]" "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Override value for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8. "RATE_OVRD_EN,Enable override for tx_rate[2:0]" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "PSTATE_OVRD_EN,Enable override for tx_pstate[1:0]" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Override value for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override for tx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for tx_req" "0,1" group.word 0x4008++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_1,Override values for incoming TX drive controls from ASIC. register #1" newline bitfld.word 0x0 15. "MAIN_OVRD_EN,Enable override values for TX EQ main input" "0,1" newline hexmask.word.byte 0x0 9.--14. 1. "TX_MAIN_CURSOR,Override value for tx_eq_main" newline bitfld.word 0x0 8. "EN,Enable override values for inputs below controlled by this register" "0,1" newline bitfld.word 0x0 7. "VBOOST_EN,Override value for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 3.--6. 1. "IBOOST_LVL,Override value for tx_iboost_lvl" newline bitfld.word 0x0 2. "BEACON_EN,Override value for tx_beacon_en" "0,1" newline bitfld.word 0x0 1. "DISABLE,Override value for tx_disable" "0,1" newline bitfld.word 0x0 0. "NYQUIST_DATA,Override incoming data to nyquist" "0,1" group.word 0x400C++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_2,Override values for incoming TX drive controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "POST_OVRD_EN,Enable override values for TX EQ post input" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "TX_POST_CURSOR,Override value for tx_eq_post" newline bitfld.word 0x0 6. "PRE_OVRD_EN,Enable override values for TX EQ pre input" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Override value for tx_eq_pre" group.word 0x4010++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_3,Override values for incoming TX drive controls from ASIC. register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "LPD_OVRD_EN,Enable override for tx_lpd" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 5. "INVERT_OVRD_EN,Enable override for tx_invert" "0,1" newline bitfld.word 0x0 4. "INVERT,Override value for tx_invert" "0,1" newline bitfld.word 0x0 3. "DETECT_RX_REQ_OVRD_EN,Enable override for tx_detrx_req" "0,1" newline bitfld.word 0x0 2. "DETECT_RX_REQ,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 1. "CLK_RDY_OVRD_EN,Enable override for tx_clk_rdy" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Override value for tx_clk_rdy" "0,1" group.word 0x4014++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_IN_4,Override values for incoming TX drive controls from ASIC. register #4" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for tx_reset" "0,1" group.word 0x4018++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_OVRD_OUT,Override values for outgoing TX controls to ASIC" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "EN_DETRX_RESULT,Enable for override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 2. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 1. "EN_TX_ACK,Enable for override value for tx_ack" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Override value for tx_ack" "0,1" group.word 0x401C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_0,Override values for incoming RX controls from ASIC. register #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "WIDTH_OVRD_EN,Enable override for rx_width" "0,1" newline bitfld.word 0x0 10.--11. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 9. "RATE_OVRD_EN,Enable override value for rx_rate" "0,1" newline bitfld.word 0x0 7.--8. "RATE,Override value for rx_rate" "0,1,2,3" newline bitfld.word 0x0 6. "PSTATE_OVRD_EN,Enable override value for rx_pstate" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3. "DATA_EN_OVRD_EN,Enable override value for rx_data_en" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override value for rx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for rx_req" "0,1" group.word 0x4020++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_1,Override values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_REF_LD_VAL_6,Override value for rx_ref_ld_val[6]" "0,1" newline bitfld.word 0x0 7. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_CDR_VCO_LOWFREQ,Override value for rx_cdr_vco_lowfreq" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_REF_LD_VAL_5_0,Override value for rx_ref_ld_val[5:0]" group.word 0x4024++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_2,Override values for incoming RX controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "EN,Enable override values for all inputs controlled by this register" "0,1" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Override value for rx_vco_ld_val" group.word 0x4028++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_3,Override values for incoming RX controls from ASIC. register #3" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "LOS_OVRD_EN,Enable override for rx_los_lfps_en and rx_los_threshold" "0,1" newline bitfld.word 0x0 13. "LOS_LPFS_EN,Override value for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 10.--12. "LOS_THRSHLD,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "DISABLE_OVRD_EN,Enable override for rx_disable" "0,1" newline bitfld.word 0x0 8. "DISABLE,Override value for rx_disable" "0,1" newline bitfld.word 0x0 7. "CLK_SHIFT_OVRD_EN,Enable override for rx_clk_shift" "0,1" newline bitfld.word 0x0 6. "CLK_SHIFT,Override value for rx_clk_shift" "0,1" newline bitfld.word 0x0 5. "ALIGN_EN_OVRD_EN,Enable override for rx_align_en" "0,1" newline bitfld.word 0x0 4. "ALIGN_EN,Override value for rx_align_en" "0,1" newline bitfld.word 0x0 3. "CDR_SSC_EN_OVRD_EN,Enable override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 2. "CDR_SSC_EN,Override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 1. "CDR_TRACK_EN_OVRD_EN,Enable override value for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 0. "CDR_TRACK_EN,Override value for rx_cdr_track_en" "0,1" group.word 0x402C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_4,Override values for incoming RX controls from ASIC. register #4" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TERM_OVRD_EN,Enable override for rx_term_acdc and rx_term_en" "0,1" newline bitfld.word 0x0 8. "TERM_ACDC,Override value for rx_term_acdc" "0,1" newline bitfld.word 0x0 7. "TERM_EN,Override value for rx_term_en" "0,1" newline bitfld.word 0x0 6. "ADPT_OVRD_EN,Enable override for rx_adpt_dfe_en and rx_adpt_afe_en" "0,1" newline bitfld.word 0x0 5. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 4. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 3. "INVERT_OVRD_EN,Enable override for rx_invert" "0,1" newline bitfld.word 0x0 2. "INVERT,Override value for rx_invert" "0,1" newline bitfld.word 0x0 1. "LPD_OVRD_EN,Enable override for rx_lpd" "0,1" newline bitfld.word 0x0 0. "LPD,Override value for rx_lpd" "0,1" group.word 0x4030++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_IN_5,Override values for incoming RX controls from ASIC. register #5" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for rx_reset" "0,1" group.word 0x4034++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_EQ_IN_0,Override values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Override value for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Override value for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Override value for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" group.word 0x4038++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_EQ_IN_1,Override values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "EQ_OVRD_EN,Enable override value for rx_eq_* inputs" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Override value for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Override value for rx_eq_dfe_tap2" group.word 0x403C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_OVRD_OUT_0,Override values for outgoing RX controls to ASIC. register #0" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "ADAPT_STS_OVRD_EN,Enable override for rx_adapt_sts" "0,1" newline bitfld.word 0x0 4.--5. "ADAPT_STS,Override value for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 3. "LOS_OUT_OVRD_EN,Enable override for rx_los_r" "0,1" newline bitfld.word 0x0 2. "LOS,Override value for rx_los" "0,1" newline bitfld.word 0x0 1. "ACK_OVRD_EN,Enable override for rx_ack" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0x4040++0x1 line.word 0x0 "LANE0_DIG_ASIC_LANE_ASIC_IN,Current values for incoming LANE controls from ASIC" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Value from ASIC for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Value from ASIC for lane_tx2rx_ser_lb_en_r" "0,1" rgroup.word 0x4044++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_IN_0,Current values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DISABLE,Value from ASIC for tx_disable" "0,1" newline bitfld.word 0x0 14. "DETECT_RX_REQ,Value from ASIC for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL,Value from ASIC for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11.--12. "WIDTH,Value from ASIC for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8.--10. "RATE,Value from ASIC for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--7. "PSTATE,Value from ASIC for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 5. "LPD,Value from ASIC for tx_lpd" "0,1" newline bitfld.word 0x0 4. "REQ,Value from ASIC for tx_req" "0,1" newline bitfld.word 0x0 3. "DATA_EN,Value from ASIC for tx_data_en" "0,1" newline bitfld.word 0x0 2. "INVERT,Value from ASIC for tx_invert" "0,1" newline bitfld.word 0x0 1. "RESET,Value from ASIC for tx_reset" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Value from ASIC for tx_clk_rdy" "0,1" rgroup.word 0x4048++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_IN_1,Current values for incoming TX controls from ASIC. register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_MAIN_CURSOR,Value from ASIC for tx_eq_main" newline bitfld.word 0x0 5. "VBOOST_EN,Value from ASIC for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 1.--4. 1. "IBOOST_LVL,Value from ASIC for tx_iboost_lvl" newline bitfld.word 0x0 0. "BEACON_EN,Value from ASIC for tx_beacon_en" "0,1" rgroup.word 0x404C++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_IN_2,Current values for incoming TX controls from ASIC. register #2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_POST_CURSOR,Value from ASIC for tx_eq_post" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Value from ASIC for tx_eq_pre" rgroup.word 0x4050++0x1 line.word 0x0 "LANE0_DIG_ASIC_TX_ASIC_OUT,Current values for outgoing TX status controls from PHY" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DETRX_RESULT,Value from PHY for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Value from PHY for tx_ack" "0,1" rgroup.word 0x4054++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_ASIC_IN_0,Current values for incoming RX controls from ASIC. register #0" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "CDR_TRACK_EN,Value from ASIC for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 13. "ADAPT_DFE_EN,Value from ASIC for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 12. "ADAPT_AFE_EN,Value from ASIC for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Value from ASIC for rx_width" "0,1,2,3" newline bitfld.word 0x0 7.--8. "RATE,Value from ASIC for rx_rate" "0,1,2,3" newline bitfld.word 0x0 5.--6. "PSTATE,Value from ASIC for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from ASIC for rx_lpd" "0,1" newline bitfld.word 0x0 3. "REQ,Value from ASIC for rx_req" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Value from ASIC for rx_data_en" "0,1" newline bitfld.word 0x0 1. "INVERT,Value from ASIC for rx_invert" "0,1" newline bitfld.word 0x0 0. "RESET,Value from ASIC for rx_reset" "0,1" rgroup.word 0x4058++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_ASIC_IN_1,Current values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "RX_TERM_ACDC,Value from ASIC for rx_term_acdc" "0,1" newline bitfld.word 0x0 8. "RX_TERM_EN,Value from ASIC for rx_term_en" "0,1" newline bitfld.word 0x0 7. "LOS_LPFS_EN,Value from ASIC for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 4.--6. "LOS_THRSHLD,Value from ASIC for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "DISABLE,Value from ASIC for rx_disable" "0,1" newline bitfld.word 0x0 2. "CLK_SHIFT,Value from ASIC for rx_clk_shift" "0,1" newline bitfld.word 0x0 1. "ALIGN_EN,Value from ASIC for rx_align_en" "0,1" newline bitfld.word 0x0 0. "CDR_SSC_EN,Value from ASIC for rx_cdr_ssc_en" "0,1" rgroup.word 0x405C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_EQ_ASIC_IN_0,Current values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Value from ASIC for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0x4060++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_EQ_ASIC_IN_1,Current values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Value from ASIC for rx_eq_dfe_tap2" rgroup.word 0x4064++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_CDR_VCO_ASIC_IN_0,Current values for incoming RX CDR VCO controls from ASIC. register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "RX_REF_LD_VAL,Value from ASIC for rx_ref_ld_val" newline bitfld.word 0x0 0. "RX_CDR_VCO_LOWFREQ,Value from ASIC for rx_cdr_vco_lowfreq" "0,1" rgroup.word 0x4068++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_CDR_VCO_ASIC_IN_1,Current values for incoming RX CDR VCO controls from ASIC. register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Value from ASIC for rx_vco_ld_val" rgroup.word 0x406C++0x1 line.word 0x0 "LANE0_DIG_ASIC_RX_ASIC_OUT_0,Current values for outgoing RX status controls from PHY. register #0" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 3.--4. "ADAPT_STS,Value from PHY for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 2. "VALID,Value from PHY for rx_valid" "0,1" newline bitfld.word 0x0 1. "LOS,Value from PHY for rx_los" "0,1" newline bitfld.word 0x0 0. "ACK,Value from PHY for rx_ack" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x8 ) group.word ($2+0x4080)++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PSTATE_P$1,TX Power State Control Register for P0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 7. "TX_P0_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0_DIG_CLK_EN,Enable/Disable TX digital clocks in P0" "0,1" newline bitfld.word 0x0 5. "TX_P0_ANA_SERIAL_EN,Value of TX ana serial_en in P0" "0,1" newline bitfld.word 0x0 4. "TX_P0_ANA_RESET,Value of TX ana reset in P0" "0,1" newline bitfld.word 0x0 3. "TX_P0_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0" "0,1" newline bitfld.word 0x0 2. "TX_P0_ANA_CLK_EN,Value of TX ana clk_en in P0" "0,1" newline bitfld.word 0x0 1. "TX_P0_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0" "0,1" newline bitfld.word 0x0 0. "TX_P0_ANA_REFGEN_EN,Value of TX ana refgen_en in P0" "0,1" repeat.end group.word 0x4084++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PSTATE_P0S,TX Power State Control Register for P0S" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P0S_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0S_DIG_CLK_EN,Enable/Disable TX digital clocks in P0S" "0,1" newline bitfld.word 0x0 5. "TX_P0S_ANA_SERIAL_EN,Value of TX ana serial_en in P0S" "0,1" newline bitfld.word 0x0 4. "TX_P0S_ANA_RESET,Value of TX ana reset in P0S" "0,1" newline bitfld.word 0x0 3. "TX_P0S_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0S" "0,1" newline bitfld.word 0x0 2. "TX_P0S_ANA_CLK_EN,Value of TX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 1. "TX_P0S_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0S" "0,1" newline bitfld.word 0x0 0. "TX_P0S_ANA_REFGEN_EN,Value of TX ana refgen_en in P0S" "0,1" group.word 0x408C++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PSTATE_P2,TX Power State Control Register for P2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P2_ALLOW_VBOOST,If asserted then vboost is allowed in P2" "0,1" newline bitfld.word 0x0 8. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed" "0,1" newline bitfld.word 0x0 7. "TX_P2_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P2_DIG_CLK_EN,Enable/Disable TX digital clocks in P2" "0,1" newline bitfld.word 0x0 5. "TX_P2_ANA_SERIAL_EN,Value of TX ana serial_en in P2" "0,1" newline bitfld.word 0x0 4. "TX_P2_ANA_RESET,Value of TX ana reset in P2" "0,1" newline bitfld.word 0x0 3. "TX_P2_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P2" "0,1" newline bitfld.word 0x0 2. "TX_P2_ANA_CLK_EN,Value of TX ana clk_en in P2" "0,1" newline bitfld.word 0x0 1. "TX_P2_ANA_VCM_HOLD,Value of TX ana vcm_hold in P2" "0,1" newline bitfld.word 0x0 0. "TX_P2_ANA_REFGEN_EN,Value of TX ana refgen_en in P2" "0,1" group.word 0x4090++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_0,TX Power UP Time Register #0" newline hexmask.word.byte 0x0 8.--15. 1. "TX_CLK_EN,Power up time (in ref_range cycles) for TX ana clock enable (spec: >=1us)" newline hexmask.word.byte 0x0 0.--7. 1. "TX_REFGEN_EN_TIME,Power up time (in ref_range cycles) for TX ana refgen enable (spec: >=500ns)" group.word 0x4094++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_1,TX Power UP Time Register #1" newline bitfld.word 0x0 15. "SKIP_TX_VCM_HOLD_WAIT,Skip wait for TX common mode hold power up" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_TIME_14_0,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 14:0)" group.word 0x4098++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_2,TX Power UP Time Register #2" newline bitfld.word 0x0 13.--15. "DTB_SEL,Selects data to drive on DTB 0 - disabled 1 - tx_ack and tx_pwrsm_state[0] 2 - tx_ana_rxdetp_result_i tx_ana_rxdetm_result_i 3 - tx_ana_reset_i tx_ana_clk_en_i 4 - analog/asic clocks 5 - asic early signal / clock aligner shift 6 - tx_clk_state.." "disabled,tx_ack and tx_pwrsm_state[0],tx_ana_rxdetp_result_i,tx_ana_reset_i,analog/asic clocks,asic early signal / clock aligner shift,tx_clk_state counter / lbert strobe,ref_dig_rst/tx_dig_rst" newline hexmask.word 0x0 0.--12. 1. "TX_VBOOST_DIS_TIME_12_0,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bits 12:0)" group.word 0x409C++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_3,TX Power UP Time Register #3" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_VBOOST_DIS_TIME_13,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bit 13)" "0,1" newline bitfld.word 0x0 0.--2. "TX_VCM_HOLD_TIME_17_15,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 17:15)" "0,1,2,3,4,5,6,7" group.word 0x40A0++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_4,TX Power UP Time Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_GS_TIME,TX common mode gear-shift time (in ref range cycles) (spec: >=400us)" group.word 0x40A4++0x1 line.word 0x0 "LANE0_DIG_TX_PWRCTL_TX_PWRUP_TIME_5,TX Power UP Time Register #5" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 13.--14. "TX_SERIAL_EN_TIME,Power up time (in ref_range cycles) for TX ana serial enable (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 11.--12. "TX_RESET_TIME,TX Reset deassertion time (in ref_range cycles) (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 10. "FAST_TX_RXDET,Enable fast TX RX-detection (simulation only)" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_RXDET_TIME,RX Detect up time (in ref_range cycles) starting from asserting rxdet_en (spec: from 3.55us to 25.9us)" group.word 0x40A8++0x1 line.word 0x0 "LANE0_DIG_TX_LBERT_CTL,Pattern Generator controls" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 5.--14. 1. "PAT0,Pattern for modes 3-5" newline bitfld.word 0x0 4. "TRIGGER_ERR,Insert a single error into a lsb Any write of a 1 to this bit will insert an error" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to generate When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15:.." group.word 0x40AC++0x1 line.word 0x0 "LANE0_DIG_TX_CLK_ALIGN_TX_CTL_0,TX Clock Alignment Control Register #0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_FIFO_BYPASS,By-pass TX datapath FIFO" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "TX_NUM_2UI_SHIFTS_20B_MODE," newline hexmask.word.byte 0x0 0.--3. 1. "TX_NUM_2UI_SHIFTS_16B_MODE," repeat 3. (list 0x0 0x1 0x2 )(list 0x0 0x8 0xC ) group.word ($2+0x4100)++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PSTATE_P$1,RX Power State Control Register for P0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0_DIG_CLK_EN,Enable/Disable RX digital clocks in P0" "0,1" newline bitfld.word 0x0 10. "RX_P0_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0 If RX_P0_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0" "0,1" newline bitfld.word 0x0 8. "RX_P0_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0" "0,1" newline bitfld.word 0x0 7. "RX_P0_ANA_CDR_EN,Value of RX ana cdr_en in P0" "0,1" newline bitfld.word 0x0 6. "RX_P0_ANA_DESER_EN,Value of RX ana deserial_en in P0" "0,1" newline bitfld.word 0x0 5. "RX_P0_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0" "0,1" newline bitfld.word 0x0 4. "RX_P0_ANA_CLK_EN,Value of RX ana clk_en in P0" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0" "0,1" newline bitfld.word 0x0 1. "RX_P0_ANA_AFE_EN,Value of RX ana afe_en in P0" "0,1" newline bitfld.word 0x0 0. "RX_P0_ANA_LOS_EN,Value of RX ana los_en in P0" "0,1" repeat.end group.word 0x4104++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PSTATE_P0S,RX Power State Control Register for P0S" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0S_DIG_CLK_EN,Enable/Disable RX digital clocks in P0S" "0,1" newline bitfld.word 0x0 10. "RX_P0S_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0S If RX_P0S_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0S_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0S" "0,1" newline bitfld.word 0x0 8. "RX_P0S_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0S" "0,1" newline bitfld.word 0x0 7. "RX_P0S_ANA_CDR_EN,Value of RX ana cdr_en in P0S" "0,1" newline bitfld.word 0x0 6. "RX_P0S_ANA_DESER_EN,Value of RX ana deserial_en in P0S" "0,1" newline bitfld.word 0x0 5. "RX_P0S_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0S" "0,1" newline bitfld.word 0x0 4. "RX_P0S_ANA_CLK_EN,Value of RX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0S_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0S" "0,1" newline bitfld.word 0x0 1. "RX_P0S_ANA_AFE_EN,Value of RX ana afe_en in P0S" "0,1" newline bitfld.word 0x0 0. "RX_P0S_ANA_LOS_EN,Value of RX ana los_en in P0S" "0,1" group.word 0x4110++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_0,RX Power UP Time Register #0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "SKIP_RX_LOS_EN_WAIT,Skip wait for RX LOS enable" "0,1" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_EN_TIME,Power up time (in ref_range cycles) for RX ana los enable (spec >=10us)" group.word 0x4114++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_1,RX Power UP Time Register #1" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "FAST_RX_VREG_EN,Enable fast RX VREG enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "RX_VREG_EN_TIME,Power up time (in ref_range cycles) for RX ana vreg enable (spec 500ns)" newline bitfld.word 0x0 6. "FAST_RX_AFE_EN,Enable fast RX AFE enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_AFE_EN_TIME,Power up time (in ref_range cycles) for RX ana AFE enable (spec >=1us)" group.word 0x4118++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_2,RX Power UP Time Register #2" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "FAST_RX_CLK_EN,Enable fast RX clock enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_CLK_EN_TIME,Power up time (in ref_range cycles) for RX ana clk (or dcc) enable (spec >1us)" group.word 0x411C++0x1 line.word 0x0 "LANE0_DIG_RX_PWRCTL_RX_PWRUP_TIME_3,RX Power UP Time Register #3" newline bitfld.word 0x0 14.--15. "RX_DESER_DIS_TIME,Power down time in (ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline bitfld.word 0x0 12.--13. "RX_DESER_EN_TIME,Power up time (in ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline hexmask.word.byte 0x0 8.--11. 1. "RX_CDR_EN_TIME,Power up time (in ref_range cycles) for RX ana cdr (or dfe/dfe_taps) enable (spec 0ns)" newline hexmask.word.byte 0x0 2.--7. 1. "RSVD_3_7_2,Reserved" newline bitfld.word 0x0 0.--1. "RX_RATE_TIME,Power up time (in ref_range cycles) for RX ana rate or width change" "0,1,2,3" group.word 0x4120++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_0,RX VCO calibration controls register #0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 9.--11. "INT_GAIN_CAL_BOUNCE_CNT,Number of bounces (i.e. direction changes) on the int_gain code before indicating that the RX VCO calibration is done" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "INT_GAIN_CAL_CNT_SHIFT,Number of shifts to apply to ld_cnt inputs when performing int_gain code calibration" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5. "INT_GAIN_CAL_FIXED_CNT_EN,Enable a fixed count (instead of bounce count) for int_gain code calibration" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "INT_GAIN_CAL_FIXED_CNT,Number of steps done during int_gain code calibration when INT_GAIN_CAL_FIXED_CNT_EN is enabled." group.word 0x4124++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_1,RX VCO calibration controls register #1" newline hexmask.word.byte 0x0 9.--15. 1. "DTB_SEL,DTB select for RX VCO dtb signals 7'h01 - {chkfrq_en ref_dig_clk} 7'h02 - {rx_ana_cdr_vco_en_i rx_ana_cdr_startup_i} 7'h04 - {rx_vco_up dpll_freq_rst} 7'h08 - {rx_vco_contcal_en rx_vco_cal_rst} 7'h10 - {chkfrq_done vcoclk_too_fast} 7'h20 -.." newline hexmask.word.byte 0x0 5.--8. 1. "DPLL_CAL_UG,DPLL calibration update on int_gain code 3'h0 - 0 Else - (1/16)*2^(DPLL_CAL_UG-1) LSB/update Maximum DPLL_CAL_UG=10 i.e. 32 LSB/update" newline bitfld.word 0x0 4. "DISABLE_INT_CAL_MODE,When asserted then the DPLL frequency register is never modified by the RX VCO calibration FSM (even if DPLL_CAL_UG is non-zero). In this case the calibration will always be performed on the VCO freq_tune code. This allows.." "0,1" newline bitfld.word 0x0 3. "RX_VCO_CONTCAL_EN,Override value for the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 2. "RX_VCO_CAL_RST,Override value for the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 1. "RX_VCO_FREQ_RST,Override value for the frequency reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 0. "RX_VCO_OVRD_SEL,Override the calibration controls from the RX PWRSM" "0,1" group.word 0x4128++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_2,RX VCO calibration controls register #2" newline bitfld.word 0x0 15. "SKIP_RX_VCO_CAL,Skip RX VCO calibration altogether" "0,1" newline bitfld.word 0x0 14. "SKIP_RX_VCO_FREQ_TUNE_CAL,Skip RX VCO coarse calibration" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "FREQ_TUNE_CAL_STEPS,Number of cal steps of freq tune" newline hexmask.word 0x0 0.--9. 1. "FREQ_TUNE_START_VAL,Starting value of freq tune code" group.word 0x412C++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_0,RX Power UP Time Register #0" newline bitfld.word 0x0 15. "FAST_RX_VCO_WAIT,Enable fast RX VCO power up (simulation only)" "0,1" newline hexmask.word.byte 0x0 11.--14. 1. "RX_VCO_CNTR_PWRUP_TIME,Power up time (in ref_range cycles) for Rx ana vco cnter (spec >200ns)" newline hexmask.word.byte 0x0 7.--10. 1. "RX_VCO_UPDATE_TIME,Settle time (in ref_range cycles) for RX ana vco update (freq_tune or int_gain) (spec >200ns)" newline hexmask.word.byte 0x0 0.--6. 1. "RX_VCO_STARTUP_TIME,Power up time (in ref_range cycles) for RX ana vco startup (spec >1us)" group.word 0x4130++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_1,RX Power UP Time Register #1" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 0.--2. "RX_VCO_CNTR_SETTLE_TIME,RX VCO counter value settling time in (ref_dig_clk cycles) (spec: 3 ref_dig_clk cycle)" "0,1,2,3,4,5,6,7" rgroup.word 0x4134++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_STAT_0,RX VCO status register #0" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_ANA_CDR_VCO_EN,Current value of rx_ana_cdr_vco_en_i" "0,1" newline bitfld.word 0x0 12. "RX_ANA_CDR_STARTUP,Current value of rx_ana_cdr_startup_i" "0,1" newline bitfld.word 0x0 11. "RX_ANA_VCO_CNTR_EN,Current value of rx_ana_vco_cntr_en_i" "0,1" newline bitfld.word 0x0 10. "RX_ANA_VCO_CNTR_PD,Current value of rx_ana_vco_cntr_pd_i" "0,1" newline hexmask.word 0x0 0.--9. 1. "RX_ANA_CDR_FREQ_TUNE,Current value of rx_ana_cdr_freq_tune_i" rgroup.word 0x4138++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_STAT_1,RX VCO status register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "DPLL_FREQ_RST,Indicates that the RX integral frequency is reset or not" "0,1" newline bitfld.word 0x0 7. "RX_VCO_CAL_DONE,Indicates that the RX VCO has completed calibration" "0,1" newline bitfld.word 0x0 6. "RX_VCO_CONTCAL_EN,Value of the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 5. "RX_VCO_CAL_RST,Value of the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 4. "RX_VCO_FREQ_RST,Value of the RX VCO frequency reset from the RX PWRSM" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_VCO_FSM_STATE,Value of the RX VCO CAL FSM" rgroup.word 0x413C++0x1 line.word 0x0 "LANE0_DIG_RX_VCOCAL_RX_VCO_STAT_2,RX VCO status register #2" newline bitfld.word 0x0 15. "RX_VCO_UP,Indicates that the RX VCO is ready" "0,1" newline bitfld.word 0x0 14. "RX_VCO_CORRECT,Indicates that the RX VCO clock has the correct frequency" "0,1" newline bitfld.word 0x0 13. "VCOCLK_TOO_FAST,Indicates that the RX VCO clock frequency is too fast" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_CNTR_FINAL,Value of Rx VCO counter when refclk counter expired" group.word 0x4140++0x1 line.word 0x0 "LANE0_DIG_RX_RX_ALIGN_XAUI_COMM_MASK,XAUI_COMMA Mask" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "XAUI_COMM_MASK,XAUI_COMMA Mask. For 10-bit COMMA set the mask to 0x3FF and for 7-bit COMMA set the mask to 0x3F8" group.word 0x4144++0x1 line.word 0x0 "LANE0_DIG_RX_LBERT_CTL,Pattern Matcher controls" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "SYNC,Synchronize pattern matcher LFSR with incoming data A write of a one to this bit will reset the error counter and start a synchronization of the PM. There is no need to write this back to zero to run normally." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to match When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15: X^15.." group.word 0x4148++0x1 line.word 0x0 "LANE0_DIG_RX_LBERT_ERR,Pattern match error counter" newline bitfld.word 0x0 15. "OV14,If active multiply COUNT by 128. If OV14=1 and COUNT=2^15-1 signals overflow of counter (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 0.--14. 1. "COUNT,A read of this register or a sync of the PM resets the error count. Current error count If OV14 field is active then multiply count by 128 (2 reads needed to read value)" group.word 0x414C++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_0,Control bits for receiver in recovered domain" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 7.--10. 1. "DTB_SEL,Select to drive various signals onto the dtb 0 - disabled 1 - rx_pr_stable rx_afe_stable from rx_ana_ctl 2 - com_good com_bad from rx_align 3 - shift_in_prog ana_odd_data from rx_align 4 - 2 msb's of XAUI align FSM state 5 - 2 lsb's of XAUI.." newline bitfld.word 0x0 6. "ALWAYS_REALIGN,Realign on any misaligned comma" "0,1" newline bitfld.word 0x0 5. "PHDET_EN_PR_MODE,Enable partial response phase detector mode" "0,1" newline bitfld.word 0x0 4. "PHDET_POL,Reverse polarity of phase error" "0,1" newline bitfld.word 0x0 2.--3. "PHDET_EDGE,Edges to use for phase detection. 10 - Use both edges 01 - Use rising edges only 11 - Use falling edges only 00 - Ignore all edges" "Ignore all edges,Use rising edges only,?,?" newline bitfld.word 0x0 0.--1. "PHDET_EN,Enable phase detector. top bit is odd slicers bottom is even" "0,1,2,3" group.word 0x4150++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_1,CDR Control Register #1" newline hexmask.word.byte 0x0 10.--15. 1. "SSC_OFF_CNT1,When SSC mode is disabled the 12-bit word count in gain stage 1 is: (SSC_OFF_CNT1 * 4) in 20b mode (SSC_OFF_CNT1 * 5) in 16b mode" newline hexmask.word 0x0 0.--9. 1. "SSC_OFF_CNT0,When SSC mode is disabled the 12-bit word count in gain stage 0 is: (SSC_OFF_CNT0 * 4) in 20b mode (SSC_OFF_CNT0 * 5) in 16b mode" group.word 0x4154++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_2,CDR Control Register #2" newline hexmask.word.byte 0x0 9.--15. 1. "SSC_ON_CNT1,When SSC mode is enabled the 12-bit word count in gain stage 1 is: (SSC_ON_CNT1 * 8) in 20b mode (SSC_ON_CNT1 * 10) in 16b mode" newline hexmask.word 0x0 0.--8. 1. "SSC_ON_CNT0,When SSC mode is enabled the 12-bit word count in gain stage 0 is: (SSC_ON_CNT0 * 8) in 20b mode (SSC_ON_CNT0 * 10) in 16b mode" group.word 0x4158++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_3,CDR Control Register #3" newline bitfld.word 0x0 13.--15. "FRUG_OVRD_VALUE,Override value for FRUG (frequency update gain) 3'h0 - 0 3'h1 - 1/16 LSB/update 3'h2 - 1/8 LSB/update 3'h3 - 1/4 LSB/update 3'h4 - 1/2 LSB/update 3'h5 - 1 LSB/update 3'h6 - 2 LSB/update 3'h7 - 4 LSB/update" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "PHUG_OVRD_VALUE,Override value for PHUG (phase update gain) 3'h0 - 0 3'h1 - 1000 ppm 3'h2 - 2000 ppm 3'h3 - 3000 ppm 3'h4 - 4000 ppm 3'h5 - 5000 ppm 3'h6 - 6000 ppm 3'h7 - 7000 ppm" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "OVRD_DPLL_GAIN,Override PHUG and FRUG values" "0,1" newline bitfld.word 0x0 6.--8. "SSC_OFF_FRUG0,When SSC mode is disabled the frug value in gain stage 0 is SSC_OFF_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_OFF_PHUG1,When SSC mode is disabled the phug value in gain stage 1 is SSC_OFF_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_PHUG0,When SSC mode is disabled the phug value in gain stage 0 is SSC_OFF_PHUG0" "0,1,2,3,4,5,6,7" group.word 0x415C++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_CDR_CTL_4,CDR Control Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "SSC_ON_PHUG1,When SSC mode is enabled the phug value in gain stage 1 is SSC_ON_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "SSC_ON_PHUG0,When SSC mode is enabled the phug value in gain stage 0 is SSC_ON_PHUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "SSC_ON_FRUG1,When SSC mode is enabled the frug value in gain stage 1 is SSC_ON_FRUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_ON_FRUG0,When SSC mode is enabled the frug value in gain stage 0 is SSC_ON_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_FRUG1,When SSC mode is disabled the frug value in gain stage 1 is SSC_OFF_FRUG1" "0,1,2,3,4,5,6,7" rgroup.word 0x4160++0x1 line.word 0x0 "LANE0_DIG_RX_CDR_STAT,Current output values to dpll (phug. frug)" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "FRUG_VALUE,NOTES: Current value for dpll_frug[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PHUG_VALUE,NOTES: Current value for dpll_phug[2:0]" "0,1,2,3,4,5,6,7" group.word 0x4164++0x1 line.word 0x0 "LANE0_DIG_RX_DPLL_FREQ,Current frequency integrator value." newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline hexmask.word 0x0 0.--13. 1. "VAL,Freq is 125*VAL ppm from the reference (2 reads needed to read value)" group.word 0x4168++0x1 line.word 0x0 "LANE0_DIG_RX_DPLL_FREQ_BOUND_0,Frequency Bounds for incoming data stream #0" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 1.--10. 1. "UPPER_FREQ_BOUND,Upper frequency bound in terms of LSBs of the integral control code" newline bitfld.word 0x0 0. "FREQ_BOUND_EN,Enable the frequency bounds feature" "0,1" group.word 0x416C++0x1 line.word 0x0 "LANE0_DIG_RX_DPLL_FREQ_BOUND_1,Frequency Bounds for incoming data stream #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "LOWER_FREQ_BOUND,Lower frequency bound in terms of LSBs of the integral control code" group.word 0x4180++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_0,Adaptation Configuration Register #0" newline bitfld.word 0x0 15. "ADPT_CLK_DIV4_EN,Set the adaptation clock to be divided by 4 (default is div2)" "0,1" newline bitfld.word 0x0 14. "START_ASM1,Start adaptation state machine #1 (VGA CTLE DFE EYEH) This register-bit is self-clearing" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "N_TGG_ASM1,Number of toggle loop iterations for ASM1" newline hexmask.word 0x0 0.--9. 1. "N_TOP_ASM1,Number of top level loop iterations for ASM1" group.word 0x4184++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_1,Adaptation Configuration Register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "CTLE_POLE_OVRD_EN,Override CTLE pole value (only valid if adaptation is run)" "0,1" newline bitfld.word 0x0 8.--10. "CTLE_POLE_OVRD_VAL,CTLE Pole override value to load at start of adaptation" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 7. "FAST_AFE_DFE_SETTLE,Enable fast AFE and DFE settling time (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--6. 1. "N_WAIT_ASM1,Number of wait cycles for Adaptation SM #1" group.word 0x4188++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_2,Adaptation Configuration Register #2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "TGG_PTTRN_1,Pattern for the second toggle loop Error slicer is moved upward by Data tap1 if this pattern is matched" newline hexmask.word.byte 0x0 0.--4. 1. "TGG_PTTRN_0,Pattern for the first toggle loop Error slicer is moved downward by Data tap1 if this pattern is matched" group.word 0x418C++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_3,Adaptation Configuration Register #3" newline bitfld.word 0x0 15. "ESL_TWICE_DSL,Assert if error slicer has twice the voltage range as the data slicer (for the same 8 bits)." "0,1" newline bitfld.word 0x0 14. "TGG_EN,Enable toggling of the error slicer" "0,1" newline bitfld.word 0x0 13. "EYEHO_EN,Enable eye height measurement using odd error slicer" "0,1" newline bitfld.word 0x0 12. "EYEHE_EN,Enable eye height measurement using even error slicer" "0,1" newline hexmask.word.byte 0x0 7.--11. 1. "DFE_EN,Enable DFE adaptation for taps 5-1" newline bitfld.word 0x0 6. "ATT_EN,Enable ATT adaptation" "0,1" newline bitfld.word 0x0 5. "VGA_EN,Enable VGA adaptation" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "CTLE_EN,Enable CTLE boost adaptation The five bits determine which correlators are used to adapt the CTLE" repeat 2. (list 0x4 0x5 )(list 0x0 0x4 ) group.word ($2+0x4190)++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_$1,Adaptation Configuration Register #4" newline hexmask.word.byte 0x0 12.--15. 1. "DFE2_TH,DFE Tap2 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 8.--11. 1. "DFE1_TH,DFE Tap1 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 4.--7. 1. "VGA_TH,VGA correlation decision threshold (2^N-1) During eye height measurement the VGA_TH is repurporsed for error slicer updates." newline hexmask.word.byte 0x0 0.--3. 1. "CTLE_TH,CTLE correlation decision threshold (2^N-1)" repeat.end group.word 0x4198++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_6,Adaptation Configuration Register #6" newline bitfld.word 0x0 13.--15. "ATT_LOW_TH,ATT low threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "VGA_SAT_CNT_STICKY,If deasserted then VGA saturation counts must be consecutive to change ATT" "0,1" newline bitfld.word 0x0 9.--11. "VGA_SAT_CNT,VGA saturation count" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "ATT_MU,ATT gain code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "VGA_MU,VGA gain code update gain (2^N) During eye height measurement the VGA_MU is repurporsed for error slicer updates." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "CTLE_MU,CTLE Boost code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x419C++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_7,Adaptation Configuration Register #7" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 10.--14. 1. "VGA_LEV_LOW,VGA level low saturation limit" newline hexmask.word.byte 0x0 5.--9. 1. "VGA_LEV_HIGH,VGA level high saturation limit" newline hexmask.word.byte 0x0 0.--4. 1. "VGA_MIN_SAT,VGA minimum saturation limit" group.word 0x41A0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_8,Adaptation Configuration Register #8" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "DFE5_MU,DFE tap5 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "DFE4_MU,DFE tap4 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "DFE3_MU,DFE tap3 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "DFE2_MU,DFE tap2 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "DFE1_MU,DFE tap1 code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x41A4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_CFG_9,Adaptation Configuration Register #9" newline hexmask.word.byte 0x0 8.--15. 1. "ERR_SLO_ADPT_INIT,The error odd slicer is initialized to this value at the start of a new adaptation request." newline hexmask.word.byte 0x0 0.--7. 1. "ERR_SLE_ADPT_INIT,The error even slicer is initialized to this value at the start of a new adaptation request." group.word 0x41A8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_RST_ADPT_CFG,Reset Adaptation Configuration Register" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RST_ADPT_TAP1,Reset Data Tap1 when turning off DFE adaptation (Taps 2-5 are always turned off when DFE adaptation is turned off)" "0,1" newline bitfld.word 0x0 3. "RST_ADPT_CTLE_POLE,Reset CTLE Pole when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 2. "RST_ADPT_CTLE_BOOST,Reset CTLE Boost when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 1. "RST_ADPT_VGA,Reset VGA when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 0. "RST_ADPT_ATT,Reset ATT when turning off AFE adaptation" "0,1" rgroup.word 0x41AC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ATT_STATUS,Value of ATT Adaptation code" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "ASM1_DON,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_CODE,Value of ATT adaptation code" rgroup.word 0x41B0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_VGA_STATUS,Value of VGA Adaptation code" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_CODE,Value of VGA adaptation code" rgroup.word 0x41B4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_CTLE_STATUS,Value of CTLE Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_CODE,Value of CTLE Pole adaptation code" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_CODE,Value of CTLE Boost adaptation code" rgroup.word 0x41B8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP1_STATUS,Value of DFE Tap1 Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_CODE,Value of DFE tap1 adaptation code" rgroup.word 0x41BC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP2_STATUS,Value of DFE Tap2 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_CODE,Value of DFE tap2 adaptation code" rgroup.word 0x41C0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP3_STATUS,Value of DFE Tap3 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP3_ADPT_CODE,Value of DFE tap3 adaptation code" rgroup.word 0x41C4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP4_STATUS,Value of DFE Tap4 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP4_ADPT_CODE,Value of DFE tap4 adaptation code" rgroup.word 0x41C8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_TAP5_STATUS,Value of DFE Tap5 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP5_ADPT_CODE,Value of DFE tap5 adaptation code" group.word 0x41CC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_DATA_EVEN_VDAC_OFST,Offset values for RX DFE Data Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_VDAC_OFST,Offset value for DFE Data Even vDAC" group.word 0x41D0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_DATA_ODD_VDAC_OFST,Offset values for RX DFE Data Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_VDAC_OFST,Offset value for DFE Data Odd vDAC" group.word 0x41D4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x41D8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0x41DC++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0x41E0++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" rgroup.word 0x41E4++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ERROR_SLICER_LEVEL,Value of Error Slicer Level" newline hexmask.word.byte 0x0 8.--15. 1. "E_SLE_LVL,Even Error Slicer Level" newline hexmask.word.byte 0x0 0.--7. 1. "E_SLO_LVL,Odd Error Slicer Level" group.word 0x41E8++0x1 line.word 0x0 "LANE0_DIG_RX_ADPTCTL_ADPT_RESET,Adaptation reset register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_ASM1,Resets adaptation state machine (ASM1) as well as the stats capture block. This is a self-clearing bit and requires re-start of ASM1." "0,1" group.word 0x4200++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_LD_VAL_1,Stat load value for the sample counter #1" newline bitfld.word 0x0 15. "SC1_START,Start sample counter #1 This is a self-clearing bit" "0,1" newline hexmask.word 0x0 0.--14. 1. "SC1_LD_VAL,Sample counter #1 load value" group.word 0x4204++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_DATA_MSK,Stat data mask bits [15:0]" newline hexmask.word 0x0 0.--15. 1. "DATA_MSK_15_0,Value of data_msk_r[15:0]" group.word 0x4208++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_MATCH_CTL0,Stat match controls register #0" newline bitfld.word 0x0 14.--15. "SCOPE_DLY,# of clock cycle delays on scope_data_rx_clk An additional MSB is added in SCOPE_DLY_2" "0,1,2,3" newline hexmask.word.byte 0x0 10.--13. 1. "DATA_MSK_19_16,Value of data_msk_r[19:16]" newline hexmask.word.byte 0x0 5.--9. 1. "PTTRN_CR1A_4_0,Value of pattern A for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 0.--4. 1. "PTTRN_MSK_CR1A_4_0,Value of pattern A mask for 1st correlator (bits 4:0)" group.word 0x420C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_MATCH_CTL1,Stat match controls register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "PTTRN_CR1A_ADPT_EN,Enable ORing of adapation pattern with pattern CR1A" "0,1" newline hexmask.word.byte 0x0 6.--10. 1. "PTTRN_CR1B_4_0,Value of pattern B for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 1.--5. 1. "PTTRN_MSK_CR1B_4_0,Value of pattern B mask for 1st correlator (bits 4:0)" newline bitfld.word 0x0 0. "PTTRN_CR1B_EN,Enable pattern B matching for 1st correlator" "0,1" group.word 0x4210++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CTL0,Stat controls register #0" newline bitfld.word 0x0 15. "SKIP_EN,Value of skip_en_r" "0,1" newline bitfld.word 0x0 14. "SC_TIMER_MODE,Sample counter operation mode 0x0 - counts number of matched samples 0x1 - counts clock cycles (i.e. a timer)" "counts number of matched samples,counts clock cycles" newline bitfld.word 0x0 13. "STAT_RXCLK_SEL,Select stat clock 0x0 - ref_range_clk 0x1 - rx_dig_clk (i.e. rx dword clk) Before changing stat_rxclk_sel_r from 1->0 the rx_dig_clk must be active (i.e. enabled)" "ref_range_clk,rx_dig_clk" newline bitfld.word 0x0 10.--12. "STAT_SRC_SEL,Select stat source input 0x0 - {20{rx_cal_result}} 0x1 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x2 - rx_phase[39:0] 0x3 - rx_error[39:0] 0x4 - rx_data[39:0] 0x5 - rx_phdir[39:0] 0x6 - 40'hFF_FFFF_FFFF" "?,?,rx_phase[39:0],rx_error[39:0],rx_data[39:0],rx_phdir[39:0],?,?" newline hexmask.word.byte 0x0 6.--9. 1. "STAT_SHFT_SEL,Select stat source shift value 0x0 - Correlate N-1 -> N+3 (use N for offset calibration) 0x1 - Correlate N+1 -> N+5 (for taps1-5) 0x2 - Correlate N+6 -> N+10 0x3 - Correlate N+11 -> N+15 0x4 - Correlate N+16 -> N+20 0x5 - Correlate N+21 ->.." newline bitfld.word 0x0 5. "CORR_MODE_EN,Enable correlation mode" "0,1" newline bitfld.word 0x0 3.--4. "CORR_SRC_SEL,Select correlation input source 0x0 - rx_error[39:0] 0x1 - rx_phase[39:0] 0x2 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x3 - No correlation" "rx_error[39:0],rx_phase[39:0],?,No correlation" newline bitfld.word 0x0 2. "CORR_SHFT_SEL,Select shift for phase. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 1. "CORR_SHFT_SEL_VGA,Select shift for error going to VGA. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 0. "RESERVED_0,Reserved bit" "0,1" group.word 0x4214++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CTL1,Stat controls register #1" newline bitfld.word 0x0 14.--15. "VLD_CTL,Gating configuration of stats collection 0x0 - ignore both cdr_valid and rx_valid 0x1 - gate stats collection with cdr_valid 0x2 - gate stats collection with rx_valid 0x3 - ignore both cdr_valid and rx_valid" "ignore both cdr_valid and rx_valid,gate stats collection with cdr_valid,gate stats collection with rx_valid,ignore both cdr_valid and rx_valid" newline bitfld.word 0x0 13. "VLD_LOSS_CLR,Clearing of stats collection upon loss of valid 0x0 - hold sample and stat counters 0x1 - clear sample and stat counters" "hold sample and stat counters,clear sample and stat counters" newline bitfld.word 0x0 11.--12. "DATA_DLY_SEL,# of clock cycle delays on rx_data[19:0] An additional MSB is added in DATA_DLY_SEL_2" "0,1,2,3" newline bitfld.word 0x0 10. "STAT_CLK_EN,Clock gate enable for stat clock" "0,1" newline bitfld.word 0x0 9. "SC_PAUSE,Pause the sample counter and stat counters" "0,1" newline bitfld.word 0x0 7.--8. "RESERVED_8_7,Reserved bits" "0,1,2,3" newline bitfld.word 0x0 6. "STAT_CNT_6_EN,Enable for stat counter 6" "0,1" newline bitfld.word 0x0 5. "STAT_CNT_5_EN,Enable for stat counter 5" "0,1" newline bitfld.word 0x0 4. "STAT_CNT_4_EN,Enable for stat counter 4" "0,1" newline bitfld.word 0x0 3. "STAT_CNT_3_EN,Enable for stat counter 3 Only counter to be enabled by default since used for offset calibration" "0,1" newline bitfld.word 0x0 2. "STAT_CNT_2_EN,Enable for stat counter 2" "0,1" newline bitfld.word 0x0 1. "STAT_CNT_1_EN,Enable for stat counter 1" "0,1" newline bitfld.word 0x0 0. "STAT_CNT_0_EN,Enable for stat counter 0" "0,1" rgroup.word 0x4218++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_SMPL_CNT1,Sample Counter #1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "SMPL_CNT1,Current value of sample counter #1" rgroup.word 0x421C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_0,Stat Counter 0 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_0,Current value of stat counter #0" rgroup.word 0x4220++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_1,Stat Counter 1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_1,Current value of stat counter #1" rgroup.word 0x4224++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_2,Stat Counter 2 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_2,Current value of stat counter #2" rgroup.word 0x4228++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_3,Stat Counter 3 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_3,Current value of stat counter #3" rgroup.word 0x422C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_4,Stat Counter 4 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_4,Current value of stat counter #4" rgroup.word 0x4230++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_5,Stat Counter 5 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_5,Current value of stat counter #5" rgroup.word 0x4234++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CNT_6,Stat Counter 6 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_6,Current value of stat counter #6" group.word 0x4238++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_CAL_COMP_CLK_CTL,Calibration Comparator Control" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "REF_DIV_CNT,Ref range clock count (e.g. 5'd3 = 4 ref_range cycles)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PRECHRGE_CNT,Precharge Count (e.g. 5'd1 = 2 ref_range cycles)" "0,1,2,3,4,5,6,7" repeat 4. (list 0x2 0x3 0x4 0x5 )(list 0x0 0x4 0x8 0xC ) group.word ($2+0x423C)++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_MATCH_CTL$1,Stat match controls register #2" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "PTTRN_CR1A_19_5,Value of pattern A for 1st correlator (bits 19:5)" repeat.end group.word 0x424C++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_CTL2,Stat controls register #2" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "SCOPE_DLY_2,Additional MSB bit for SCOPE_DLY to extend the delay range to 0->7" "0,1" newline bitfld.word 0x0 0. "DATA_DLY_SEL_2,Additional MSB bit for DATA_DLY_SEL to extend the delay range to 0->7" "0,1" group.word 0x4250++0x1 line.word 0x0 "LANE0_DIG_RX_STAT_STAT_STOP,Stat stop register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "SC1_STOP,Stop sample counters #1 and associated stat counters. This is a self-clearing bit and requires re-start of sample counter #1." "0,1" group.word 0x4280++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_OVRD_OUT,Override values for TX signals going to ANA" newline bitfld.word 0x0 15. "TX_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 14. "TX_RXDET_EN,Override value for tx_ana_rxdet_en" "0,1" newline bitfld.word 0x0 13. "TX_DIV4_EN,Override value for tx_ana_div4_en" "0,1" newline bitfld.word 0x0 12. "RESERVED," "0,1" newline bitfld.word 0x0 10.--11. "TX_ANA_DATA_RATE,Override value for tx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 9. "TX_ANA_SERIAL_EN,Override value for tx_ana_serial_en" "0,1" newline bitfld.word 0x0 8. "TX_ANA_RESET,Override value for tx_ana_reset" "0,1" newline bitfld.word 0x0 7. "TX_ANA_MPLLB_CLK_EN,Override value for tx_ana_mpllb_clk_en" "0,1" newline bitfld.word 0x0 6. "TX_ANA_MPLLA_CLK_EN,Override value for tx_ana_mplla_clk_en" "0,1" newline bitfld.word 0x0 5. "TX_ANA_WORD_CLK_EN,Override value for tx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_EN,Override value for tx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_VCM_HOLD,Override value for tx_ana_vcm_hold" "0,1" newline bitfld.word 0x0 2. "TX_ANA_REFGEN_EN,Override value for tx_ana_refgen_en" "0,1" newline bitfld.word 0x0 1. "TX_ANA_DATA_EN,Override value for tx_ana_data_en" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT,Override value for tx_ana_clk_shift" "0,1" group.word 0x4284++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_TERM_CODE_OVRD_OUT,Override value for TX termination code going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "TX_CLK_LB_EN,Override value for tx_ana_clk_lb_en (override enabled by TX_OVRD_EN)." "0,1" newline bitfld.word 0x0 10. "TX_TERM_OVRD_EN,Override enable for the tx_ana_term_code[9:0] signal" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_TERM_CODE,Overrides the tx_ana_term_code[9:0] signal" group.word 0x4288++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_TERM_CODE_CLK_OVRD_OUT,Override value for TX termination code clocks going to ANA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "TX_TERM_CLK_SELF_CLEAR_DISABLE,Disable self-clearing for the tx_ana_term_up/dn_clk register" "0,1" newline bitfld.word 0x0 1. "TX_TERM_UP_CLK,Override for TX term UP clock This bit is self-clearing (4 cr_clks later)." "0,1" newline bitfld.word 0x0 0. "TX_TERM_DN_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x428C++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_0,Override values for TX EQ signals going to ANA register #0" newline bitfld.word 0x0 15. "TX_EQ_OVRD_EN,Override enable for tx eq signals" "0,1" newline hexmask.word 0x0 1.--14. 1. "TX_ANA_CTRL_ATTEN_13_0,Override value for tx_ana_ctrl_atten[13:0]" newline bitfld.word 0x0 0. "TX_ANA_LOAD_CLK,Override value for tx_ana_load_clk" "0,1" group.word 0x4290++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_1,Override values for TX EQ signals going to ANA register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "TX_ANA_CTRL_ATTEN_19_14,Override value for tx_ana_ctrl_atten[19:14]" group.word 0x4294++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_2,Override values for TX EQ signals going to ANA register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 7.--12. 1. "TX_ANA_CTRL_PRE,Override value for tx_ana_ctrl_pre[5:0]" newline hexmask.word.byte 0x0 0.--6. 1. "RESERVED," group.word 0x4298++0x1 line.word 0x0 "LANE0_DIG_ANA_TX_EQ_OVRD_OUT_3,Override values for TX EQ signals going to ANA register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "TX_ANA_CTRL_POST,Override value for tx_ana_ctrl_post[7:0]" group.word 0x429C++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_CTL_OVRD_OUT,Override values for RX control signals going to ANA" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_CTL_OVRD_EN,Enable override values for outputs [8-0] below" "0,1" newline bitfld.word 0x0 7. "RX_LBK_CLK_EN,Override value for rx_ana_loopback_clk_en" "0,1" newline bitfld.word 0x0 6. "RX_ANA_ADAPTATION_EN,Override value for rx_ana_adaptation_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_DFE_TAPS_EN,Override value for rx_ana_dfe_taps_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_DIV4_EN,Override value for rx_ana_div4_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_WORD_CLK_EN,Override value for rx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_DATA_RATE,Override value for rx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 0. "RESERVED," "0,1" group.word 0x42A0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_PWR_OVRD_OUT,Override values for RX PWR UP/DN signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_PWR_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_ANA_DESERIAL_EN,Override value for rx_ana_deserial_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_CDR_EN,Override value for rx_ana_cdr_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_CLK_EN,Override value for rx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_CLK_DCC_EN,Override value for rx_ana_clk_dcc_en" "0,1" newline bitfld.word 0x0 2. "RX_ANA_CLK_VREG_EN,Override value for rx_ana_clk_vreg_en" "0,1" newline bitfld.word 0x0 1. "RX_ANA_AFE_EN,Override value for rx_ana_afe_en" "0,1" newline bitfld.word 0x0 0. "RX_ANA_LOS_EN,Override value for rx_ana_los_en" "0,1" group.word 0x42A4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_VCO_OVRD_OUT_0,Override values for RX VCO signals going to ANA #0" newline bitfld.word 0x0 15. "RX_CDR_FREQ_TUNE_OVRD_EN,Enable override value for rx_ana_cdr_freq_tune" "0,1" newline bitfld.word 0x0 14. "RX_ANA_VCO_CNTR_CLK,Override value for rx_ana_vco_cntr_clk" "0,1" newline bitfld.word 0x0 13. "RX_ANA_VCO_CNTR_EN,Override value for rx_ana_vco_cntr_en" "0,1" newline hexmask.word 0x0 3.--12. 1. "RX_ANA_CDR_FREQ_TUNE,Override value for rx_ana_cdr_freq_tune" newline bitfld.word 0x0 2. "RX_VCO_CDR_OVRD_EN,Enable override values for cdr_vco_en and cdr_startup" "0,1" newline bitfld.word 0x0 1. "RX_ANA_CDR_STARTUP,Override value for rx_ana_cdr_startup" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_EN,Override value for rx_ana_cdr_vco_en" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x42A8)++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_VCO_OVRD_OUT_$1,Override values for RX VCO signals going to ANA #1" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_ANA_VCO_CNTR_PD,Override value for rx_ana_vco_cntr_pd" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_LOWFREQ,Override value for rx_ana_cdr_vco_lowfreq" "0,1" repeat.end group.word 0x42B0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_CAL,Sets values for RX CAL signals going to ANA register" newline bitfld.word 0x0 15. "RX_ANA_CAL_COMP_EN,Value for rx_ana_cal_comp_en" "0,1" newline bitfld.word 0x0 13.--14. "RX_ANA_CAL_MODE,Value for rx_ana_cal_mode[1:0] 00 Dual differential comparison ( [vip2 - vim2] greater than [vip1 - vim1] ) 01 Differential comparison on input2 (vip2 greater than vim2) 10 Single-ended comparison negative node to negative node (vim1.." "?,?,vim2] greater than [vip1,?" newline bitfld.word 0x0 12. "RX_ANA_SLICER_CAL_EN,Value for rx_ana_slicer_cal_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 10. "RX_ANA_CAL_LPFBYP_EN,Value for rx_ana_cal_lpfbyp_en" "0,1" newline hexmask.word.byte 0x0 5.--9. 1. "RX_ANA_CAL_MUXB_SEL,Value for rx_ana_cal_muxb_sel[4:0]" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_MUXA_SEL,Value for rx_ana_cal_muxa_sel[4:0]" group.word 0x42B4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_DAC_CTRL,Sets values for RX DAC CTRL value going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ANA_CAL_DAC_CTRL,Value for rx_ana_cal_dac_ctrl[7:0]" group.word 0x42B8++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_DAC_CTRL_OVRD,Overrides RX DAC CTRL bus (en/val/sel) going to ANA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CAL_DAC_CTRL_OVRD,Override enable for Cal DAC control" "0,1" group.word 0x42BC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_DAC_CTRL_SEL,Sets values for RX DAC CTRL Select signal going to ANA" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_DAC_CTRL_SEL,Value for rx_ana_cal_dac_ctrl_sel[4:0]" group.word 0x42C0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_AFE_ATT_VGA,Value for RX AFE ATT & VGA signals going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_AFE_OVRD_EN,Override enable for AFE control" "0,1" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "RX_ANA_AFE_GAIN,Value for rx_ana_afe_gain[3:0]" newline bitfld.word 0x0 0.--2. "RX_ANA_AFE_ATT_LVL,Value for rx_ana_afe_att_lvl[2:0]" "0,1,2,3,4,5,6,7" group.word 0x42C4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_AFE_CTLE,Values for RX AFE CTLE signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 3.--7. 1. "RX_ANA_AFE_CTLE_BOOST,Value for rx_ana_afe_ctle_boost[4:0]" newline bitfld.word 0x0 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" group.word 0x42C8++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_SCOPE,Values for RX SCOPE signals going to ANA" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_SCOPE_SELF_CLEAR_DISABLE,Disable the self-clearing for rx_ana_scope_ph_clk register" "0,1" newline bitfld.word 0x0 12. "RX_ANA_SCOPE_CLK_EN,Enable the scope clocks going to the scope slicer and the lane digital part" "0,1" newline hexmask.word.byte 0x0 4.--11. 1. "RX_ANA_SCOPE_PHASE,Sets value for rx_ana_scope_phase[7:0]" newline bitfld.word 0x0 3. "RX_ANA_SCOPE_PH_CLK,Sets value for rx_ana_scope_ph_clk - This bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_SCOPE_SEL,Sets value for rx_ana_scope_sel 00 - AFE scope selected 01 - DFE even scope selected 10 - DFE odd scope selected 11 - DFE bypass/AFE buffer scope selected" "AFE scope selected,DFE even scope selected,?,?" newline bitfld.word 0x0 0. "RX_ANA_SCOPE_EN,Sets value for rx_ana_scope_en" "0,1" group.word 0x42CC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_SLICER_CTRL,Sets values for RX Slicer Ctrl signals going to ANA register" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_ANA_SLICER_CTRL_OVRD_EN,Override enable for Rx ANA Slicer Ctrl" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x42D0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_IQ_PHASE_ADJUST,Sets values for RX ANA IQ PHASE Adjust signal going to ANA register" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_ANA_IQ_PHASE_ADJUST,Value for rx_ana_iq_phase_adjust[6:0]" group.word 0x42D4++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_IQ_SENSE_EN,Sets values for RX ANA IQ SENSE signal" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ANA_IQ_SENSE_EN,Value for rx_ana_iq_sense_en" "0,1" group.word 0x42D8++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_CAL_DAC_CTRL_EN,DAC CTRL enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DAC_CTRL_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_cal_dac_ctrl_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CAL_DAC_CTRL_EN,Value for rx_ana_cal_dac_ctrl_en - If DAC_CTRL_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x42DC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_SIGNALS_CHANGES_ENABLE,Afe update enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "AFE_UPDATE_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_afe_update_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_AFE_UPDATE_EN,Value for rx_ana_afe_update_en - If AFE_UPDATE_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x42E0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_ANA_PHASE_ADJUST_CLK,Phase adjust clock signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "PHASE_ADJUST_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_iq_phase_adjust_clk register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_IQ_PHASE_ADJUST_CLK,Value for rx_ana_iq_phase_adjust_clk - If PHASE_ADJUST_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" rgroup.word 0x42E4++0x1 line.word 0x0 "LANE0_DIG_ANA_STATUS_0,Lane input status register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_ANA_SCOPE_DATA,Value from ANA for rx_ana_scope_data" "0,1" newline bitfld.word 0x0 6. "RX_ANA_CAL_RESULT,Value from ANA for rx_ana_cal_result" "0,1" newline bitfld.word 0x0 5. "RX_ANA_LOS,Value from ANA for rx_ana_los" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_LB_EN,Value of tx_ana_clk_lb_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_LOOPBACK_EN,Value of tx_ana_loopback_en" "0,1" newline bitfld.word 0x0 2. "TX_ANA_RXDETM_RESULT,Value from ANA for tx_ana_rxdetm_result" "0,1" newline bitfld.word 0x0 1. "TX_ANA_RXDETP_RESULT,Value from ANA for tx_ana_rxdetp_result" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT_ACK,Value from ANA for tx_ana_clk_shift_ack" "0,1" rgroup.word 0x42E8++0x1 line.word 0x0 "LANE0_DIG_ANA_STATUS_1,Lane input status register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_ANA_VCO_CNTR,Value from ANA for rx_ana_vco_cntr" group.word 0x42EC++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_TERM_CODE_OVRD_OUT,Override value for RX termination code going to ANA" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "RX_TERM_OVRD_EN,Override enable for the rx_ana_term_code[5:0] signal" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_TERM_CODE,Overrides the rx_ana_term_code[5:0] signal" group.word 0x42F0++0x1 line.word 0x0 "LANE0_DIG_ANA_RX_TERM_CODE_CLK_OVRD_OUT,Override value for RX termination code clock going to ANA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_TERM_CLK_SELF_CLEAR_DISABLE,Disable the self-clearing of rx_ana_term_clk register" "0,1" newline bitfld.word 0x0 0. "RX_TERM_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x4300++0x1 line.word 0x0 "LANE0_ANA_TX_OVRD_MEAS,TX_OVRD_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "PULL_DN_REG,Pull down TX output if asserted" "0,1" newline bitfld.word 0x0 6. "PULL_UP_REG,Pull up TX output if asserted" "0,1" newline bitfld.word 0x0 5. "VCM_HOLD_REG,Set Tx in common mode if asserted together with bit 4" "0,1" newline bitfld.word 0x0 4. "OVRD_VCM_HOLD,If asserted bit 5 take effect on control Tx common mode" "0,1" newline bitfld.word 0x0 3. "MEAS_SAMP_P,Measure clock p DCD through atb_s_p on clock psample" "0,1" newline bitfld.word 0x0 2. "MEAS_SAMP_M,Measure clock m DCD through atb_s_p on clock m sample" "0,1" newline bitfld.word 0x0 1. "CLK_SHIFT_REG,Controls clock shift if asserted with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_CLK_SHIFT,If asserted allow analog register to control clock shift function" "0,1" group.word 0x4304++0x1 line.word 0x0 "LANE0_ANA_TX_PWR_OVRD,TX_PWR_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_EN,Enable analog register to take control TX power state if asserted" "0,1" newline bitfld.word 0x0 6. "SERIAL_EN_REG,Enable TX serializer if assered with bit 7" "0,1" newline bitfld.word 0x0 5. "CLK_EN_REG,Enable TX clock if asserted with bit 7" "0,1" newline bitfld.word 0x0 4. "DATA_EN_REG,Enable TX driver data path if asserted with bit 7" "0,1" newline bitfld.word 0x0 3. "CLK_DIV_EN_REG,Enable TX divider if asserted with bit 7 overrides !tx_reset" "0,1" newline bitfld.word 0x0 2. "REFGEN_EN_REG,Enable TX biasing if asserted with bit 7" "0,1" newline bitfld.word 0x0 1. "LOOPBACK_EN_REG,Enable TX loopback path to RX if asserted along with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_TX_LOOPBACK,Enable Tx loopback mode over ridden by analog register if asserted" "0,1" group.word 0x4308++0x1 line.word 0x0 "LANE0_ANA_TX_ALT_BUS,TX_ALT_BUS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "JTAG_DATA_REG,When bit 2 is asserted it replace jtag data" "0,1" newline bitfld.word 0x0 4.--6. "TX_ALT_RINGO,Three bit select of the ALT path test oscillators 000 no oscillators enabled 001 osc_vp_ulvt oscillator enabled 010 osc_vptx_lvt oscillator enabled 011 osc_vp_lvt oscillator enabled 100 Reserved 101 Reserved 110 Reserved 111 osc_vph_hv.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "NC3,Reserved" "0,1" newline bitfld.word 0x0 2. "OVRD_ALT_BUS,If asserted jtag data and TX data source selection are controlled by bits [1:0] and bit 7" "0,1" newline bitfld.word 0x0 0.--1. "DRV_SOURCE_REG,When bit 2 is asserted drv_source_reg[1:0] takes control of TX function overrides tx_data_source[1:0]" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x430C)++0x1 line.word 0x0 "LANE0_ANA_TX_ATB$1,TX_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "NC7,Reserved" "0,1" newline bitfld.word 0x0 6. "ATB_VREG1,Put TX regulator 1 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "ATB_VREG0,Put TX regulator 0 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_0,Use atb_s_m as TX regulator 0 reference voltage when asserted" "0,1" newline bitfld.word 0x0 3. "ATB_VPTX,Put TX driver local vptx on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 2. "ATB_VDCCP,Put DCC control voltage p on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 1. "ATB_VDCCM,Put DCC control voltage m on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 0. "ATB_GD,Put tx local gd on atb_s_p when asserted" "0,1" repeat.end group.word 0x4314++0x1 line.word 0x0 "LANE0_ANA_TX_VBOOST,TX_VBOOST" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_VBOOST_EN,Enable TX boost mode to be override by bit 6" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_REG,If bit 7 is set to 1 analog register takes control of Tx vboost enable/disable" "0,1" newline bitfld.word 0x0 5. "BOOST_VPTX_MODE_N,If asserted TX boost mode becomes a direct boost mode. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref) in default TX boost mode" "0,1" newline bitfld.word 0x0 4. "ATB_VBOOST,Measure vptx/2 through atb_s_p when TX boost is enabled" "0,1" newline bitfld.word 0x0 3. "ATB_VBOOST_VREF,Measure tx boost reference voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREF_BOOST_REF,If enabled atb_s_m is used to provide Tx boost reference voltage instead of bandgap voltage tx_vboost_vref" "0,1" newline bitfld.word 0x0 1. "ATB_S_ENABLE,Enables TX atb function if asserted This bit has to be set to 1 in order to make TX atb_s_p/m visible" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VPH_HALF,Measure vph/2 on atb_s_p" "0,1" group.word 0x4318++0x1 line.word 0x0 "LANE0_ANA_TX_TERM_CODE,TX_TERM_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "TERM_CODE_REG,TX leg biasing register (7 MSBs) this is Term_code_reg[9:3]" newline bitfld.word 0x0 0. "TERM_CODE_OVRD,Enable analog register to overdrive TX leg biasing" "0,1" group.word 0x431C++0x1 line.word 0x0 "LANE0_ANA_TX_TERM_CODE_CTRL,TX_TERM_CODE_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_TERM_REG,Resets the tx termination code DACs" "0,1" newline bitfld.word 0x0 6. "RESET_TERM_OVRD,Enable analog register to reset termination code DACs" "0,1" newline bitfld.word 0x0 5. "UPDATE_TERM_UP_REG,Register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 4. "UPDATE_TERM_UP_OVRD,Enables analog register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 3. "UPDATE_TERM_DN_REG,Register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 2. "UPDATE_TERM_DN_OVRD,Enables analog register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 1. "TX_PWR_EN_REG,Register control over the power gating of blocks within the TX" "0,1" newline bitfld.word 0x0 0. "TX_PWR_EN_OVRD,Enables analog register control over the power gating of blocks within the TX" "0,1" group.word 0x4320++0x1 line.word 0x0 "LANE0_ANA_TX_IBOOST_CODE,TX_IBOOST_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "IBOOST_CODE_REG,When TX boost is enabled and bit 3 is asserted these 4 bits take control of TX boost. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref-vptx/2) in default TX boost mode" newline bitfld.word 0x0 3. "IBOOST_CODE_OVRD,Enable analog register overdrive for TX boost" "0,1" newline bitfld.word 0x0 1.--2. "TERM_CODE_REG,TX leg biasing register bit 2 & 1 this is term_code_reg[2:1]" "0,1,2,3" newline bitfld.word 0x0 0. "LFPS_HIGH_PRIORITY,If asserted lfps/beacon enable has higher priority than data enable" "0,1" group.word 0x4324++0x1 line.word 0x0 "LANE0_ANA_TX_OVRD_CLK,TX_OVRD_CLK" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_WORD_CLK_EN,If asserted it allows bit 6 to override tx word clock enable" "0,1" newline bitfld.word 0x0 6. "WORD_CLK_EN_REG,Tx word clock enable/disable when bit 7 is asserted" "0,1" newline bitfld.word 0x0 5. "OVRD_MPLLAB_EN,If asserted it allows bit 3 or 4 to take control of selecting MPLL clocks" "0,1" newline bitfld.word 0x0 4. "MPLLA_CLK_EN_REG,When asserted with bit 5 selects MPLLA clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 3. "MPLLB_CLK_EN_REG,When asserted with bit 5 selects MPLLB clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 2. "OVRD_LB_EN,If asserted it allows bit 1 takes control of RX clock loopback to TX" "0,1" newline bitfld.word 0x0 1. "CLK_LB_EN_REG,When asserted with bit 2 selects RX clock for TX data output clock" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_REGREF_1,Use atb_s_m to over ride TX regulator reference voltage when asserted" "0,1" group.word 0x4328++0x1 line.word 0x0 "LANE0_ANA_TX_MISC,TX_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_RXDETREF,If asserted atb_s_m is used to override RX detection reference voltage" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_BIAS_VPTX,Measure TX bias local vptx through atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "OSC_DIV4_EN,If asserted divides tx_alt oscillator output frequency by 4" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "NC4_0,Reserved" group.word 0x432C++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_IQSKEW,RX_ATB_IQSKEW" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MASTER_ATB_EN,If asserted enable RX ATB sensing bus atb_s_p/m visible externally.The exception is bit 5 which dont require this bit to be asserted" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_RX_SCOPE_REG,If asserted enable scope linearity characterization through atb_s_p/m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VP,If asserted vp is measured through atb_s_p" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "IQ_PHASE_ADJUST_REG,If ovrd_iq_phase_adjust is enabled these bits control the main PMIX" group.word 0x4330++0x1 line.word 0x0 "LANE0_ANA_RX_DCC_OVRD,RX_DCC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "DCC_EN_REG,When bit 6 is asserted this bit takes control of RX DCC enable" "0,1" newline bitfld.word 0x0 6. "OVRD_DCCANDAFE_EN,When asserted override RX DCC enable by analog register" "0,1" newline bitfld.word 0x0 5. "RX_LOOPBACK_CLK_REG,When bit 4 asserted this bit takes control of RX clock loopback enable/disable" "0,1" newline bitfld.word 0x0 4. "OVRD_RX_LOOPBACK_CLK,When asserted override rx clock loopback by analog register" "0,1" newline bitfld.word 0x0 2.--3. "MEAS_ATB_VDCC,meas_atb_vdcc[1:0] 00 Disable atb measurement 01 measure vdcc_i_p/m through atb_s_p/m 10 measure vdcc_q_p/m through atb_s_p/m 11 measure vdcc_i/q through atb_s_p/m" "0,1,2,3" newline bitfld.word 0x0 0.--1. "NC1_0,Reserved" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0xC ) group.word ($2+0x4334)++0x1 line.word 0x0 "LANE0_ANA_RX_PWR_CTRL$1,RX_PWR_CTRL1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "AFE_EN_REG,When asserted with bit 6 enables AFE" "0,1" newline bitfld.word 0x0 6. "OVRD_AFE_EN,If asserted bit 7 take control of AFE enable/disable" "0,1" newline bitfld.word 0x0 5. "LOS_EN_REG,When asserted with bit 4 enables LOS" "0,1" newline bitfld.word 0x0 4. "OVRD_LOS_EN,If asserted bit 5 take control of LOS enable/disable" "0,1" newline bitfld.word 0x0 3. "CLK_EN_REG,When asserted with bit 2 enables RX clock" "0,1" newline bitfld.word 0x0 2. "OVRD_CLK_EN,If asserted bit 3 take control of RX clock enable/disable" "0,1" newline bitfld.word 0x0 1. "ACJT_EN_REG,When asserted with bit 0 enables ACJTAG" "0,1" newline bitfld.word 0x0 0. "OVRD_ACJT_EN,If asserted bit 1 take control of ACJTAG enable/disable" "0,1" repeat.end group.word 0x4338++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_REGREF,RX_ATB_REGREF" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "MEAS_ATB_CAL_MUX,Meas_atb_cal_mux is 3 bit signal Meas_atb_cal_mux[2:0] If Meas_atb_cal_mux[2] asserted RX offset calibration comparator first stage differential outputs are measured through atb_s_p/m If Meas_atb_cal_mux[1] asserted atb_s_p/m.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_CLK,If asserted RX clock regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 3. "OVERRIDE_REGREF_SCOPE,If asserted RX scope regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 2. "NC2,Reserved" "0,1" newline bitfld.word 0x0 0.--1. "MEAS_ATB_SAMP,meas_atb_samp[1:0] 00 Disable atb measurement 01 measure clk_i_p/m sampling node through atb_s_p/m 10 measure clk_q_p/m sampling node through atb_s_p/m 11 measure clk_i/q sampling node through atb_s_p/m" "0,1,2,3" group.word 0x433C++0x1 line.word 0x0 "LANE0_ANA_RX_CDR_AFE,RX_CDR_AFE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "NC7_6,Reserved" "0,1,2,3" newline bitfld.word 0x0 5. "PHDET_EVEN_REG,If asserted CDR phase detector uses even data path" "0,1" newline bitfld.word 0x0 4. "PHDET_ODD_REG,If asserted CDR phase detector uses odd data path" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MEAS_ATB_RX,This is meas_atb_rx[9:6] bit each bit correspond to ==> meas_atb_rx[9] If asserted AFE biasing vbp is measured through atb_s_p meas_atb_rx[8] If asserted AFE biasing vbn is measured through atb_s_m meas_atb_rx[7] If asserted rx_p is.." group.word 0x4344++0x1 line.word 0x0 "LANE0_ANA_RX_MISC_OVRD,RX_MISC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_VREG_PRECHG_REG,If asserted the overvoltage compensation circuit in rx_vregs disabled" "0,1" newline bitfld.word 0x0 6. "CTLE_OFFSET_CAL_ENB,If asserted the offset calibration currents in the CTLE are disabled" "0,1" newline bitfld.word 0x0 5. "OVRD_RX_LOS_LFPS_EN,If asserted bit 4 enable/disables RX true LFPS detection" "0,1" newline bitfld.word 0x0 4. "RX_LOS_LFPS_EN_REG,If asserted with bit 5 enables true LFPS detection" "0,1" newline bitfld.word 0x0 2.--3. "NC3_2,Reserved" "0,1,2,3" newline bitfld.word 0x0 1. "WORD_CLK_EN_REG,If asserted with bit 0 enables rx word clock" "0,1" newline bitfld.word 0x0 0. "OVRD_WORD_CLK_EN,If asserted bit 1 takes control of word clock enable/disable" "0,1" group.word 0x4348++0x1 line.word 0x0 "LANE0_ANA_RX_CAL_MUXA,RX_CAL_MUXA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXA_SEL,If asserted selects analog register setting to control RX calibration path A" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXA_SEL_REG,Analog registers to control RX calibration path A if bit 7 is asserted this is cal_muxa_sel_reg[4:0]" newline bitfld.word 0x0 1. "MEAS_ATB_VIBIAS_VCO,If asserted measure CDR VCO bias current through atb_s_p (25uA)" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_200U,If asserted measure CDR VCO bias current through atb_s_m (200uA)" "0,1" group.word 0x434C++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_MEAS1,RX_ATB_MEAS1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "ATB_FRC_VLOS,If asserted force los detection reference voltage through atb_s_m" "0,1" newline hexmask.word.byte 0x0 1.--6. 1. "MEAS_ATB_RX,This is meas_atb_rx[5:0] bits where each bit correspond to meas_atb_rx[5] If asserted rx_m is driven by atb_f_m meas_atb_rx[4] If asserted rx_m is sensed through atb_s_m meas_atb_rx[3] If asserted measure RX LOS detection threshold.." newline bitfld.word 0x0 0. "NC0,Reserved" "0,1" group.word 0x4350++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_MEAS2,RX_ATB_MEAS2" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_GD,If asserted measure RX regulator local gd through atb_s_p" "0,1" newline bitfld.word 0x0 6. "NC6,Reserved" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_CLK,If asserted measure RX clock regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_VREG_SCOPE,If asserted measure RX scope regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_OVRD_CDR_EN,If asserted enables CDR regardless of the digital control" "0,1" newline bitfld.word 0x0 1. "MEAS_ATB_VCO_GD,If asserted measure CDR VCO local gd through atb_s_m" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_VOSC,If asserted measure CDR VCO oscillation bias current through atb_s_m" "0,1" group.word 0x4354++0x1 line.word 0x0 "LANE0_ANA_RX_CAL_MUXB,RX_CAL_MUXB" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXB_SEL,If asserted selects analog register setting to control RX calibration path B" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXB_SEL_REG,Analog registers to control RX calibration path B if bit 7 is asserted this is cal_muxb_sel_reg[4:0]" newline bitfld.word 0x0 1. "OVRD_DFE_TAPS_EN,If asserted allows bit 0 to enable/disable dfe taps 1 and 2" "0,1" newline bitfld.word 0x0 0. "DFE_TAPS_EN_REG,If bit 1 is asserted controls DFE tap 1 and 2" "0,1" group.word 0x4358++0x1 line.word 0x0 "LANE0_ANA_RX_TERM,RX_TERM" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "AFE_CM_SEL,Added to make AFE CM voltage controllable (below values are for TT vp=0.8V) 00 vcm=662mV 01 vcm=627mV (default) 10 vcm=593mV 11 vcm=558mV" "0,1,2,3" newline bitfld.word 0x0 5. "OVRD_RX_TERM_GD_EN,If asserted the ground termination enable value is controlled via registers" "0,1" newline bitfld.word 0x0 4. "RX_TERM_GD_EN_REG,If termination override is asserted controls the ground termination enable" "0,1" newline bitfld.word 0x0 3. "OVRD_IQ_PHASE_ADJUST,If asserted the iq_phase_adjust value is controlled via registers" "0,1" newline bitfld.word 0x0 2. "VCO_TEMP_COMP_EN,If asserted the RX-VCO temperature compensation circuit is enabled" "0,1" newline bitfld.word 0x0 0.--1. "CDR_VCO_STARTUP_CODE_REG,RX_VCO startup current over-ride cdr_vco_startup_code Startup override 00 cdr_freq_code_int[9:7] = cdr_freq_code[9:7] 01 when startup = 1 -> cdr_freq_code_int[9] = 1 10 when startup = 1 -> cdr_freq_code_int[8] = 1 11 when startup.." "?,cdr_freq_code_int[7] = 1,?,?" group.word 0x435C++0x1 line.word 0x0 "LANE0_ANA_RX_SLC_CTRL,RX_SLC_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "RX_SLICER_CTRL_E_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the even slicer configuration" newline hexmask.word.byte 0x0 0.--3. 1. "RX_SLICER_CTRL_O_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the odd slicer configuration" group.word 0x4360++0x1 line.word 0x0 "LANE0_ANA_RX_ATB_VREG,RX_ATB_VREG" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_REGREF_IQC,If asserted main PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 6. "OVRD_REGREF_IQC_SCOPE,If asserted scope PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VREG_DFE,If asserted measure RX DFE regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_ATB_VREG_IQC,If asserted measure RX main PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_VREG_IQC_SCOPE,If asserted measure RX scope PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 1. "OVRD_IQC_VREF_SEL,If asserted the vref on the iqc regulator is controlled via vbg_vref which can be controlled via registers" "0,1" newline bitfld.word 0x0 0. "OVRD_RX_SLICER_CTRL_REG,If asserted the slicer configuration value is controlled via registers (LANE.RX_SLC_CTRL)" "0,1" group.word 0x4400++0x1 line.word 0x0 "LANE1_DIG_ASIC_LANE_OVRD_IN,Override values for incoming LANE controls from ASIC" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Override value for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Override value for lane_tx2rx_ser_lb_en_r" "0,1" group.word 0x4404++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_0,Override values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DATA_EN_OVRD_EN,Enable override for tx_data_en" "0,1" newline bitfld.word 0x0 14. "DATA_EN,Override value for tx_data_en" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL_OVRD_EN,Enable override for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 12. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11. "WIDTH_OVRD_EN,Enable override for tx_width[1:0]" "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Override value for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8. "RATE_OVRD_EN,Enable override for tx_rate[2:0]" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "PSTATE_OVRD_EN,Enable override for tx_pstate[1:0]" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Override value for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override for tx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for tx_req" "0,1" group.word 0x4408++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_1,Override values for incoming TX drive controls from ASIC. register #1" newline bitfld.word 0x0 15. "MAIN_OVRD_EN,Enable override values for TX EQ main input" "0,1" newline hexmask.word.byte 0x0 9.--14. 1. "TX_MAIN_CURSOR,Override value for tx_eq_main" newline bitfld.word 0x0 8. "EN,Enable override values for inputs below controlled by this register" "0,1" newline bitfld.word 0x0 7. "VBOOST_EN,Override value for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 3.--6. 1. "IBOOST_LVL,Override value for tx_iboost_lvl" newline bitfld.word 0x0 2. "BEACON_EN,Override value for tx_beacon_en" "0,1" newline bitfld.word 0x0 1. "DISABLE,Override value for tx_disable" "0,1" newline bitfld.word 0x0 0. "NYQUIST_DATA,Override incoming data to nyquist" "0,1" group.word 0x440C++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_2,Override values for incoming TX drive controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "POST_OVRD_EN,Enable override values for TX EQ post input" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "TX_POST_CURSOR,Override value for tx_eq_post" newline bitfld.word 0x0 6. "PRE_OVRD_EN,Enable override values for TX EQ pre input" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Override value for tx_eq_pre" group.word 0x4410++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_3,Override values for incoming TX drive controls from ASIC. register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "LPD_OVRD_EN,Enable override for tx_lpd" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 5. "INVERT_OVRD_EN,Enable override for tx_invert" "0,1" newline bitfld.word 0x0 4. "INVERT,Override value for tx_invert" "0,1" newline bitfld.word 0x0 3. "DETECT_RX_REQ_OVRD_EN,Enable override for tx_detrx_req" "0,1" newline bitfld.word 0x0 2. "DETECT_RX_REQ,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 1. "CLK_RDY_OVRD_EN,Enable override for tx_clk_rdy" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Override value for tx_clk_rdy" "0,1" group.word 0x4414++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_IN_4,Override values for incoming TX drive controls from ASIC. register #4" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for tx_reset" "0,1" group.word 0x4418++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_OVRD_OUT,Override values for outgoing TX controls to ASIC" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "EN_DETRX_RESULT,Enable for override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 2. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 1. "EN_TX_ACK,Enable for override value for tx_ack" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Override value for tx_ack" "0,1" group.word 0x441C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_0,Override values for incoming RX controls from ASIC. register #0" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "WIDTH_OVRD_EN,Enable override for rx_width" "0,1" newline bitfld.word 0x0 10.--11. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 9. "RATE_OVRD_EN,Enable override value for rx_rate" "0,1" newline bitfld.word 0x0 7.--8. "RATE,Override value for rx_rate" "0,1,2,3" newline bitfld.word 0x0 6. "PSTATE_OVRD_EN,Enable override value for rx_pstate" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3. "DATA_EN_OVRD_EN,Enable override value for rx_data_en" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "REQ_OVRD_EN,Enable override value for rx_req" "0,1" newline bitfld.word 0x0 0. "REQ,Override value for rx_req" "0,1" group.word 0x4420++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_1,Override values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_REF_LD_VAL_6,Override value for rx_ref_ld_val[6]" "0,1" newline bitfld.word 0x0 7. "EN,Enable override values for all inputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_CDR_VCO_LOWFREQ,Override value for rx_cdr_vco_lowfreq" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_REF_LD_VAL_5_0,Override value for rx_ref_ld_val[5:0]" group.word 0x4424++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_2,Override values for incoming RX controls from ASIC. register #2" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "EN,Enable override values for all inputs controlled by this register" "0,1" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Override value for rx_vco_ld_val" group.word 0x4428++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_3,Override values for incoming RX controls from ASIC. register #3" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "LOS_OVRD_EN,Enable override for rx_los_lfps_en and rx_los_threshold" "0,1" newline bitfld.word 0x0 13. "LOS_LPFS_EN,Override value for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 10.--12. "LOS_THRSHLD,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "DISABLE_OVRD_EN,Enable override for rx_disable" "0,1" newline bitfld.word 0x0 8. "DISABLE,Override value for rx_disable" "0,1" newline bitfld.word 0x0 7. "CLK_SHIFT_OVRD_EN,Enable override for rx_clk_shift" "0,1" newline bitfld.word 0x0 6. "CLK_SHIFT,Override value for rx_clk_shift" "0,1" newline bitfld.word 0x0 5. "ALIGN_EN_OVRD_EN,Enable override for rx_align_en" "0,1" newline bitfld.word 0x0 4. "ALIGN_EN,Override value for rx_align_en" "0,1" newline bitfld.word 0x0 3. "CDR_SSC_EN_OVRD_EN,Enable override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 2. "CDR_SSC_EN,Override value for rx_cdr_ssc_en" "0,1" newline bitfld.word 0x0 1. "CDR_TRACK_EN_OVRD_EN,Enable override value for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 0. "CDR_TRACK_EN,Override value for rx_cdr_track_en" "0,1" group.word 0x442C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_4,Override values for incoming RX controls from ASIC. register #4" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TERM_OVRD_EN,Enable override for rx_term_acdc and rx_term_en" "0,1" newline bitfld.word 0x0 8. "TERM_ACDC,Override value for rx_term_acdc" "0,1" newline bitfld.word 0x0 7. "TERM_EN,Override value for rx_term_en" "0,1" newline bitfld.word 0x0 6. "ADPT_OVRD_EN,Enable override for rx_adpt_dfe_en and rx_adpt_afe_en" "0,1" newline bitfld.word 0x0 5. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 4. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 3. "INVERT_OVRD_EN,Enable override for rx_invert" "0,1" newline bitfld.word 0x0 2. "INVERT,Override value for rx_invert" "0,1" newline bitfld.word 0x0 1. "LPD_OVRD_EN,Enable override for rx_lpd" "0,1" newline bitfld.word 0x0 0. "LPD,Override value for rx_lpd" "0,1" group.word 0x4430++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_IN_5,Override values for incoming RX controls from ASIC. register #5" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Enable override for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET,Override value for rx_reset" "0,1" group.word 0x4434++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_EQ_IN_0,Override values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Override value for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Override value for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Override value for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" group.word 0x4438++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_EQ_IN_1,Override values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "EQ_OVRD_EN,Enable override value for rx_eq_* inputs" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Override value for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Override value for rx_eq_dfe_tap2" group.word 0x443C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_OVRD_OUT_0,Override values for outgoing RX controls to ASIC. register #0" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "ADAPT_STS_OVRD_EN,Enable override for rx_adapt_sts" "0,1" newline bitfld.word 0x0 4.--5. "ADAPT_STS,Override value for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 3. "LOS_OUT_OVRD_EN,Enable override for rx_los_r" "0,1" newline bitfld.word 0x0 2. "LOS,Override value for rx_los" "0,1" newline bitfld.word 0x0 1. "ACK_OVRD_EN,Enable override for rx_ack" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0x4440++0x1 line.word 0x0 "LANE1_DIG_ASIC_LANE_ASIC_IN,Current values for incoming LANE controls from ASIC" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_RX2TX_PAR_LB,Value from ASIC for lane_rx2tx_par_lb_en_r" "0,1" newline bitfld.word 0x0 0. "LANE_TX2RX_SER_LB,Value from ASIC for lane_tx2rx_ser_lb_en_r" "0,1" rgroup.word 0x4444++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_IN_0,Current values for incoming TX controls from ASIC. register #0" newline bitfld.word 0x0 15. "DISABLE,Value from ASIC for tx_disable" "0,1" newline bitfld.word 0x0 14. "DETECT_RX_REQ,Value from ASIC for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MPLLB_SEL,Value from ASIC for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 11.--12. "WIDTH,Value from ASIC for tx_width[1:0]" "0,1,2,3" newline bitfld.word 0x0 8.--10. "RATE,Value from ASIC for tx_rate[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--7. "PSTATE,Value from ASIC for tx_pstate[1:0]" "0,1,2,3" newline bitfld.word 0x0 5. "LPD,Value from ASIC for tx_lpd" "0,1" newline bitfld.word 0x0 4. "REQ,Value from ASIC for tx_req" "0,1" newline bitfld.word 0x0 3. "DATA_EN,Value from ASIC for tx_data_en" "0,1" newline bitfld.word 0x0 2. "INVERT,Value from ASIC for tx_invert" "0,1" newline bitfld.word 0x0 1. "RESET,Value from ASIC for tx_reset" "0,1" newline bitfld.word 0x0 0. "CLK_RDY,Value from ASIC for tx_clk_rdy" "0,1" rgroup.word 0x4448++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_IN_1,Current values for incoming TX controls from ASIC. register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_MAIN_CURSOR,Value from ASIC for tx_eq_main" newline bitfld.word 0x0 5. "VBOOST_EN,Value from ASIC for tx_vboost_en" "0,1" newline hexmask.word.byte 0x0 1.--4. 1. "IBOOST_LVL,Value from ASIC for tx_iboost_lvl" newline bitfld.word 0x0 0. "BEACON_EN,Value from ASIC for tx_beacon_en" "0,1" rgroup.word 0x444C++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_IN_2,Current values for incoming TX controls from ASIC. register #2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word.byte 0x0 6.--11. 1. "TX_POST_CURSOR,Value from ASIC for tx_eq_post" newline hexmask.word.byte 0x0 0.--5. 1. "TX_PRE_CURSOR,Value from ASIC for tx_eq_pre" rgroup.word 0x4450++0x1 line.word 0x0 "LANE1_DIG_ASIC_TX_ASIC_OUT,Current values for outgoing TX status controls from PHY" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DETRX_RESULT,Value from PHY for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "TX_ACK,Value from PHY for tx_ack" "0,1" rgroup.word 0x4454++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_ASIC_IN_0,Current values for incoming RX controls from ASIC. register #0" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "CDR_TRACK_EN,Value from ASIC for rx_cdr_track_en" "0,1" newline bitfld.word 0x0 13. "ADAPT_DFE_EN,Value from ASIC for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 12. "ADAPT_AFE_EN,Value from ASIC for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 9.--10. "WIDTH,Value from ASIC for rx_width" "0,1,2,3" newline bitfld.word 0x0 7.--8. "RATE,Value from ASIC for rx_rate" "0,1,2,3" newline bitfld.word 0x0 5.--6. "PSTATE,Value from ASIC for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from ASIC for rx_lpd" "0,1" newline bitfld.word 0x0 3. "REQ,Value from ASIC for rx_req" "0,1" newline bitfld.word 0x0 2. "DATA_EN,Value from ASIC for rx_data_en" "0,1" newline bitfld.word 0x0 1. "INVERT,Value from ASIC for rx_invert" "0,1" newline bitfld.word 0x0 0. "RESET,Value from ASIC for rx_reset" "0,1" rgroup.word 0x4458++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_ASIC_IN_1,Current values for incoming RX controls from ASIC. register #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "RX_TERM_ACDC,Value from ASIC for rx_term_acdc" "0,1" newline bitfld.word 0x0 8. "RX_TERM_EN,Value from ASIC for rx_term_en" "0,1" newline bitfld.word 0x0 7. "LOS_LPFS_EN,Value from ASIC for rx_los_lfps_en" "0,1" newline bitfld.word 0x0 4.--6. "LOS_THRSHLD,Value from ASIC for rx_los_threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "DISABLE,Value from ASIC for rx_disable" "0,1" newline bitfld.word 0x0 2. "CLK_SHIFT,Value from ASIC for rx_clk_shift" "0,1" newline bitfld.word 0x0 1. "ALIGN_EN,Value from ASIC for rx_align_en" "0,1" newline bitfld.word 0x0 0. "CDR_SSC_EN,Value from ASIC for rx_cdr_ssc_en" "0,1" rgroup.word 0x445C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_EQ_ASIC_IN_0,Current values for incoming RX EQ controls from ASIC. register #0" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "EQ_AFE_GAIN,Value from ASIC for rx_eq_afe_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0x4460++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_EQ_ASIC_IN_1,Current values for incoming RX EQ controls from ASIC. register #1" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 7.--14. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline hexmask.word.byte 0x0 0.--6. 1. "EQ_DFE_TAP2,Value from ASIC for rx_eq_dfe_tap2" rgroup.word 0x4464++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_CDR_VCO_ASIC_IN_0,Current values for incoming RX CDR VCO controls from ASIC. register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "RX_REF_LD_VAL,Value from ASIC for rx_ref_ld_val" newline bitfld.word 0x0 0. "RX_CDR_VCO_LOWFREQ,Value from ASIC for rx_cdr_vco_lowfreq" "0,1" rgroup.word 0x4468++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_CDR_VCO_ASIC_IN_1,Current values for incoming RX CDR VCO controls from ASIC. register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_VCO_LD_VAL,Value from ASIC for rx_vco_ld_val" rgroup.word 0x446C++0x1 line.word 0x0 "LANE1_DIG_ASIC_RX_ASIC_OUT_0,Current values for outgoing RX status controls from PHY. register #0" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 3.--4. "ADAPT_STS,Value from PHY for rx_adapt_sts" "0,1,2,3" newline bitfld.word 0x0 2. "VALID,Value from PHY for rx_valid" "0,1" newline bitfld.word 0x0 1. "LOS,Value from PHY for rx_los" "0,1" newline bitfld.word 0x0 0. "ACK,Value from PHY for rx_ack" "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x8 ) group.word ($2+0x4480)++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PSTATE_P$1,TX Power State Control Register for P0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 7. "TX_P0_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0_DIG_CLK_EN,Enable/Disable TX digital clocks in P0" "0,1" newline bitfld.word 0x0 5. "TX_P0_ANA_SERIAL_EN,Value of TX ana serial_en in P0" "0,1" newline bitfld.word 0x0 4. "TX_P0_ANA_RESET,Value of TX ana reset in P0" "0,1" newline bitfld.word 0x0 3. "TX_P0_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0" "0,1" newline bitfld.word 0x0 2. "TX_P0_ANA_CLK_EN,Value of TX ana clk_en in P0" "0,1" newline bitfld.word 0x0 1. "TX_P0_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0" "0,1" newline bitfld.word 0x0 0. "TX_P0_ANA_REFGEN_EN,Value of TX ana refgen_en in P0" "0,1" repeat.end group.word 0x4484++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PSTATE_P0S,TX Power State Control Register for P0S" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P0S_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P0S_DIG_CLK_EN,Enable/Disable TX digital clocks in P0S" "0,1" newline bitfld.word 0x0 5. "TX_P0S_ANA_SERIAL_EN,Value of TX ana serial_en in P0S" "0,1" newline bitfld.word 0x0 4. "TX_P0S_ANA_RESET,Value of TX ana reset in P0S" "0,1" newline bitfld.word 0x0 3. "TX_P0S_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P0S" "0,1" newline bitfld.word 0x0 2. "TX_P0S_ANA_CLK_EN,Value of TX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 1. "TX_P0S_ANA_VCM_HOLD,Value of TX ana vcm_hold in P0S" "0,1" newline bitfld.word 0x0 0. "TX_P0S_ANA_REFGEN_EN,Value of TX ana refgen_en in P0S" "0,1" group.word 0x448C++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PSTATE_P2,TX Power State Control Register for P2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P2_ALLOW_VBOOST,If asserted then vboost is allowed in P2" "0,1" newline bitfld.word 0x0 8. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed" "0,1" newline bitfld.word 0x0 7. "TX_P2_DATA_EN,This is ANDed with top-level tx_data_en asic input" "0,1" newline bitfld.word 0x0 6. "TX_P2_DIG_CLK_EN,Enable/Disable TX digital clocks in P2" "0,1" newline bitfld.word 0x0 5. "TX_P2_ANA_SERIAL_EN,Value of TX ana serial_en in P2" "0,1" newline bitfld.word 0x0 4. "TX_P2_ANA_RESET,Value of TX ana reset in P2" "0,1" newline bitfld.word 0x0 3. "TX_P2_ANA_WORD_CLK_EN,Value of TX ana word_clk_en in P2" "0,1" newline bitfld.word 0x0 2. "TX_P2_ANA_CLK_EN,Value of TX ana clk_en in P2" "0,1" newline bitfld.word 0x0 1. "TX_P2_ANA_VCM_HOLD,Value of TX ana vcm_hold in P2" "0,1" newline bitfld.word 0x0 0. "TX_P2_ANA_REFGEN_EN,Value of TX ana refgen_en in P2" "0,1" group.word 0x4490++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_0,TX Power UP Time Register #0" newline hexmask.word.byte 0x0 8.--15. 1. "TX_CLK_EN,Power up time (in ref_range cycles) for TX ana clock enable (spec: >=1us)" newline hexmask.word.byte 0x0 0.--7. 1. "TX_REFGEN_EN_TIME,Power up time (in ref_range cycles) for TX ana refgen enable (spec: >=500ns)" group.word 0x4494++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_1,TX Power UP Time Register #1" newline bitfld.word 0x0 15. "SKIP_TX_VCM_HOLD_WAIT,Skip wait for TX common mode hold power up" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_TIME_14_0,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 14:0)" group.word 0x4498++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_2,TX Power UP Time Register #2" newline bitfld.word 0x0 13.--15. "DTB_SEL,Selects data to drive on DTB 0 - disabled 1 - tx_ack and tx_pwrsm_state[0] 2 - tx_ana_rxdetp_result_i tx_ana_rxdetm_result_i 3 - tx_ana_reset_i tx_ana_clk_en_i 4 - analog/asic clocks 5 - asic early signal / clock aligner shift 6 - tx_clk_state.." "disabled,tx_ack and tx_pwrsm_state[0],tx_ana_rxdetp_result_i,tx_ana_reset_i,analog/asic clocks,asic early signal / clock aligner shift,tx_clk_state counter / lbert strobe,ref_dig_rst/tx_dig_rst" newline hexmask.word 0x0 0.--12. 1. "TX_VBOOST_DIS_TIME_12_0,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bits 12:0)" group.word 0x449C++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_3,TX Power UP Time Register #3" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_VBOOST_DIS_TIME_13,TX vboost disable time (in ref_range cycles) (spec: >=200us) (bit 13)" "0,1" newline bitfld.word 0x0 0.--2. "TX_VCM_HOLD_TIME_17_15,Power up time (in ref_range cycles) for TX common mode (spec: >=800us) (bits 17:15)" "0,1,2,3,4,5,6,7" group.word 0x44A0++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_4,TX Power UP Time Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "TX_VCM_HOLD_GS_TIME,TX common mode gear-shift time (in ref range cycles) (spec: >=400us)" group.word 0x44A4++0x1 line.word 0x0 "LANE1_DIG_TX_PWRCTL_TX_PWRUP_TIME_5,TX Power UP Time Register #5" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 13.--14. "TX_SERIAL_EN_TIME,Power up time (in ref_range cycles) for TX ana serial enable (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 11.--12. "TX_RESET_TIME,TX Reset deassertion time (in ref_range cycles) (spec: >=50ns)" "0,1,2,3" newline bitfld.word 0x0 10. "FAST_TX_RXDET,Enable fast TX RX-detection (simulation only)" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_RXDET_TIME,RX Detect up time (in ref_range cycles) starting from asserting rxdet_en (spec: from 3.55us to 25.9us)" group.word 0x44A8++0x1 line.word 0x0 "LANE1_DIG_TX_LBERT_CTL,Pattern Generator controls" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 5.--14. 1. "PAT0,Pattern for modes 3-5" newline bitfld.word 0x0 4. "TRIGGER_ERR,Insert a single error into a lsb Any write of a 1 to this bit will insert an error" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to generate When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15:.." group.word 0x44AC++0x1 line.word 0x0 "LANE1_DIG_TX_CLK_ALIGN_TX_CTL_0,TX Clock Alignment Control Register #0" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "TX_FIFO_BYPASS,By-pass TX datapath FIFO" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "TX_NUM_2UI_SHIFTS_20B_MODE," newline hexmask.word.byte 0x0 0.--3. 1. "TX_NUM_2UI_SHIFTS_16B_MODE," repeat 3. (list 0x0 0x1 0x2 )(list 0x0 0x8 0xC ) group.word ($2+0x4500)++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PSTATE_P$1,RX Power State Control Register for P0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0_DIG_CLK_EN,Enable/Disable RX digital clocks in P0" "0,1" newline bitfld.word 0x0 10. "RX_P0_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0 If RX_P0_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0" "0,1" newline bitfld.word 0x0 8. "RX_P0_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0" "0,1" newline bitfld.word 0x0 7. "RX_P0_ANA_CDR_EN,Value of RX ana cdr_en in P0" "0,1" newline bitfld.word 0x0 6. "RX_P0_ANA_DESER_EN,Value of RX ana deserial_en in P0" "0,1" newline bitfld.word 0x0 5. "RX_P0_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0" "0,1" newline bitfld.word 0x0 4. "RX_P0_ANA_CLK_EN,Value of RX ana clk_en in P0" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0" "0,1" newline bitfld.word 0x0 1. "RX_P0_ANA_AFE_EN,Value of RX ana afe_en in P0" "0,1" newline bitfld.word 0x0 0. "RX_P0_ANA_LOS_EN,Value of RX ana los_en in P0" "0,1" repeat.end group.word 0x4504++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PSTATE_P0S,RX Power State Control Register for P0S" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_P0S_DIG_CLK_EN,Enable/Disable RX digital clocks in P0S" "0,1" newline bitfld.word 0x0 10. "RX_P0S_VCO_CONTCAL_EN,Enable/Disable continous calibration of the RX VCO in P0S If RX_P0S_DIG_CLK_EN and the top-level rx_data_en are both asserted then contiuous calibration is turned off and this value is ignored" "0,1" newline bitfld.word 0x0 9. "RX_P0S_VCO_CAL_RST,Enable/Disable resetting the RX VCO in P0S" "0,1" newline bitfld.word 0x0 8. "RX_P0S_VCO_FREQ_RST,Enable/Disable resetting the RX VCO frequency in P0S" "0,1" newline bitfld.word 0x0 7. "RX_P0S_ANA_CDR_EN,Value of RX ana cdr_en in P0S" "0,1" newline bitfld.word 0x0 6. "RX_P0S_ANA_DESER_EN,Value of RX ana deserial_en in P0S" "0,1" newline bitfld.word 0x0 5. "RX_P0S_ANA_CLK_DCC_EN,Value of RX ana CLK_DCC_EN in P0S" "0,1" newline bitfld.word 0x0 4. "RX_P0S_ANA_CLK_EN,Value of RX ana clk_en in P0S" "0,1" newline bitfld.word 0x0 3. "RESERVED," "0,1" newline bitfld.word 0x0 2. "RX_P0S_ANA_CLK_VREG_EN,Value of RX ana clk_vreg_en in P0S" "0,1" newline bitfld.word 0x0 1. "RX_P0S_ANA_AFE_EN,Value of RX ana afe_en in P0S" "0,1" newline bitfld.word 0x0 0. "RX_P0S_ANA_LOS_EN,Value of RX ana los_en in P0S" "0,1" group.word 0x4510++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_0,RX Power UP Time Register #0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "SKIP_RX_LOS_EN_WAIT,Skip wait for RX LOS enable" "0,1" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_EN_TIME,Power up time (in ref_range cycles) for RX ana los enable (spec >=10us)" group.word 0x4514++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_1,RX Power UP Time Register #1" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "FAST_RX_VREG_EN,Enable fast RX VREG enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 7.--12. 1. "RX_VREG_EN_TIME,Power up time (in ref_range cycles) for RX ana vreg enable (spec 500ns)" newline bitfld.word 0x0 6. "FAST_RX_AFE_EN,Enable fast RX AFE enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_AFE_EN_TIME,Power up time (in ref_range cycles) for RX ana AFE enable (spec >=1us)" group.word 0x4518++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_2,RX Power UP Time Register #2" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "FAST_RX_CLK_EN,Enable fast RX clock enable (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_CLK_EN_TIME,Power up time (in ref_range cycles) for RX ana clk (or dcc) enable (spec >1us)" group.word 0x451C++0x1 line.word 0x0 "LANE1_DIG_RX_PWRCTL_RX_PWRUP_TIME_3,RX Power UP Time Register #3" newline bitfld.word 0x0 14.--15. "RX_DESER_DIS_TIME,Power down time in (ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline bitfld.word 0x0 12.--13. "RX_DESER_EN_TIME,Power up time (in ref_range cycles) for RX ana deserial enable" "0,1,2,3" newline hexmask.word.byte 0x0 8.--11. 1. "RX_CDR_EN_TIME,Power up time (in ref_range cycles) for RX ana cdr (or dfe/dfe_taps) enable (spec 0ns)" newline hexmask.word.byte 0x0 2.--7. 1. "RSVD_3_7_2,Reserved" newline bitfld.word 0x0 0.--1. "RX_RATE_TIME,Power up time (in ref_range cycles) for RX ana rate or width change" "0,1,2,3" group.word 0x4520++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_0,RX VCO calibration controls register #0" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 9.--11. "INT_GAIN_CAL_BOUNCE_CNT,Number of bounces (i.e. direction changes) on the int_gain code before indicating that the RX VCO calibration is done" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "INT_GAIN_CAL_CNT_SHIFT,Number of shifts to apply to ld_cnt inputs when performing int_gain code calibration" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5. "INT_GAIN_CAL_FIXED_CNT_EN,Enable a fixed count (instead of bounce count) for int_gain code calibration" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "INT_GAIN_CAL_FIXED_CNT,Number of steps done during int_gain code calibration when INT_GAIN_CAL_FIXED_CNT_EN is enabled." group.word 0x4524++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_1,RX VCO calibration controls register #1" newline hexmask.word.byte 0x0 9.--15. 1. "DTB_SEL,DTB select for RX VCO dtb signals 7'h01 - {chkfrq_en ref_dig_clk} 7'h02 - {rx_ana_cdr_vco_en_i rx_ana_cdr_startup_i} 7'h04 - {rx_vco_up dpll_freq_rst} 7'h08 - {rx_vco_contcal_en rx_vco_cal_rst} 7'h10 - {chkfrq_done vcoclk_too_fast} 7'h20 -.." newline hexmask.word.byte 0x0 5.--8. 1. "DPLL_CAL_UG,DPLL calibration update on int_gain code 3'h0 - 0 Else - (1/16)*2^(DPLL_CAL_UG-1) LSB/update Maximum DPLL_CAL_UG=10 i.e. 32 LSB/update" newline bitfld.word 0x0 4. "DISABLE_INT_CAL_MODE,When asserted then the DPLL frequency register is never modified by the RX VCO calibration FSM (even if DPLL_CAL_UG is non-zero). In this case the calibration will always be performed on the VCO freq_tune code. This allows.." "0,1" newline bitfld.word 0x0 3. "RX_VCO_CONTCAL_EN,Override value for the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 2. "RX_VCO_CAL_RST,Override value for the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 1. "RX_VCO_FREQ_RST,Override value for the frequency reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 0. "RX_VCO_OVRD_SEL,Override the calibration controls from the RX PWRSM" "0,1" group.word 0x4528++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_CTRL_2,RX VCO calibration controls register #2" newline bitfld.word 0x0 15. "SKIP_RX_VCO_CAL,Skip RX VCO calibration altogether" "0,1" newline bitfld.word 0x0 14. "SKIP_RX_VCO_FREQ_TUNE_CAL,Skip RX VCO coarse calibration" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "FREQ_TUNE_CAL_STEPS,Number of cal steps of freq tune" newline hexmask.word 0x0 0.--9. 1. "FREQ_TUNE_START_VAL,Starting value of freq tune code" group.word 0x452C++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_0,RX Power UP Time Register #0" newline bitfld.word 0x0 15. "FAST_RX_VCO_WAIT,Enable fast RX VCO power up (simulation only)" "0,1" newline hexmask.word.byte 0x0 11.--14. 1. "RX_VCO_CNTR_PWRUP_TIME,Power up time (in ref_range cycles) for Rx ana vco cnter (spec >200ns)" newline hexmask.word.byte 0x0 7.--10. 1. "RX_VCO_UPDATE_TIME,Settle time (in ref_range cycles) for RX ana vco update (freq_tune or int_gain) (spec >200ns)" newline hexmask.word.byte 0x0 0.--6. 1. "RX_VCO_STARTUP_TIME,Power up time (in ref_range cycles) for RX ana vco startup (spec >1us)" group.word 0x4530++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_CAL_TIME_1,RX Power UP Time Register #1" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 0.--2. "RX_VCO_CNTR_SETTLE_TIME,RX VCO counter value settling time in (ref_dig_clk cycles) (spec: 3 ref_dig_clk cycle)" "0,1,2,3,4,5,6,7" rgroup.word 0x4534++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_STAT_0,RX VCO status register #0" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_ANA_CDR_VCO_EN,Current value of rx_ana_cdr_vco_en_i" "0,1" newline bitfld.word 0x0 12. "RX_ANA_CDR_STARTUP,Current value of rx_ana_cdr_startup_i" "0,1" newline bitfld.word 0x0 11. "RX_ANA_VCO_CNTR_EN,Current value of rx_ana_vco_cntr_en_i" "0,1" newline bitfld.word 0x0 10. "RX_ANA_VCO_CNTR_PD,Current value of rx_ana_vco_cntr_pd_i" "0,1" newline hexmask.word 0x0 0.--9. 1. "RX_ANA_CDR_FREQ_TUNE,Current value of rx_ana_cdr_freq_tune_i" rgroup.word 0x4538++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_STAT_1,RX VCO status register #1" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "DPLL_FREQ_RST,Indicates that the RX integral frequency is reset or not" "0,1" newline bitfld.word 0x0 7. "RX_VCO_CAL_DONE,Indicates that the RX VCO has completed calibration" "0,1" newline bitfld.word 0x0 6. "RX_VCO_CONTCAL_EN,Value of the continous calibration enable from the RX PWRSM" "0,1" newline bitfld.word 0x0 5. "RX_VCO_CAL_RST,Value of the calibration reset from the RX PWRSM" "0,1" newline bitfld.word 0x0 4. "RX_VCO_FREQ_RST,Value of the RX VCO frequency reset from the RX PWRSM" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_VCO_FSM_STATE,Value of the RX VCO CAL FSM" rgroup.word 0x453C++0x1 line.word 0x0 "LANE1_DIG_RX_VCOCAL_RX_VCO_STAT_2,RX VCO status register #2" newline bitfld.word 0x0 15. "RX_VCO_UP,Indicates that the RX VCO is ready" "0,1" newline bitfld.word 0x0 14. "RX_VCO_CORRECT,Indicates that the RX VCO clock has the correct frequency" "0,1" newline bitfld.word 0x0 13. "VCOCLK_TOO_FAST,Indicates that the RX VCO clock frequency is too fast" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_CNTR_FINAL,Value of Rx VCO counter when refclk counter expired" group.word 0x4540++0x1 line.word 0x0 "LANE1_DIG_RX_RX_ALIGN_XAUI_COMM_MASK,XAUI_COMMA Mask" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "XAUI_COMM_MASK,XAUI_COMMA Mask. For 10-bit COMMA set the mask to 0x3FF and for 7-bit COMMA set the mask to 0x3F8" group.word 0x4544++0x1 line.word 0x0 "LANE1_DIG_RX_LBERT_CTL,Pattern Matcher controls" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "SYNC,Synchronize pattern matcher LFSR with incoming data A write of a one to this bit will reset the error counter and start a synchronization of the PM. There is no need to write this back to zero to run normally." "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MODE,Pattern to match When changing modes you must change to disabled first 0 - Disabled 1 - lfsr31: X^31 + X^28 + 1 2 - lfsr23: X^23 + X^18 + 1 3 - lfsr23: x^23 + x^21 + x^16 + x^8 + x^5 + x^2 + 1 4 - lfsr16: x^16 + x^5 + x^4 + x^3 + 1 5 - lfsr15: X^15.." group.word 0x4548++0x1 line.word 0x0 "LANE1_DIG_RX_LBERT_ERR,Pattern match error counter" newline bitfld.word 0x0 15. "OV14,If active multiply COUNT by 128. If OV14=1 and COUNT=2^15-1 signals overflow of counter (2 reads needed to read value)" "0,1" newline hexmask.word 0x0 0.--14. 1. "COUNT,A read of this register or a sync of the PM resets the error count. Current error count If OV14 field is active then multiply count by 128 (2 reads needed to read value)" group.word 0x454C++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_0,Control bits for receiver in recovered domain" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 7.--10. 1. "DTB_SEL,Select to drive various signals onto the dtb 0 - disabled 1 - rx_pr_stable rx_afe_stable from rx_ana_ctl 2 - com_good com_bad from rx_align 3 - shift_in_prog ana_odd_data from rx_align 4 - 2 msb's of XAUI align FSM state 5 - 2 lsb's of XAUI.." newline bitfld.word 0x0 6. "ALWAYS_REALIGN,Realign on any misaligned comma" "0,1" newline bitfld.word 0x0 5. "PHDET_EN_PR_MODE,Enable partial response phase detector mode" "0,1" newline bitfld.word 0x0 4. "PHDET_POL,Reverse polarity of phase error" "0,1" newline bitfld.word 0x0 2.--3. "PHDET_EDGE,Edges to use for phase detection. 10 - Use both edges 01 - Use rising edges only 11 - Use falling edges only 00 - Ignore all edges" "Ignore all edges,Use rising edges only,?,?" newline bitfld.word 0x0 0.--1. "PHDET_EN,Enable phase detector. top bit is odd slicers bottom is even" "0,1,2,3" group.word 0x4550++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_1,CDR Control Register #1" newline hexmask.word.byte 0x0 10.--15. 1. "SSC_OFF_CNT1,When SSC mode is disabled the 12-bit word count in gain stage 1 is: (SSC_OFF_CNT1 * 4) in 20b mode (SSC_OFF_CNT1 * 5) in 16b mode" newline hexmask.word 0x0 0.--9. 1. "SSC_OFF_CNT0,When SSC mode is disabled the 12-bit word count in gain stage 0 is: (SSC_OFF_CNT0 * 4) in 20b mode (SSC_OFF_CNT0 * 5) in 16b mode" group.word 0x4554++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_2,CDR Control Register #2" newline hexmask.word.byte 0x0 9.--15. 1. "SSC_ON_CNT1,When SSC mode is enabled the 12-bit word count in gain stage 1 is: (SSC_ON_CNT1 * 8) in 20b mode (SSC_ON_CNT1 * 10) in 16b mode" newline hexmask.word 0x0 0.--8. 1. "SSC_ON_CNT0,When SSC mode is enabled the 12-bit word count in gain stage 0 is: (SSC_ON_CNT0 * 8) in 20b mode (SSC_ON_CNT0 * 10) in 16b mode" group.word 0x4558++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_3,CDR Control Register #3" newline bitfld.word 0x0 13.--15. "FRUG_OVRD_VALUE,Override value for FRUG (frequency update gain) 3'h0 - 0 3'h1 - 1/16 LSB/update 3'h2 - 1/8 LSB/update 3'h3 - 1/4 LSB/update 3'h4 - 1/2 LSB/update 3'h5 - 1 LSB/update 3'h6 - 2 LSB/update 3'h7 - 4 LSB/update" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "PHUG_OVRD_VALUE,Override value for PHUG (phase update gain) 3'h0 - 0 3'h1 - 1000 ppm 3'h2 - 2000 ppm 3'h3 - 3000 ppm 3'h4 - 4000 ppm 3'h5 - 5000 ppm 3'h6 - 6000 ppm 3'h7 - 7000 ppm" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9. "OVRD_DPLL_GAIN,Override PHUG and FRUG values" "0,1" newline bitfld.word 0x0 6.--8. "SSC_OFF_FRUG0,When SSC mode is disabled the frug value in gain stage 0 is SSC_OFF_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_OFF_PHUG1,When SSC mode is disabled the phug value in gain stage 1 is SSC_OFF_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_PHUG0,When SSC mode is disabled the phug value in gain stage 0 is SSC_OFF_PHUG0" "0,1,2,3,4,5,6,7" group.word 0x455C++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_CDR_CTL_4,CDR Control Register #4" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "SSC_ON_PHUG1,When SSC mode is enabled the phug value in gain stage 1 is SSC_ON_PHUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "SSC_ON_PHUG0,When SSC mode is enabled the phug value in gain stage 0 is SSC_ON_PHUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "SSC_ON_FRUG1,When SSC mode is enabled the frug value in gain stage 1 is SSC_ON_FRUG1" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "SSC_ON_FRUG0,When SSC mode is enabled the frug value in gain stage 0 is SSC_ON_FRUG0" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "SSC_OFF_FRUG1,When SSC mode is disabled the frug value in gain stage 1 is SSC_OFF_FRUG1" "0,1,2,3,4,5,6,7" rgroup.word 0x4560++0x1 line.word 0x0 "LANE1_DIG_RX_CDR_STAT,Current output values to dpll (phug. frug)" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "FRUG_VALUE,NOTES: Current value for dpll_frug[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PHUG_VALUE,NOTES: Current value for dpll_phug[2:0]" "0,1,2,3,4,5,6,7" group.word 0x4564++0x1 line.word 0x0 "LANE1_DIG_RX_DPLL_FREQ,Current frequency integrator value." newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline hexmask.word 0x0 0.--13. 1. "VAL,Freq is 125*VAL ppm from the reference (2 reads needed to read value)" group.word 0x4568++0x1 line.word 0x0 "LANE1_DIG_RX_DPLL_FREQ_BOUND_0,Frequency Bounds for incoming data stream #0" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word 0x0 1.--10. 1. "UPPER_FREQ_BOUND,Upper frequency bound in terms of LSBs of the integral control code" newline bitfld.word 0x0 0. "FREQ_BOUND_EN,Enable the frequency bounds feature" "0,1" group.word 0x456C++0x1 line.word 0x0 "LANE1_DIG_RX_DPLL_FREQ_BOUND_1,Frequency Bounds for incoming data stream #1" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "LOWER_FREQ_BOUND,Lower frequency bound in terms of LSBs of the integral control code" group.word 0x4580++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_0,Adaptation Configuration Register #0" newline bitfld.word 0x0 15. "ADPT_CLK_DIV4_EN,Set the adaptation clock to be divided by 4 (default is div2)" "0,1" newline bitfld.word 0x0 14. "START_ASM1,Start adaptation state machine #1 (VGA CTLE DFE EYEH) This register-bit is self-clearing" "0,1" newline hexmask.word.byte 0x0 10.--13. 1. "N_TGG_ASM1,Number of toggle loop iterations for ASM1" newline hexmask.word 0x0 0.--9. 1. "N_TOP_ASM1,Number of top level loop iterations for ASM1" group.word 0x4584++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_1,Adaptation Configuration Register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "CTLE_POLE_OVRD_EN,Override CTLE pole value (only valid if adaptation is run)" "0,1" newline bitfld.word 0x0 8.--10. "CTLE_POLE_OVRD_VAL,CTLE Pole override value to load at start of adaptation" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 7. "FAST_AFE_DFE_SETTLE,Enable fast AFE and DFE settling time (simulation only)" "0,1" newline hexmask.word.byte 0x0 0.--6. 1. "N_WAIT_ASM1,Number of wait cycles for Adaptation SM #1" group.word 0x4588++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_2,Adaptation Configuration Register #2" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word.byte 0x0 5.--9. 1. "TGG_PTTRN_1,Pattern for the second toggle loop Error slicer is moved upward by Data tap1 if this pattern is matched" newline hexmask.word.byte 0x0 0.--4. 1. "TGG_PTTRN_0,Pattern for the first toggle loop Error slicer is moved downward by Data tap1 if this pattern is matched" group.word 0x458C++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_3,Adaptation Configuration Register #3" newline bitfld.word 0x0 15. "ESL_TWICE_DSL,Assert if error slicer has twice the voltage range as the data slicer (for the same 8 bits)." "0,1" newline bitfld.word 0x0 14. "TGG_EN,Enable toggling of the error slicer" "0,1" newline bitfld.word 0x0 13. "EYEHO_EN,Enable eye height measurement using odd error slicer" "0,1" newline bitfld.word 0x0 12. "EYEHE_EN,Enable eye height measurement using even error slicer" "0,1" newline hexmask.word.byte 0x0 7.--11. 1. "DFE_EN,Enable DFE adaptation for taps 5-1" newline bitfld.word 0x0 6. "ATT_EN,Enable ATT adaptation" "0,1" newline bitfld.word 0x0 5. "VGA_EN,Enable VGA adaptation" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "CTLE_EN,Enable CTLE boost adaptation The five bits determine which correlators are used to adapt the CTLE" repeat 2. (list 0x4 0x5 )(list 0x0 0x4 ) group.word ($2+0x4590)++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_$1,Adaptation Configuration Register #4" newline hexmask.word.byte 0x0 12.--15. 1. "DFE2_TH,DFE Tap2 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 8.--11. 1. "DFE1_TH,DFE Tap1 correlation decision threshold (2^N-1)" newline hexmask.word.byte 0x0 4.--7. 1. "VGA_TH,VGA correlation decision threshold (2^N-1) During eye height measurement the VGA_TH is repurporsed for error slicer updates." newline hexmask.word.byte 0x0 0.--3. 1. "CTLE_TH,CTLE correlation decision threshold (2^N-1)" repeat.end group.word 0x4598++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_6,Adaptation Configuration Register #6" newline bitfld.word 0x0 13.--15. "ATT_LOW_TH,ATT low threshold" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "VGA_SAT_CNT_STICKY,If deasserted then VGA saturation counts must be consecutive to change ATT" "0,1" newline bitfld.word 0x0 9.--11. "VGA_SAT_CNT,VGA saturation count" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "ATT_MU,ATT gain code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "VGA_MU,VGA gain code update gain (2^N) During eye height measurement the VGA_MU is repurporsed for error slicer updates." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "CTLE_MU,CTLE Boost code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x459C++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_7,Adaptation Configuration Register #7" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word.byte 0x0 10.--14. 1. "VGA_LEV_LOW,VGA level low saturation limit" newline hexmask.word.byte 0x0 5.--9. 1. "VGA_LEV_HIGH,VGA level high saturation limit" newline hexmask.word.byte 0x0 0.--4. 1. "VGA_MIN_SAT,VGA minimum saturation limit" group.word 0x45A0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_8,Adaptation Configuration Register #8" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 12.--14. "DFE5_MU,DFE tap5 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 9.--11. "DFE4_MU,DFE tap4 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 6.--8. "DFE3_MU,DFE tap3 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--5. "DFE2_MU,DFE tap2 code update gain (2^N)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "DFE1_MU,DFE tap1 code update gain (2^N)" "0,1,2,3,4,5,6,7" group.word 0x45A4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_CFG_9,Adaptation Configuration Register #9" newline hexmask.word.byte 0x0 8.--15. 1. "ERR_SLO_ADPT_INIT,The error odd slicer is initialized to this value at the start of a new adaptation request." newline hexmask.word.byte 0x0 0.--7. 1. "ERR_SLE_ADPT_INIT,The error even slicer is initialized to this value at the start of a new adaptation request." group.word 0x45A8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_RST_ADPT_CFG,Reset Adaptation Configuration Register" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RST_ADPT_TAP1,Reset Data Tap1 when turning off DFE adaptation (Taps 2-5 are always turned off when DFE adaptation is turned off)" "0,1" newline bitfld.word 0x0 3. "RST_ADPT_CTLE_POLE,Reset CTLE Pole when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 2. "RST_ADPT_CTLE_BOOST,Reset CTLE Boost when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 1. "RST_ADPT_VGA,Reset VGA when turning off AFE adaptation" "0,1" newline bitfld.word 0x0 0. "RST_ADPT_ATT,Reset ATT when turning off AFE adaptation" "0,1" rgroup.word 0x45AC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ATT_STATUS,Value of ATT Adaptation code" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "ASM1_DON,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_CODE,Value of ATT adaptation code" rgroup.word 0x45B0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_VGA_STATUS,Value of VGA Adaptation code" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_CODE,Value of VGA adaptation code" rgroup.word 0x45B4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_CTLE_STATUS,Value of CTLE Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_CODE,Value of CTLE Pole adaptation code" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_CODE,Value of CTLE Boost adaptation code" rgroup.word 0x45B8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP1_STATUS,Value of DFE Tap1 Adaptation code" newline bitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_CODE,Value of DFE tap1 adaptation code" rgroup.word 0x45BC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP2_STATUS,Value of DFE Tap2 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_CODE,Value of DFE tap2 adaptation code" rgroup.word 0x45C0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP3_STATUS,Value of DFE Tap3 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP3_ADPT_CODE,Value of DFE tap3 adaptation code" rgroup.word 0x45C4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP4_STATUS,Value of DFE Tap4 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP4_ADPT_CODE,Value of DFE tap4 adaptation code" rgroup.word 0x45C8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_TAP5_STATUS,Value of DFE Tap5 Adaptation code" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "ASM1_DONE,Asserts when Adaptation state machine #1 is done" "0,1" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP5_ADPT_CODE,Value of DFE tap5 adaptation code" group.word 0x45CC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_DATA_EVEN_VDAC_OFST,Offset values for RX DFE Data Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_VDAC_OFST,Offset value for DFE Data Even vDAC" group.word 0x45D0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_DATA_ODD_VDAC_OFST,Offset values for RX DFE Data Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_VDAC_OFST,Offset value for DFE Data Odd vDAC" group.word 0x45D4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x45D8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0x45DC++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0x45E0++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" rgroup.word 0x45E4++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ERROR_SLICER_LEVEL,Value of Error Slicer Level" newline hexmask.word.byte 0x0 8.--15. 1. "E_SLE_LVL,Even Error Slicer Level" newline hexmask.word.byte 0x0 0.--7. 1. "E_SLO_LVL,Odd Error Slicer Level" group.word 0x45E8++0x1 line.word 0x0 "LANE1_DIG_RX_ADPTCTL_ADPT_RESET,Adaptation reset register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_ASM1,Resets adaptation state machine (ASM1) as well as the stats capture block. This is a self-clearing bit and requires re-start of ASM1." "0,1" group.word 0x4600++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_LD_VAL_1,Stat load value for the sample counter #1" newline bitfld.word 0x0 15. "SC1_START,Start sample counter #1 This is a self-clearing bit" "0,1" newline hexmask.word 0x0 0.--14. 1. "SC1_LD_VAL,Sample counter #1 load value" group.word 0x4604++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_DATA_MSK,Stat data mask bits [15:0]" newline hexmask.word 0x0 0.--15. 1. "DATA_MSK_15_0,Value of data_msk_r[15:0]" group.word 0x4608++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_MATCH_CTL0,Stat match controls register #0" newline bitfld.word 0x0 14.--15. "SCOPE_DLY,# of clock cycle delays on scope_data_rx_clk An additional MSB is added in SCOPE_DLY_2" "0,1,2,3" newline hexmask.word.byte 0x0 10.--13. 1. "DATA_MSK_19_16,Value of data_msk_r[19:16]" newline hexmask.word.byte 0x0 5.--9. 1. "PTTRN_CR1A_4_0,Value of pattern A for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 0.--4. 1. "PTTRN_MSK_CR1A_4_0,Value of pattern A mask for 1st correlator (bits 4:0)" group.word 0x460C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_MATCH_CTL1,Stat match controls register #1" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "PTTRN_CR1A_ADPT_EN,Enable ORing of adapation pattern with pattern CR1A" "0,1" newline hexmask.word.byte 0x0 6.--10. 1. "PTTRN_CR1B_4_0,Value of pattern B for 1st correlator (bits 4:0)" newline hexmask.word.byte 0x0 1.--5. 1. "PTTRN_MSK_CR1B_4_0,Value of pattern B mask for 1st correlator (bits 4:0)" newline bitfld.word 0x0 0. "PTTRN_CR1B_EN,Enable pattern B matching for 1st correlator" "0,1" group.word 0x4610++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CTL0,Stat controls register #0" newline bitfld.word 0x0 15. "SKIP_EN,Value of skip_en_r" "0,1" newline bitfld.word 0x0 14. "SC_TIMER_MODE,Sample counter operation mode 0x0 - counts number of matched samples 0x1 - counts clock cycles (i.e. a timer)" "counts number of matched samples,counts clock cycles" newline bitfld.word 0x0 13. "STAT_RXCLK_SEL,Select stat clock 0x0 - ref_range_clk 0x1 - rx_dig_clk (i.e. rx dword clk) Before changing stat_rxclk_sel_r from 1->0 the rx_dig_clk must be active (i.e. enabled)" "ref_range_clk,rx_dig_clk" newline bitfld.word 0x0 10.--12. "STAT_SRC_SEL,Select stat source input 0x0 - {20{rx_cal_result}} 0x1 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x2 - rx_phase[39:0] 0x3 - rx_error[39:0] 0x4 - rx_data[39:0] 0x5 - rx_phdir[39:0] 0x6 - 40'hFF_FFFF_FFFF" "?,?,rx_phase[39:0],rx_error[39:0],rx_data[39:0],rx_phdir[39:0],?,?" newline hexmask.word.byte 0x0 6.--9. 1. "STAT_SHFT_SEL,Select stat source shift value 0x0 - Correlate N-1 -> N+3 (use N for offset calibration) 0x1 - Correlate N+1 -> N+5 (for taps1-5) 0x2 - Correlate N+6 -> N+10 0x3 - Correlate N+11 -> N+15 0x4 - Correlate N+16 -> N+20 0x5 - Correlate N+21 ->.." newline bitfld.word 0x0 5. "CORR_MODE_EN,Enable correlation mode" "0,1" newline bitfld.word 0x0 3.--4. "CORR_SRC_SEL,Select correlation input source 0x0 - rx_error[39:0] 0x1 - rx_phase[39:0] 0x2 - {{20{scope_data_rxclk_dly_s01}} {20{scope_data_rxclk_dly}}} 0x3 - No correlation" "rx_error[39:0],rx_phase[39:0],?,No correlation" newline bitfld.word 0x0 2. "CORR_SHFT_SEL,Select shift for phase. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 1. "CORR_SHFT_SEL_VGA,Select shift for error going to VGA. 0:none 1:>>1" "none,?" newline bitfld.word 0x0 0. "RESERVED_0,Reserved bit" "0,1" group.word 0x4614++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CTL1,Stat controls register #1" newline bitfld.word 0x0 14.--15. "VLD_CTL,Gating configuration of stats collection 0x0 - ignore both cdr_valid and rx_valid 0x1 - gate stats collection with cdr_valid 0x2 - gate stats collection with rx_valid 0x3 - ignore both cdr_valid and rx_valid" "ignore both cdr_valid and rx_valid,gate stats collection with cdr_valid,gate stats collection with rx_valid,ignore both cdr_valid and rx_valid" newline bitfld.word 0x0 13. "VLD_LOSS_CLR,Clearing of stats collection upon loss of valid 0x0 - hold sample and stat counters 0x1 - clear sample and stat counters" "hold sample and stat counters,clear sample and stat counters" newline bitfld.word 0x0 11.--12. "DATA_DLY_SEL,# of clock cycle delays on rx_data[19:0] An additional MSB is added in DATA_DLY_SEL_2" "0,1,2,3" newline bitfld.word 0x0 10. "STAT_CLK_EN,Clock gate enable for stat clock" "0,1" newline bitfld.word 0x0 9. "SC_PAUSE,Pause the sample counter and stat counters" "0,1" newline bitfld.word 0x0 7.--8. "RESERVED_8_7,Reserved bits" "0,1,2,3" newline bitfld.word 0x0 6. "STAT_CNT_6_EN,Enable for stat counter 6" "0,1" newline bitfld.word 0x0 5. "STAT_CNT_5_EN,Enable for stat counter 5" "0,1" newline bitfld.word 0x0 4. "STAT_CNT_4_EN,Enable for stat counter 4" "0,1" newline bitfld.word 0x0 3. "STAT_CNT_3_EN,Enable for stat counter 3 Only counter to be enabled by default since used for offset calibration" "0,1" newline bitfld.word 0x0 2. "STAT_CNT_2_EN,Enable for stat counter 2" "0,1" newline bitfld.word 0x0 1. "STAT_CNT_1_EN,Enable for stat counter 1" "0,1" newline bitfld.word 0x0 0. "STAT_CNT_0_EN,Enable for stat counter 0" "0,1" rgroup.word 0x4618++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_SMPL_CNT1,Sample Counter #1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "SMPL_CNT1,Current value of sample counter #1" rgroup.word 0x461C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_0,Stat Counter 0 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_0,Current value of stat counter #0" rgroup.word 0x4620++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_1,Stat Counter 1 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_1,Current value of stat counter #1" rgroup.word 0x4624++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_2,Stat Counter 2 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_2,Current value of stat counter #2" rgroup.word 0x4628++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_3,Stat Counter 3 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_3,Current value of stat counter #3" rgroup.word 0x462C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_4,Stat Counter 4 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_4,Current value of stat counter #4" rgroup.word 0x4630++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_5,Stat Counter 5 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_5,Current value of stat counter #5" rgroup.word 0x4634++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CNT_6,Stat Counter 6 Status" newline bitfld.word 0x0 15. "SMPL_CNT1_DONE,Status of sample counter #1" "0,1" newline hexmask.word 0x0 0.--14. 1. "STAT_CNT_6,Current value of stat counter #6" group.word 0x4638++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_CAL_COMP_CLK_CTL,Calibration Comparator Control" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "REF_DIV_CNT,Ref range clock count (e.g. 5'd3 = 4 ref_range cycles)" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "PRECHRGE_CNT,Precharge Count (e.g. 5'd1 = 2 ref_range cycles)" "0,1,2,3,4,5,6,7" repeat 4. (list 0x2 0x3 0x4 0x5 )(list 0x0 0x4 0x8 0xC ) group.word ($2+0x463C)++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_MATCH_CTL$1,Stat match controls register #2" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline hexmask.word 0x0 0.--14. 1. "PTTRN_CR1A_19_5,Value of pattern A for 1st correlator (bits 19:5)" repeat.end group.word 0x464C++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_CTL2,Stat controls register #2" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "SCOPE_DLY_2,Additional MSB bit for SCOPE_DLY to extend the delay range to 0->7" "0,1" newline bitfld.word 0x0 0. "DATA_DLY_SEL_2,Additional MSB bit for DATA_DLY_SEL to extend the delay range to 0->7" "0,1" group.word 0x4650++0x1 line.word 0x0 "LANE1_DIG_RX_STAT_STAT_STOP,Stat stop register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "SC1_STOP,Stop sample counters #1 and associated stat counters. This is a self-clearing bit and requires re-start of sample counter #1." "0,1" group.word 0x4680++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_OVRD_OUT,Override values for TX signals going to ANA" newline bitfld.word 0x0 15. "TX_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 14. "TX_RXDET_EN,Override value for tx_ana_rxdet_en" "0,1" newline bitfld.word 0x0 13. "TX_DIV4_EN,Override value for tx_ana_div4_en" "0,1" newline bitfld.word 0x0 12. "RESERVED," "0,1" newline bitfld.word 0x0 10.--11. "TX_ANA_DATA_RATE,Override value for tx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 9. "TX_ANA_SERIAL_EN,Override value for tx_ana_serial_en" "0,1" newline bitfld.word 0x0 8. "TX_ANA_RESET,Override value for tx_ana_reset" "0,1" newline bitfld.word 0x0 7. "TX_ANA_MPLLB_CLK_EN,Override value for tx_ana_mpllb_clk_en" "0,1" newline bitfld.word 0x0 6. "TX_ANA_MPLLA_CLK_EN,Override value for tx_ana_mplla_clk_en" "0,1" newline bitfld.word 0x0 5. "TX_ANA_WORD_CLK_EN,Override value for tx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_EN,Override value for tx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_VCM_HOLD,Override value for tx_ana_vcm_hold" "0,1" newline bitfld.word 0x0 2. "TX_ANA_REFGEN_EN,Override value for tx_ana_refgen_en" "0,1" newline bitfld.word 0x0 1. "TX_ANA_DATA_EN,Override value for tx_ana_data_en" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT,Override value for tx_ana_clk_shift" "0,1" group.word 0x4684++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_TERM_CODE_OVRD_OUT,Override value for TX termination code going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "TX_CLK_LB_EN,Override value for tx_ana_clk_lb_en (override enabled by TX_OVRD_EN)." "0,1" newline bitfld.word 0x0 10. "TX_TERM_OVRD_EN,Override enable for the tx_ana_term_code[9:0] signal" "0,1" newline hexmask.word 0x0 0.--9. 1. "TX_TERM_CODE,Overrides the tx_ana_term_code[9:0] signal" group.word 0x4688++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_TERM_CODE_CLK_OVRD_OUT,Override value for TX termination code clocks going to ANA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "TX_TERM_CLK_SELF_CLEAR_DISABLE,Disable self-clearing for the tx_ana_term_up/dn_clk register" "0,1" newline bitfld.word 0x0 1. "TX_TERM_UP_CLK,Override for TX term UP clock This bit is self-clearing (4 cr_clks later)." "0,1" newline bitfld.word 0x0 0. "TX_TERM_DN_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x468C++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_0,Override values for TX EQ signals going to ANA register #0" newline bitfld.word 0x0 15. "TX_EQ_OVRD_EN,Override enable for tx eq signals" "0,1" newline hexmask.word 0x0 1.--14. 1. "TX_ANA_CTRL_ATTEN_13_0,Override value for tx_ana_ctrl_atten[13:0]" newline bitfld.word 0x0 0. "TX_ANA_LOAD_CLK,Override value for tx_ana_load_clk" "0,1" group.word 0x4690++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_1,Override values for TX EQ signals going to ANA register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "TX_ANA_CTRL_ATTEN_19_14,Override value for tx_ana_ctrl_atten[19:14]" group.word 0x4694++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_2,Override values for TX EQ signals going to ANA register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 7.--12. 1. "TX_ANA_CTRL_PRE,Override value for tx_ana_ctrl_pre[5:0]" newline hexmask.word.byte 0x0 0.--6. 1. "RESERVED," group.word 0x4698++0x1 line.word 0x0 "LANE1_DIG_ANA_TX_EQ_OVRD_OUT_3,Override values for TX EQ signals going to ANA register #3" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "TX_ANA_CTRL_POST,Override value for tx_ana_ctrl_post[7:0]" group.word 0x469C++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_CTL_OVRD_OUT,Override values for RX control signals going to ANA" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_CTL_OVRD_EN,Enable override values for outputs [8-0] below" "0,1" newline bitfld.word 0x0 7. "RX_LBK_CLK_EN,Override value for rx_ana_loopback_clk_en" "0,1" newline bitfld.word 0x0 6. "RX_ANA_ADAPTATION_EN,Override value for rx_ana_adaptation_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_DFE_TAPS_EN,Override value for rx_ana_dfe_taps_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_DIV4_EN,Override value for rx_ana_div4_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_WORD_CLK_EN,Override value for rx_ana_word_clk_en" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_DATA_RATE,Override value for rx_ana_data_rate" "0,1,2,3" newline bitfld.word 0x0 0. "RESERVED," "0,1" group.word 0x46A0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_PWR_OVRD_OUT,Override values for RX PWR UP/DN signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_PWR_OVRD_EN,Enable override values for all outputs controlled by this register" "0,1" newline bitfld.word 0x0 6. "RX_ANA_DESERIAL_EN,Override value for rx_ana_deserial_en" "0,1" newline bitfld.word 0x0 5. "RX_ANA_CDR_EN,Override value for rx_ana_cdr_en" "0,1" newline bitfld.word 0x0 4. "RX_ANA_CLK_EN,Override value for rx_ana_clk_en" "0,1" newline bitfld.word 0x0 3. "RX_ANA_CLK_DCC_EN,Override value for rx_ana_clk_dcc_en" "0,1" newline bitfld.word 0x0 2. "RX_ANA_CLK_VREG_EN,Override value for rx_ana_clk_vreg_en" "0,1" newline bitfld.word 0x0 1. "RX_ANA_AFE_EN,Override value for rx_ana_afe_en" "0,1" newline bitfld.word 0x0 0. "RX_ANA_LOS_EN,Override value for rx_ana_los_en" "0,1" group.word 0x46A4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_VCO_OVRD_OUT_0,Override values for RX VCO signals going to ANA #0" newline bitfld.word 0x0 15. "RX_CDR_FREQ_TUNE_OVRD_EN,Enable override value for rx_ana_cdr_freq_tune" "0,1" newline bitfld.word 0x0 14. "RX_ANA_VCO_CNTR_CLK,Override value for rx_ana_vco_cntr_clk" "0,1" newline bitfld.word 0x0 13. "RX_ANA_VCO_CNTR_EN,Override value for rx_ana_vco_cntr_en" "0,1" newline hexmask.word 0x0 3.--12. 1. "RX_ANA_CDR_FREQ_TUNE,Override value for rx_ana_cdr_freq_tune" newline bitfld.word 0x0 2. "RX_VCO_CDR_OVRD_EN,Enable override values for cdr_vco_en and cdr_startup" "0,1" newline bitfld.word 0x0 1. "RX_ANA_CDR_STARTUP,Override value for rx_ana_cdr_startup" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_EN,Override value for rx_ana_cdr_vco_en" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x46A8)++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_VCO_OVRD_OUT_$1,Override values for RX VCO signals going to ANA #1" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_ANA_VCO_CNTR_PD,Override value for rx_ana_vco_cntr_pd" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CDR_VCO_LOWFREQ,Override value for rx_ana_cdr_vco_lowfreq" "0,1" repeat.end group.word 0x46B0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_CAL,Sets values for RX CAL signals going to ANA register" newline bitfld.word 0x0 15. "RX_ANA_CAL_COMP_EN,Value for rx_ana_cal_comp_en" "0,1" newline bitfld.word 0x0 13.--14. "RX_ANA_CAL_MODE,Value for rx_ana_cal_mode[1:0] 00 Dual differential comparison ( [vip2 - vim2] greater than [vip1 - vim1] ) 01 Differential comparison on input2 (vip2 greater than vim2) 10 Single-ended comparison negative node to negative node (vim1.." "?,?,vim2] greater than [vip1,?" newline bitfld.word 0x0 12. "RX_ANA_SLICER_CAL_EN,Value for rx_ana_slicer_cal_en" "0,1" newline bitfld.word 0x0 11. "RESERVED," "0,1" newline bitfld.word 0x0 10. "RX_ANA_CAL_LPFBYP_EN,Value for rx_ana_cal_lpfbyp_en" "0,1" newline hexmask.word.byte 0x0 5.--9. 1. "RX_ANA_CAL_MUXB_SEL,Value for rx_ana_cal_muxb_sel[4:0]" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_MUXA_SEL,Value for rx_ana_cal_muxa_sel[4:0]" group.word 0x46B4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_DAC_CTRL,Sets values for RX DAC CTRL value going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ANA_CAL_DAC_CTRL,Value for rx_ana_cal_dac_ctrl[7:0]" group.word 0x46B8++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_DAC_CTRL_OVRD,Overrides RX DAC CTRL bus (en/val/sel) going to ANA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CAL_DAC_CTRL_OVRD,Override enable for Cal DAC control" "0,1" group.word 0x46BC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_DAC_CTRL_SEL,Sets values for RX DAC CTRL Select signal going to ANA" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_ANA_CAL_DAC_CTRL_SEL,Value for rx_ana_cal_dac_ctrl_sel[4:0]" group.word 0x46C0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_AFE_ATT_VGA,Value for RX AFE ATT & VGA signals going to ANA" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_AFE_OVRD_EN,Override enable for AFE control" "0,1" newline hexmask.word.byte 0x0 7.--10. 1. "RESERVED," newline hexmask.word.byte 0x0 3.--6. 1. "RX_ANA_AFE_GAIN,Value for rx_ana_afe_gain[3:0]" newline bitfld.word 0x0 0.--2. "RX_ANA_AFE_ATT_LVL,Value for rx_ana_afe_att_lvl[2:0]" "0,1,2,3,4,5,6,7" group.word 0x46C4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_AFE_CTLE,Values for RX AFE CTLE signals going to ANA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 3.--7. 1. "RX_ANA_AFE_CTLE_BOOST,Value for rx_ana_afe_ctle_boost[4:0]" newline bitfld.word 0x0 0.--2. "RESERVED," "0,1,2,3,4,5,6,7" group.word 0x46C8++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_SCOPE,Values for RX SCOPE signals going to ANA" newline rbitfld.word 0x0 14.--15. "RESERVED_15_14,Reserved for Future use" "0,1,2,3" newline bitfld.word 0x0 13. "RX_SCOPE_SELF_CLEAR_DISABLE,Disable the self-clearing for rx_ana_scope_ph_clk register" "0,1" newline bitfld.word 0x0 12. "RX_ANA_SCOPE_CLK_EN,Enable the scope clocks going to the scope slicer and the lane digital part" "0,1" newline hexmask.word.byte 0x0 4.--11. 1. "RX_ANA_SCOPE_PHASE,Sets value for rx_ana_scope_phase[7:0]" newline bitfld.word 0x0 3. "RX_ANA_SCOPE_PH_CLK,Sets value for rx_ana_scope_ph_clk - This bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" newline bitfld.word 0x0 1.--2. "RX_ANA_SCOPE_SEL,Sets value for rx_ana_scope_sel 00 - AFE scope selected 01 - DFE even scope selected 10 - DFE odd scope selected 11 - DFE bypass/AFE buffer scope selected" "AFE scope selected,DFE even scope selected,?,?" newline bitfld.word 0x0 0. "RX_ANA_SCOPE_EN,Sets value for rx_ana_scope_en" "0,1" group.word 0x46CC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_SLICER_CTRL,Sets values for RX Slicer Ctrl signals going to ANA register" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline bitfld.word 0x0 8. "RX_ANA_SLICER_CTRL_OVRD_EN,Override enable for Rx ANA Slicer Ctrl" "0,1" newline hexmask.word.byte 0x0 4.--7. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0x46D0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_IQ_PHASE_ADJUST,Sets values for RX ANA IQ PHASE Adjust signal going to ANA register" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_ANA_IQ_PHASE_ADJUST,Value for rx_ana_iq_phase_adjust[6:0]" group.word 0x46D4++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_IQ_SENSE_EN,Sets values for RX ANA IQ SENSE signal" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ANA_IQ_SENSE_EN,Value for rx_ana_iq_sense_en" "0,1" group.word 0x46D8++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_CAL_DAC_CTRL_EN,DAC CTRL enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "DAC_CTRL_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_cal_dac_ctrl_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_CAL_DAC_CTRL_EN,Value for rx_ana_cal_dac_ctrl_en - If DAC_CTRL_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x46DC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_SIGNALS_CHANGES_ENABLE,Afe update enable signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "AFE_UPDATE_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_afe_update_en register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_AFE_UPDATE_EN,Value for rx_ana_afe_update_en - If AFE_UPDATE_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" group.word 0x46E0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_ANA_PHASE_ADJUST_CLK,Phase adjust clock signal" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "PHASE_ADJUST_SELF_CLEAR_DISABLE,Disable self-clearing for the rx_ana_iq_phase_adjust_clk register" "0,1" newline bitfld.word 0x0 0. "RX_ANA_IQ_PHASE_ADJUST_CLK,Value for rx_ana_iq_phase_adjust_clk - If PHASE_ADJUST_SELF_CLEAR_DISABLE=0 then this bit is self-clearing (i.e. only asserts for one cr_clk cycle)" "0,1" rgroup.word 0x46E4++0x1 line.word 0x0 "LANE1_DIG_ANA_STATUS_0,Lane input status register #0" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_ANA_SCOPE_DATA,Value from ANA for rx_ana_scope_data" "0,1" newline bitfld.word 0x0 6. "RX_ANA_CAL_RESULT,Value from ANA for rx_ana_cal_result" "0,1" newline bitfld.word 0x0 5. "RX_ANA_LOS,Value from ANA for rx_ana_los" "0,1" newline bitfld.word 0x0 4. "TX_ANA_CLK_LB_EN,Value of tx_ana_clk_lb_en" "0,1" newline bitfld.word 0x0 3. "TX_ANA_LOOPBACK_EN,Value of tx_ana_loopback_en" "0,1" newline bitfld.word 0x0 2. "TX_ANA_RXDETM_RESULT,Value from ANA for tx_ana_rxdetm_result" "0,1" newline bitfld.word 0x0 1. "TX_ANA_RXDETP_RESULT,Value from ANA for tx_ana_rxdetp_result" "0,1" newline bitfld.word 0x0 0. "TX_ANA_CLK_SHIFT_ACK,Value from ANA for tx_ana_clk_shift_ack" "0,1" rgroup.word 0x46E8++0x1 line.word 0x0 "LANE1_DIG_ANA_STATUS_1,Lane input status register #1" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "RX_ANA_VCO_CNTR,Value from ANA for rx_ana_vco_cntr" group.word 0x46EC++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_TERM_CODE_OVRD_OUT,Override value for RX termination code going to ANA" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "RX_TERM_OVRD_EN,Override enable for the rx_ana_term_code[5:0] signal" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "RX_TERM_CODE,Overrides the rx_ana_term_code[5:0] signal" group.word 0x46F0++0x1 line.word 0x0 "LANE1_DIG_ANA_RX_TERM_CODE_CLK_OVRD_OUT,Override value for RX termination code clock going to ANA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RX_TERM_CLK_SELF_CLEAR_DISABLE,Disable the self-clearing of rx_ana_term_clk register" "0,1" newline bitfld.word 0x0 0. "RX_TERM_CLK,Override for TX term DN clock - This bit is self_clearing (4 cr_clks later)." "0,1" group.word 0x4700++0x1 line.word 0x0 "LANE1_ANA_TX_OVRD_MEAS,TX_OVRD_MEAS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "PULL_DN_REG,Pull down TX output if asserted" "0,1" newline bitfld.word 0x0 6. "PULL_UP_REG,Pull up TX output if asserted" "0,1" newline bitfld.word 0x0 5. "VCM_HOLD_REG,Set Tx in common mode if asserted together with bit 4" "0,1" newline bitfld.word 0x0 4. "OVRD_VCM_HOLD,If asserted bit 5 take effect on control Tx common mode" "0,1" newline bitfld.word 0x0 3. "MEAS_SAMP_P,Measure clock p DCD through atb_s_p on clock psample" "0,1" newline bitfld.word 0x0 2. "MEAS_SAMP_M,Measure clock m DCD through atb_s_p on clock m sample" "0,1" newline bitfld.word 0x0 1. "CLK_SHIFT_REG,Controls clock shift if asserted with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_CLK_SHIFT,If asserted allow analog register to control clock shift function" "0,1" group.word 0x4704++0x1 line.word 0x0 "LANE1_ANA_TX_PWR_OVRD,TX_PWR_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_EN,Enable analog register to take control TX power state if asserted" "0,1" newline bitfld.word 0x0 6. "SERIAL_EN_REG,Enable TX serializer if assered with bit 7" "0,1" newline bitfld.word 0x0 5. "CLK_EN_REG,Enable TX clock if asserted with bit 7" "0,1" newline bitfld.word 0x0 4. "DATA_EN_REG,Enable TX driver data path if asserted with bit 7" "0,1" newline bitfld.word 0x0 3. "CLK_DIV_EN_REG,Enable TX divider if asserted with bit 7 overrides !tx_reset" "0,1" newline bitfld.word 0x0 2. "REFGEN_EN_REG,Enable TX biasing if asserted with bit 7" "0,1" newline bitfld.word 0x0 1. "LOOPBACK_EN_REG,Enable TX loopback path to RX if asserted along with bit 0" "0,1" newline bitfld.word 0x0 0. "OVRD_TX_LOOPBACK,Enable Tx loopback mode over ridden by analog register if asserted" "0,1" group.word 0x4708++0x1 line.word 0x0 "LANE1_ANA_TX_ALT_BUS,TX_ALT_BUS" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "JTAG_DATA_REG,When bit 2 is asserted it replace jtag data" "0,1" newline bitfld.word 0x0 4.--6. "TX_ALT_RINGO,Three bit select of the ALT path test oscillators 000 no oscillators enabled 001 osc_vp_ulvt oscillator enabled 010 osc_vptx_lvt oscillator enabled 011 osc_vp_lvt oscillator enabled 100 Reserved 101 Reserved 110 Reserved 111 osc_vph_hv.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "NC3,Reserved" "0,1" newline bitfld.word 0x0 2. "OVRD_ALT_BUS,If asserted jtag data and TX data source selection are controlled by bits [1:0] and bit 7" "0,1" newline bitfld.word 0x0 0.--1. "DRV_SOURCE_REG,When bit 2 is asserted drv_source_reg[1:0] takes control of TX function overrides tx_data_source[1:0]" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.word ($2+0x470C)++0x1 line.word 0x0 "LANE1_ANA_TX_ATB$1,TX_ATB1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "NC7,Reserved" "0,1" newline bitfld.word 0x0 6. "ATB_VREG1,Put TX regulator 1 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "ATB_VREG0,Put TX regulator 0 output on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_0,Use atb_s_m as TX regulator 0 reference voltage when asserted" "0,1" newline bitfld.word 0x0 3. "ATB_VPTX,Put TX driver local vptx on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 2. "ATB_VDCCP,Put DCC control voltage p on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 1. "ATB_VDCCM,Put DCC control voltage m on atb_s_p when asserted" "0,1" newline bitfld.word 0x0 0. "ATB_GD,Put tx local gd on atb_s_p when asserted" "0,1" repeat.end group.word 0x4714++0x1 line.word 0x0 "LANE1_ANA_TX_VBOOST,TX_VBOOST" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_VBOOST_EN,Enable TX boost mode to be override by bit 6" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_REG,If bit 7 is set to 1 analog register takes control of Tx vboost enable/disable" "0,1" newline bitfld.word 0x0 5. "BOOST_VPTX_MODE_N,If asserted TX boost mode becomes a direct boost mode. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref) in default TX boost mode" "0,1" newline bitfld.word 0x0 4. "ATB_VBOOST,Measure vptx/2 through atb_s_p when TX boost is enabled" "0,1" newline bitfld.word 0x0 3. "ATB_VBOOST_VREF,Measure tx boost reference voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "OVERRIDE_VREF_BOOST_REF,If enabled atb_s_m is used to provide Tx boost reference voltage instead of bandgap voltage tx_vboost_vref" "0,1" newline bitfld.word 0x0 1. "ATB_S_ENABLE,Enables TX atb function if asserted This bit has to be set to 1 in order to make TX atb_s_p/m visible" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VPH_HALF,Measure vph/2 on atb_s_p" "0,1" group.word 0x4718++0x1 line.word 0x0 "LANE1_ANA_TX_TERM_CODE,TX_TERM_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 1.--7. 1. "TERM_CODE_REG,TX leg biasing register (7 MSBs) this is Term_code_reg[9:3]" newline bitfld.word 0x0 0. "TERM_CODE_OVRD,Enable analog register to overdrive TX leg biasing" "0,1" group.word 0x471C++0x1 line.word 0x0 "LANE1_ANA_TX_TERM_CODE_CTRL,TX_TERM_CODE_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RESET_TERM_REG,Resets the tx termination code DACs" "0,1" newline bitfld.word 0x0 6. "RESET_TERM_OVRD,Enable analog register to reset termination code DACs" "0,1" newline bitfld.word 0x0 5. "UPDATE_TERM_UP_REG,Register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 4. "UPDATE_TERM_UP_OVRD,Enables analog register control to update the pullup TX terminations" "0,1" newline bitfld.word 0x0 3. "UPDATE_TERM_DN_REG,Register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 2. "UPDATE_TERM_DN_OVRD,Enables analog register control to update the pulldown TX terminations" "0,1" newline bitfld.word 0x0 1. "TX_PWR_EN_REG,Register control over the power gating of blocks within the TX" "0,1" newline bitfld.word 0x0 0. "TX_PWR_EN_OVRD,Enables analog register control over the power gating of blocks within the TX" "0,1" group.word 0x4720++0x1 line.word 0x0 "LANE1_ANA_TX_IBOOST_CODE,TX_IBOOST_CODE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "IBOOST_CODE_REG,When TX boost is enabled and bit 3 is asserted these 4 bits take control of TX boost. VdIffpp=vptx+0.05*(2.5*(1+iboost_code)*(2*tx_vboost_vref-vptx/2) in default TX boost mode" newline bitfld.word 0x0 3. "IBOOST_CODE_OVRD,Enable analog register overdrive for TX boost" "0,1" newline bitfld.word 0x0 1.--2. "TERM_CODE_REG,TX leg biasing register bit 2 & 1 this is term_code_reg[2:1]" "0,1,2,3" newline bitfld.word 0x0 0. "LFPS_HIGH_PRIORITY,If asserted lfps/beacon enable has higher priority than data enable" "0,1" group.word 0x4724++0x1 line.word 0x0 "LANE1_ANA_TX_OVRD_CLK,TX_OVRD_CLK" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_WORD_CLK_EN,If asserted it allows bit 6 to override tx word clock enable" "0,1" newline bitfld.word 0x0 6. "WORD_CLK_EN_REG,Tx word clock enable/disable when bit 7 is asserted" "0,1" newline bitfld.word 0x0 5. "OVRD_MPLLAB_EN,If asserted it allows bit 3 or 4 to take control of selecting MPLL clocks" "0,1" newline bitfld.word 0x0 4. "MPLLA_CLK_EN_REG,When asserted with bit 5 selects MPLLA clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 3. "MPLLB_CLK_EN_REG,When asserted with bit 5 selects MPLLB clock as TX data output clock Only bit 3 or bit 4 or bit 1 can be asserted" "0,1" newline bitfld.word 0x0 2. "OVRD_LB_EN,If asserted it allows bit 1 takes control of RX clock loopback to TX" "0,1" newline bitfld.word 0x0 1. "CLK_LB_EN_REG,When asserted with bit 2 selects RX clock for TX data output clock" "0,1" newline bitfld.word 0x0 0. "OVERRIDE_REGREF_1,Use atb_s_m to over ride TX regulator reference voltage when asserted" "0,1" group.word 0x4728++0x1 line.word 0x0 "LANE1_ANA_TX_MISC,TX_MISC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_RXDETREF,If asserted atb_s_m is used to override RX detection reference voltage" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_BIAS_VPTX,Measure TX bias local vptx through atb_s_p when asserted" "0,1" newline bitfld.word 0x0 5. "OSC_DIV4_EN,If asserted divides tx_alt oscillator output frequency by 4" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "NC4_0,Reserved" group.word 0x472C++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_IQSKEW,RX_ATB_IQSKEW" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MASTER_ATB_EN,If asserted enable RX ATB sensing bus atb_s_p/m visible externally.The exception is bit 5 which dont require this bit to be asserted" "0,1" newline bitfld.word 0x0 6. "MEAS_ATB_RX_SCOPE_REG,If asserted enable scope linearity characterization through atb_s_p/m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VP,If asserted vp is measured through atb_s_p" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "IQ_PHASE_ADJUST_REG,If ovrd_iq_phase_adjust is enabled these bits control the main PMIX" group.word 0x4730++0x1 line.word 0x0 "LANE1_ANA_RX_DCC_OVRD,RX_DCC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "DCC_EN_REG,When bit 6 is asserted this bit takes control of RX DCC enable" "0,1" newline bitfld.word 0x0 6. "OVRD_DCCANDAFE_EN,When asserted override RX DCC enable by analog register" "0,1" newline bitfld.word 0x0 5. "RX_LOOPBACK_CLK_REG,When bit 4 asserted this bit takes control of RX clock loopback enable/disable" "0,1" newline bitfld.word 0x0 4. "OVRD_RX_LOOPBACK_CLK,When asserted override rx clock loopback by analog register" "0,1" newline bitfld.word 0x0 2.--3. "MEAS_ATB_VDCC,meas_atb_vdcc[1:0] 00 Disable atb measurement 01 measure vdcc_i_p/m through atb_s_p/m 10 measure vdcc_q_p/m through atb_s_p/m 11 measure vdcc_i/q through atb_s_p/m" "0,1,2,3" newline bitfld.word 0x0 0.--1. "NC1_0,Reserved" "0,1,2,3" repeat 2. (list 0x1 0x2 )(list 0x0 0xC ) group.word ($2+0x4734)++0x1 line.word 0x0 "LANE1_ANA_RX_PWR_CTRL$1,RX_PWR_CTRL1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "AFE_EN_REG,When asserted with bit 6 enables AFE" "0,1" newline bitfld.word 0x0 6. "OVRD_AFE_EN,If asserted bit 7 take control of AFE enable/disable" "0,1" newline bitfld.word 0x0 5. "LOS_EN_REG,When asserted with bit 4 enables LOS" "0,1" newline bitfld.word 0x0 4. "OVRD_LOS_EN,If asserted bit 5 take control of LOS enable/disable" "0,1" newline bitfld.word 0x0 3. "CLK_EN_REG,When asserted with bit 2 enables RX clock" "0,1" newline bitfld.word 0x0 2. "OVRD_CLK_EN,If asserted bit 3 take control of RX clock enable/disable" "0,1" newline bitfld.word 0x0 1. "ACJT_EN_REG,When asserted with bit 0 enables ACJTAG" "0,1" newline bitfld.word 0x0 0. "OVRD_ACJT_EN,If asserted bit 1 take control of ACJTAG enable/disable" "0,1" repeat.end group.word 0x4738++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_REGREF,RX_ATB_REGREF" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 5.--7. "MEAS_ATB_CAL_MUX,Meas_atb_cal_mux is 3 bit signal Meas_atb_cal_mux[2:0] If Meas_atb_cal_mux[2] asserted RX offset calibration comparator first stage differential outputs are measured through atb_s_p/m If Meas_atb_cal_mux[1] asserted atb_s_p/m.." "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 4. "OVERRIDE_REGREF_CLK,If asserted RX clock regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 3. "OVERRIDE_REGREF_SCOPE,If asserted RX scope regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 2. "NC2,Reserved" "0,1" newline bitfld.word 0x0 0.--1. "MEAS_ATB_SAMP,meas_atb_samp[1:0] 00 Disable atb measurement 01 measure clk_i_p/m sampling node through atb_s_p/m 10 measure clk_q_p/m sampling node through atb_s_p/m 11 measure clk_i/q sampling node through atb_s_p/m" "0,1,2,3" group.word 0x473C++0x1 line.word 0x0 "LANE1_ANA_RX_CDR_AFE,RX_CDR_AFE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "NC7_6,Reserved" "0,1,2,3" newline bitfld.word 0x0 5. "PHDET_EVEN_REG,If asserted CDR phase detector uses even data path" "0,1" newline bitfld.word 0x0 4. "PHDET_ODD_REG,If asserted CDR phase detector uses odd data path" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "MEAS_ATB_RX,This is meas_atb_rx[9:6] bit each bit correspond to ==> meas_atb_rx[9] If asserted AFE biasing vbp is measured through atb_s_p meas_atb_rx[8] If asserted AFE biasing vbn is measured through atb_s_m meas_atb_rx[7] If asserted rx_p is.." group.word 0x4744++0x1 line.word 0x0 "LANE1_ANA_RX_MISC_OVRD,RX_MISC_OVRD" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVERRIDE_VREG_PRECHG_REG,If asserted the overvoltage compensation circuit in rx_vregs disabled" "0,1" newline bitfld.word 0x0 6. "CTLE_OFFSET_CAL_ENB,If asserted the offset calibration currents in the CTLE are disabled" "0,1" newline bitfld.word 0x0 5. "OVRD_RX_LOS_LFPS_EN,If asserted bit 4 enable/disables RX true LFPS detection" "0,1" newline bitfld.word 0x0 4. "RX_LOS_LFPS_EN_REG,If asserted with bit 5 enables true LFPS detection" "0,1" newline bitfld.word 0x0 2.--3. "NC3_2,Reserved" "0,1,2,3" newline bitfld.word 0x0 1. "WORD_CLK_EN_REG,If asserted with bit 0 enables rx word clock" "0,1" newline bitfld.word 0x0 0. "OVRD_WORD_CLK_EN,If asserted bit 1 takes control of word clock enable/disable" "0,1" group.word 0x4748++0x1 line.word 0x0 "LANE1_ANA_RX_CAL_MUXA,RX_CAL_MUXA" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXA_SEL,If asserted selects analog register setting to control RX calibration path A" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXA_SEL_REG,Analog registers to control RX calibration path A if bit 7 is asserted this is cal_muxa_sel_reg[4:0]" newline bitfld.word 0x0 1. "MEAS_ATB_VIBIAS_VCO,If asserted measure CDR VCO bias current through atb_s_p (25uA)" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_200U,If asserted measure CDR VCO bias current through atb_s_m (200uA)" "0,1" group.word 0x474C++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_MEAS1,RX_ATB_MEAS1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "ATB_FRC_VLOS,If asserted force los detection reference voltage through atb_s_m" "0,1" newline hexmask.word.byte 0x0 1.--6. 1. "MEAS_ATB_RX,This is meas_atb_rx[5:0] bits where each bit correspond to meas_atb_rx[5] If asserted rx_m is driven by atb_f_m meas_atb_rx[4] If asserted rx_m is sensed through atb_s_m meas_atb_rx[3] If asserted measure RX LOS detection threshold.." newline bitfld.word 0x0 0. "NC0,Reserved" "0,1" group.word 0x4750++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_MEAS2,RX_ATB_MEAS2" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "MEAS_GD,If asserted measure RX regulator local gd through atb_s_p" "0,1" newline bitfld.word 0x0 6. "NC6,Reserved" "0,1" newline bitfld.word 0x0 5. "MEAS_VREG_CLK,If asserted measure RX clock regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_VREG_SCOPE,If asserted measure RX scope regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_OVRD_CDR_EN,If asserted enables CDR regardless of the digital control" "0,1" newline bitfld.word 0x0 1. "MEAS_ATB_VCO_GD,If asserted measure CDR VCO local gd through atb_s_m" "0,1" newline bitfld.word 0x0 0. "MEAS_ATB_VCO_VOSC,If asserted measure CDR VCO oscillation bias current through atb_s_m" "0,1" group.word 0x4754++0x1 line.word 0x0 "LANE1_ANA_RX_CAL_MUXB,RX_CAL_MUXB" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_CAL_MUXB_SEL,If asserted selects analog register setting to control RX calibration path B" "0,1" newline hexmask.word.byte 0x0 2.--6. 1. "CAL_MUXB_SEL_REG,Analog registers to control RX calibration path B if bit 7 is asserted this is cal_muxb_sel_reg[4:0]" newline bitfld.word 0x0 1. "OVRD_DFE_TAPS_EN,If asserted allows bit 0 to enable/disable dfe taps 1 and 2" "0,1" newline bitfld.word 0x0 0. "DFE_TAPS_EN_REG,If bit 1 is asserted controls DFE tap 1 and 2" "0,1" group.word 0x4758++0x1 line.word 0x0 "LANE1_ANA_RX_TERM,RX_TERM" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 6.--7. "AFE_CM_SEL,Added to make AFE CM voltage controllable (below values are for TT vp=0.8V) 00 vcm=662mV 01 vcm=627mV (default) 10 vcm=593mV 11 vcm=558mV" "0,1,2,3" newline bitfld.word 0x0 5. "OVRD_RX_TERM_GD_EN,If asserted the ground termination enable value is controlled via registers" "0,1" newline bitfld.word 0x0 4. "RX_TERM_GD_EN_REG,If termination override is asserted controls the ground termination enable" "0,1" newline bitfld.word 0x0 3. "OVRD_IQ_PHASE_ADJUST,If asserted the iq_phase_adjust value is controlled via registers" "0,1" newline bitfld.word 0x0 2. "VCO_TEMP_COMP_EN,If asserted the RX-VCO temperature compensation circuit is enabled" "0,1" newline bitfld.word 0x0 0.--1. "CDR_VCO_STARTUP_CODE_REG,RX_VCO startup current over-ride cdr_vco_startup_code Startup override 00 cdr_freq_code_int[9:7] = cdr_freq_code[9:7] 01 when startup = 1 -> cdr_freq_code_int[9] = 1 10 when startup = 1 -> cdr_freq_code_int[8] = 1 11 when startup.." "?,cdr_freq_code_int[7] = 1,?,?" group.word 0x475C++0x1 line.word 0x0 "LANE1_ANA_RX_SLC_CTRL,RX_SLC_CTRL" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 4.--7. 1. "RX_SLICER_CTRL_E_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the even slicer configuration" newline hexmask.word.byte 0x0 0.--3. 1. "RX_SLICER_CTRL_O_REG,If ovrd_rx_slicer_ctrl_reg is asserted controls the odd slicer configuration" group.word 0x4760++0x1 line.word 0x0 "LANE1_ANA_RX_ATB_VREG,RX_ATB_VREG" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "OVRD_REGREF_IQC,If asserted main PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 6. "OVRD_REGREF_IQC_SCOPE,If asserted scope PMIX regulator reference is overridden through atb_s_m" "0,1" newline bitfld.word 0x0 5. "MEAS_ATB_VREG_DFE,If asserted measure RX DFE regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 4. "NC4,Reserved" "0,1" newline bitfld.word 0x0 3. "MEAS_ATB_VREG_IQC,If asserted measure RX main PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 2. "MEAS_ATB_VREG_IQC_SCOPE,If asserted measure RX scope PMIX regulator output voltage through atb_s_p" "0,1" newline bitfld.word 0x0 1. "OVRD_IQC_VREF_SEL,If asserted the vref on the iqc regulator is controlled via vbg_vref which can be controlled via registers" "0,1" newline bitfld.word 0x0 0. "OVRD_RX_SLICER_CTRL_REG,If asserted the slicer configuration value is controlled via registers (LANE.RX_SLC_CTRL)" "0,1" group.word 0x8000++0x1 line.word 0x0 "RAWCMN_DIG_CMN_CTL,Common control register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "PHY_FUNC_RST,Resets the PHY except registers in the Raw PCS common and always-on registers. Useful for resetting the PHY after reloading the Memory and without resetting the Memory." "0,1" group.word 0x8004++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_OVRD_IN,Override values for incoming MPLLA signals" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLA_BW_OVRD_EN,Override enable for mplla_bandwidth[15:0]" "0,1" newline bitfld.word 0x0 9. "MPLLA_DIV8_CLK_EN_OVRD_EN,Override enable for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 8. "MPLLA_DIV8_CLK_EN_OVRD_VAL,Override value for mplla_div8_clk_en" "0,1" newline bitfld.word 0x0 7. "MPLLA_DIV10_CLK_EN_OVRD_EN,Override enable for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 6. "MPLLA_DIV10_CLK_EN_OVRD_VAL,Override value for mplla_div10_clk_en" "0,1" newline bitfld.word 0x0 5. "MPLLA_TX_CLK_DIV_OVRD_EN,Override enable for mplla_tx_clk_div[2:0]" "0,1" newline bitfld.word 0x0 2.--4. "MPLLA_TX_CLK_DIV_OVRD_VAL,Override value for mplla_tx_clk_div[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1. "MPLLA_WORD_DIV2_EN_OVRD_EN,Override enable for mplla_word_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_WORD_DIV2_EN_OVRD_VAL,Override value for mplla_word_div2_en" "0,1" group.word 0x8008++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_BW_OVRD_IN,Override values for incoming MPLLA bandwidth" newline hexmask.word 0x0 0.--15. 1. "MPLLA_BW_OVRD_VAL,Override value for mplla_bandwidth[15:0]" group.word 0x800C++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_SSC_CTL_OVRD_IN_0,Override values for incoming MPLLA SSC control settings" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLA_SSC_EN_OVRD_EN,Override enable for mplla_ssc_en" "0,1" newline bitfld.word 0x0 8. "MPLLA_SSC_EN_OVRD_VAL,Override value for mplla_ssc_en" "0,1" newline bitfld.word 0x0 7. "MPLLA_SSC_CLK_SEL_OVRD_EN,Override enable for mplla_ssc_clk_sel[2:0]" "0,1" newline bitfld.word 0x0 4.--6. "MPLLA_SSC_CLK_SEL_OVRD_VAL,Override value for mplla_ssc_clk_sel[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "MPLLA_SSC_RANGE_OVRD_EN,Override enable for mplla_ssc_range[2:0]" "0,1" newline bitfld.word 0x0 0.--2. "MPLLA_SSC_RANGE_OVRD_VAL,Override value for mplla_ssc_range[2:0]" "0,1,2,3,4,5,6,7" group.word 0x8010++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_OVRD_IN,Override values for incoming MPLLB signals" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "MPLLB_BW_OVRD_EN,Override enable for mpllb_bandwidth[15:0]" "0,1" newline bitfld.word 0x0 9. "MPLLB_DIV8_CLK_EN_OVRD_EN,Override enable for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_DIV8_CLK_EN_OVRD_VAL,Override value for mpllb_div8_clk_en" "0,1" newline bitfld.word 0x0 7. "MPLLB_DIV10_CLK_EN_OVRD_EN,Override enable for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 6. "MPLLB_DIV10_CLK_EN_OVRD_VAL,Override value for mpllb_div10_clk_en" "0,1" newline bitfld.word 0x0 5. "MPLLB_TX_CLK_DIV_OVRD_EN,Override enable for mpllb_tx_clk_div[2:0]" "0,1" newline bitfld.word 0x0 2.--4. "MPLLB_TX_CLK_DIV_OVRD_VAL,Override value for mpllb_tx_clk_div[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 1. "MPLLB_WORD_DIV2_EN_OVRD_EN,Override enable for mpllb_word_div2_en" "0,1" newline bitfld.word 0x0 0. "MPLLB_WORD_DIV2_EN_OVRD_VAL,Override value for mpllb_word_div2_en" "0,1" group.word 0x8014++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_BW_OVRD_IN,Override values for incoming MPLLB bandwidth" newline hexmask.word 0x0 0.--15. 1. "MPLLB_BW_OVRD_VAL,Override value for mpllb_bandwidth[15:0]" group.word 0x8018++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_SSC_CTL_OVRD_IN_0,Override values for incoming MPLLB SSC control settings" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "MPLLB_SSC_EN_OVRD_EN,Override enable for mpllb_ssc_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_SSC_EN_OVRD_VAL,Override value for mpllb_ssc_en" "0,1" newline bitfld.word 0x0 7. "MPLLB_SSC_CLK_SEL_OVRD_EN,Override enable for mpllb_ssc_clk_sel[2:0]" "0,1" newline bitfld.word 0x0 4.--6. "MPLLB_SSC_CLK_SEL_OVRD_VAL,Override value for mpllb_ssc_clk_sel[2:0]" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "MPLLB_SSC_RANGE_OVRD_EN,Override enable for mpllb_ssc_range[2:0]" "0,1" newline bitfld.word 0x0 0.--2. "MPLLB_SSC_RANGE_OVRD_VAL,Override value for mpllb_ssc_range[2:0]" "0,1,2,3,4,5,6,7" group.word 0x801C++0x1 line.word 0x0 "RAWCMN_DIG_LANE_FSM_OP_XTND,Lane FSM OP XTND control register" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "DATA,Required to prevent timing violations while accessing through external interface." "0,1" group.word 0x8020++0x1 line.word 0x0 "RAWCMN_DIG_MPLLA_SSC_CTL_OVRD_IN_1,Override values for incoming MPLLA SSC control settings" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "MPLLA_FRACN_CTRL_OVRD_EN,Override enable for mplla_fracn_ctrl[10:0]" "0,1" newline hexmask.word 0x0 0.--10. 1. "MPLLA_FRACN_CTRL_OVRD_VAL,Override value for mplla_fracn_ctrl[10:0]" group.word 0x8024++0x1 line.word 0x0 "RAWCMN_DIG_MPLLB_SSC_CTL_OVRD_IN_1,Override values for incoming MPLLB SSC control settings" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "MPLLB_FRACN_CTRL_OVRD_EN,Override enable for mpllb_fracn_ctrl[10:0]" "0,1" newline hexmask.word 0x0 0.--10. 1. "MPLLB_FRACN_CTRL_OVRD_VAL,Override value for mpllb_fracn_ctrl[10:0]" group.word 0x8028++0x1 line.word 0x0 "RAWCMN_DIG_CMN_CTL_1,Common control register 1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 5. "RTUNE_REQ_OVRD_EN,Override enable for rtune_req" "0,1" newline bitfld.word 0x0 4. "RTUNE_REQ_OVRD_VAL,Override value for rtune_req" "0,1" newline bitfld.word 0x0 3. "MPLLB_INIT_CAL_DISABLE_OVRD_EN,Override enable for mpllb_init_cal_disable" "0,1" newline bitfld.word 0x0 2. "MPLLB_INIT_CAL_DISABLE_OVRD_VAL,Override value for mpllb_init_cal_disable" "0,1" newline bitfld.word 0x0 1. "MPLLA_INIT_CAL_DISABLE_OVRD_EN,Override enable for mplla_init_cal_disable" "0,1" newline bitfld.word 0x0 0. "MPLLA_INIT_CAL_DISABLE_OVRD_VAL,Override value for mplla_init_cal_disable" "0,1" group.word 0x802C++0x1 line.word 0x0 "RAWCMN_DIG_CMN_CTL_2,Common control register 2" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "FW_PWRUP_DONE,Indicates whether Firmware power-up has completed or not. After PG exit restore value from AON and assign it after coarse tune is restored in PG Exit sequence." "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_WAIT_MPLL_OFF_TIME,Number of ref_range cycles to wait for MPLL to turn off" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0xC 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.word ($2+0x8080)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_RTUNE_RX_VAL_$1,Resistor Tune RX Value 0" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "RTUNE_RX_VAL_0,Stored resister tune RX value 0" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0xC 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.word ($2+0x8084)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_RTUNE_TXDN_VAL_$1,Resistor Tune TX Down Value 0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "RTUNE_TXDN_VAL_0,Stored resister tune TX down value 0" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0xC 0x18 0x24 0x30 0x3C 0x48 0x54 ) group.word ($2+0x8088)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_RTUNE_TXUP_VAL_$1,Resistor Tune TX Up Value 0" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "RTUNE_TXUP_VAL_0,Stored resister tune TX up value 0" repeat.end group.word 0x80E0++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_SRAM_PGATE_BL_EN,Enable SRAM bootloader on power-gated exit" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "SRAM_PGATE_BL_EN,Enable SRAM bootloader on power-gated exit." "0,1" group.word 0x80E4++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_PG_OVRD_IN,Override values for incoming power-gating signals" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 5. "PG_MODE_EN_OVRD_EN,Override enable for pg_mode_en." "0,1" newline bitfld.word 0x0 4. "PG_MODE_EN_OVRD_VAL,Override value for pg_mode_en." "0,1" newline bitfld.word 0x0 3. "PG_RESET_OVRD_EN,Override enable for pg_reset." "0,1" newline bitfld.word 0x0 2. "PG_RESET_OVRD_VAL,Override value for pg_reset." "0,1" newline bitfld.word 0x0 1. "PCS_PWR_STABLE_OVRD,Enable overriding pcs_pwr_stable to 1'b1." "0,1" newline bitfld.word 0x0 0. "PMA_PWR_STABLE_OVRD,Enable overriding pma_pwr_stable to 1'b1." "0,1" group.word 0x80E8++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_PG_OVRD_OUT,Override values for outgoing power-gating signals" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "PCS_PWR_EN_OVRD,Enable overriding pcs_pwr_en to 1'b1." "0,1" newline bitfld.word 0x0 0. "PMA_PWR_EN_OVRD,Enable overriding pma_pwr_en to 1'b1." "0,1" group.word 0x80EC++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_SUP_OVRD_IN,Override values for incoming SUP signals" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 5. "REF_CLK_EN_OVRD_EN,Override enable for ref_clk_en" "0,1" newline bitfld.word 0x0 4. "REF_CLK_EN_OVRD_VAL,Override value for ref_clk_en" "0,1" newline bitfld.word 0x0 3. "MPLLB_FORCE_EN_OVRD_EN,Override enable for mpllb_force_en" "0,1" newline bitfld.word 0x0 2. "MPLLB_FORCE_EN_OVRD_VAL,Override value for mpllb_force_en" "0,1" newline bitfld.word 0x0 1. "MPLLA_FORCE_EN_OVRD_EN,Override enable for mplla_force_en" "0,1" newline bitfld.word 0x0 0. "MPLLA_FORCE_EN_OVRD_VAL,Override value for mplla_force_en" "0,1" group.word 0x80F0++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_FW_PWRUP_DONE,Firmware Power-Up Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FW_PWRUP_DONE,Indicates whether Firmware power-up has completed or not." "0,1" repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) group.word ($2+0x80F4)++0x1 line.word 0x0 "RAWCMN_DIG_AON_CMN_FW_VERSION_$1,Firmware version register #0" newline hexmask.word 0x0 0.--15. 1. "FW_VERSION_0,Firmware version" repeat.end group.word 0xC000++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_OVRD_IN,Override values for incoming TX controls from PCS" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "OVRD_EN,Override enable for all input signals below" "0,1" newline bitfld.word 0x0 11. "MSTR_MPLLB_STATE,Override value for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 10. "MSTR_MPLLA_STATE,Override value for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 9. "MPLL_EN,Override value for tx_mpll_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--4. "WIDTH,Override value for tx_width" "0,1,2,3" newline bitfld.word 0x0 2. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 0.--1. "PSTATE,Override value for tx_pstate" "0,1,2,3" group.word 0xC004++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_OVRD_IN_1,Override values for incoming TX controls from PCS. register #1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "IBOOST_LVL_OVRD_EN,Override enable for tx_iboost_lvl[3:0]" "0,1" newline hexmask.word.byte 0x0 8.--11. 1. "IBOOST_LVL_OVRD_VAL,Override value for tx_iboost_lvl[3:0]" newline bitfld.word 0x0 7. "VBOOST_EN_OVRD_EN,Override enable for tx_vboost_en" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_OVRD_VAL,Override value for tx_vboost_en" "0,1" newline bitfld.word 0x0 5. "DETRX_REQ_OVRD_EN,Override enable for tx_detrx_req" "0,1" newline bitfld.word 0x0 4. "DETRX_REQ_OVRD_VAL,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for tx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for tx_reset" "0,1" rgroup.word 0xC008++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_PCS_IN,Current values for incoming TX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "DETRX_REQ,Value from PCS for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MSTR_MPLLB_STATE,Value from PCS for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 12. "MSTR_MPLLA_STATE,Value from PCS for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 11. "MPLL_EN,Value from PCS for tx_mpll_en" "0,1" newline bitfld.word 0x0 10. "MPLLB_SEL,Value from PCS for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 7.--9. "RATE,Value from PCS for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5.--6. "WIDTH,Value from PCS for tx_width" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from PCS for tx_lpd" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Value from PCS for tx_pstate" "0,1,2,3" newline bitfld.word 0x0 1. "REQ,Value from PCS for tx_req" "0,1" newline bitfld.word 0x0 0. "RESET,Value from PCS for tx_reset" "0,1" group.word 0xC00C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PCS" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 1. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for tx_ack" "0,1" rgroup.word 0xC010++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TX_PCS_OUT,Current values for outgoing TX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for tx_ack" "0,1" group.word 0xC014++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN,Override values for incoming RX controls from PCS" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 8. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 7. "OVRD_EN,Enable override values for all fields in this register" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for rx_lpd" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 2.--3. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 0.--1. "RATE,Override value for rx_rate" "0,1,2,3" group.word 0xC018++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN_1,Override values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for rx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for rx_reset" "0,1" group.word 0xC01C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN_2,Override values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 15. "VCO_LOWFREQ_VAL_OVRD_EN,Enable override for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 14. "VCO_LOWFREQ_VAL_OVRD,Override value for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 13. "VCO_LD_VAL_OVRD_EN,Enable override for rx_vco_ld_val" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL_OVRD,Override value for rx_vco_ld_val" group.word 0xC020++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_IN_3,Override values for incoming RX controls from PCS. register #3" newline bitfld.word 0x0 15. "CONT_OVRD_EN,Enable override values for rx_adapt_cont and rx_offcan_cont" "0,1" newline bitfld.word 0x0 14. "OFFCAN_CONT,Override value for rx_offcan_cont" "0,1" newline bitfld.word 0x0 13. "ADAPT_CONT,Override value for rx_adapt_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_REQ_OVRD_EN,Enable override values for rx_adapt_req" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Override value for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "REF_LD_VAL_OVRD_EN,Enable override for rx_ref_ld_val" "0,1" newline hexmask.word.byte 0x0 4.--9. 1. "REF_LD_VAL_OVRD,Override value for rx_ref_ld_val" newline bitfld.word 0x0 3. "RX_LOS_THRSHLD_OVRD_EN,Enable override for rx_los_threshold" "0,1" newline bitfld.word 0x0 0.--2. "RX_LOS_THRSHLD_OVRD_VAL,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" rgroup.word 0xC024++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN,Current values for incoming RX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "RESET,Value from PCS for rx_reset" "0,1" newline bitfld.word 0x0 13. "OFFCAN_CONT,Value from PCS for rx_offcan_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_CONT,Value from PCS for rx_adapt_cont" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Value from PCS for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "ADAPT_DFE_EN,Value from PCS for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 9. "ADAPT_AFE_EN,Value from PCS for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 8. "CDR_VCO_LOWFREQ,Value from PCS for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 7. "LPD,Value from PCS for rx_lpd" "0,1" newline bitfld.word 0x0 5.--6. "PSTATE,Value from PCS for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3.--4. "WIDTH,Value from PCS for rx_width" "0,1,2,3" newline bitfld.word 0x0 1.--2. "RATE,Value from PCS for rx_rate" "0,1,2,3" newline bitfld.word 0x0 0. "REQ,Value from PCS for rx_req" "0,1" rgroup.word 0xC028++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_1,Current values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "REF_LD_VAL,Value from PCS for rx_ref_ld_val" rgroup.word 0xC02C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_2,Current values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL,Value from PCS for rx_vco_ld_val" rgroup.word 0xC030++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_3,Current values for incoming RX controls from PCS. register #3" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "EQ_VGA2_GAIN,Value from ASIC for rx_eq_vga2_gain" newline hexmask.word.byte 0x0 3.--6. 1. "EQ_VGA1_GAIN,Value from ASIC for rx_eq_vga1_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0xC034++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_IN_4,Current values for incoming RX controls from PCS. register #4" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 3.--10. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline bitfld.word 0x0 0.--2. "EQ_CTLE_POLE,Value from ASIC for rx_eq_ctle_pole" "0,1,2,3,4,5,6,7" group.word 0xC038++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PCS" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0xC03C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_PCS_OUT,Current values for outgoing RX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for rx_ack" "0,1" group.word 0xC040++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_ADAPT_ACK,RX Adaptation Acknowledge" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_ACK,RX Adaptation Acknowledge" "0,1" group.word 0xC044++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_ADAPT_FOM,RX Adaptation Figure of Merit" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,RX Adaptation Figure of Merit" group.word 0xC048++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_TXPRE_DIR,RX calculated direction for TX-pre" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPRE_DIR,RX calculated direction for TX-pre 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC04C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_TXMAIN_DIR,RX calculated direction for TX-Main" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXMAIN_DIR,RX calculated direction for TX-Main 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC050++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_TXPOST_DIR,RX calculated direction for TX-Post" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPOST_DIR,RX calculated direction for TX-Post 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" rgroup.word 0xC054++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_LANE_NUMBER,Current lane number" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "LANE_NUMBER,Current lane number" group.word 0xC058++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_LANE_XCVR_MODE_OVRD_IN,Override incoming values for lane_xcvr_mode" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_XCVR_MODE_OVRD_EN,Enable override value for lane_xcvr_mode" "0,1" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE_OVRD_VAL,Override value for lane_xcvr_mode" "0,1,2,3" rgroup.word 0xC05C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_LANE_XCVR_MODE_IN,Lane transceiver mode status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE,Lane transceiver mode Determines whether this lane is being used as Tx Rx or Tx/Rx 00 - Reserved 01 - Lane is used for Tx only 10 - Lane is used for Rx only 11 - Lane is used for Tx/Rx" "Reserved,Lane is used for Tx only,?,?" group.word 0xC060++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_ATE_OVRD_IN,ATE Override input to control top-level inputs" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_ADAPT_DFE_EN_OVRD_EN,Enable override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 10. "RX_ADAPT_DFE_EN_OVRD_VAL,Override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 9. "RX_ADAPT_AFE_EN_OVRD_EN,Enable override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 8. "RX_ADAPT_AFE_EN_OVRD_VAL,Override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 7. "TX_REQ_ATE_OVRD_EN,Enable override value for tx_req input" "0,1" newline bitfld.word 0x0 6. "TX_REQ_ATE_OVRD_VAL,Override value for top-level tx_req input" "0,1" newline bitfld.word 0x0 5. "RX_REQ_ATE_OVRD_EN,Enable override value for rx_req input" "0,1" newline bitfld.word 0x0 4. "RX_REQ_ATE_OVRD_VAL,Override value for top-level rx_req input" "0,1" newline bitfld.word 0x0 3. "TX_RESET_ATE_OVRD_EN,Enable override value for tx_reset input" "0,1" newline bitfld.word 0x0 2. "TX_RESET_ATE_OVRD_VAL,Override value for top-level tx_reset input" "0,1" newline bitfld.word 0x0 1. "RX_RESET_ATE_OVRD_EN,Enable override value for rx_reset input" "0,1" newline bitfld.word 0x0 0. "RX_RESET_ATE_OVRD_VAL,Override value for top-level rx_reset input" "0,1" group.word 0xC064++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_EQ_DELTA_IQ_OVRD_IN,Override incoming values for rx_eq_delta_iq" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RX_EQ_DELTA_IQ_OVRD_EN,Enable override value for rx_eq_delta_iq" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_DELTA_IQ_OVRD_VAL,Override value for rx_eq_delta_iq" group.word 0xC068++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TXRX_TERM_CTRL_OVRD_IN,Override incoming values for tx/rx_term_ctrl" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "TX_TERM_CTRL_OVRD_EN,Enable override value for tx_term_ctrl" "0,1" newline bitfld.word 0x0 4.--6. "TX_TERM_CTRL_OVRD_VAL,Override value for tx_term_ctrl" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "RX_TERM_CTRL_OVRD_EN,Enable override value for rx_term_ctrl" "0,1" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL_OVRD_VAL,Override value for rx_term_ctrl" "0,1,2,3,4,5,6,7" rgroup.word 0xC06C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_TXRX_TERM_CTRL_IN,tx/rx_term_ctrl status" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "TX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" group.word 0xC070++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_OUT_1,Override values for outgoing RX controls to PCS. register #1" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CLK_EN,Enable the outging rx_clk" "0,1" group.word 0xC074++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_EQ_OVRD_IN_1,Override values for incoming RX EQ controls from PCS. register #1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_EQ_OVRD_EN,Enable override values for all RX EQ settings" "0,1" newline bitfld.word 0x0 4.--6. "RX_EQ_ATT_LVL_OVRD_VAL,Override value for rx_eq_att_lvl[2:0]" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_AFE_GAIN_OVRD_VAL,Override value for rx_eq_afe_gain[3:0]" group.word 0xC078++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_EQ_OVRD_IN_2,Override values for incoming RX EQ controls from PCS. register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 8.--12. 1. "RX_EQ_CTLE_BOOST_OVRD_VAL,Override value for rx_eq_ctle_boost[4:0]" newline hexmask.word.byte 0x0 0.--7. 1. "RX_EQ_DFE_TAP1_OVRD_VAL,Override value for rx_eq_dfe_tap1[7:0]" group.word 0xC07C++0x1 line.word 0x0 "RAWLANE0_DIG_PCS_XF_RX_OVRD_OUT_2,Override value for RX VALID/DATA_EN/DATA_EN_ATE signal from PCS" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RX_RATE_ATE_OVRD_EN,Override enable for rx_rate_ate" "0,1" newline bitfld.word 0x0 8.--9. "RX_RATE_ATE_OVRD_VAL,Override value for rx_rate_ate" "0,1,2,3" newline bitfld.word 0x0 7. "RX_CDR_TRACK_EN_ATE_OVRD_EN,Override enable for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 6. "RX_CDR_TRACK_EN_ATE_OVRD_VAL,Override value for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 5. "RX_DATA_EN_ATE_OVRD_EN,Override enable for rx_data_en_ate" "0,1" newline bitfld.word 0x0 4. "RX_DATA_EN_ATE_OVRD_VAL,Override value for rx_data_en_ate" "0,1" newline bitfld.word 0x0 3. "RX_DATA_EN_OVRD_EN,Override enable for rx_data_en" "0,1" newline bitfld.word 0x0 2. "RX_DATA_EN_OVRD_VAL,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "RX_VALID_OVRD_EN,Override enable for rx_valid" "0,1" newline bitfld.word 0x0 0. "RX_VALID_OVRD_VAL,Override value for rx_valid" "0,1" group.word 0xC080++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FSM_OVRD_CTL,FSM override control register" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FSM_OVRD_EN,Enable overriding the FSM execution of commands Must be asserted to use FSM_CMD_START and FSM_JMP_EN features" "0,1" newline bitfld.word 0x0 13. "FSM_CMD_START,Start executing the new command This is a self-clearing bit" "0,1" newline bitfld.word 0x0 12. "FSM_JMP_EN,Force the FSM to jump to FSM_JMP_ADDR in the program memory Is applied when FSM_CMD_START is pulsed." "0,1" newline hexmask.word 0x0 0.--11. 1. "FSM_JMP_ADDR,The jump address used when FSM_JUMP_EN=1 The address is encoded as follows: [11:8] mem_lane [7:5] bank [4:0] register" rgroup.word 0xC084++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_MEM_ADDR_MON,Memory Address Monitor" newline hexmask.word 0x0 0.--15. 1. "MEM_ADDR,Current value of memory address used in Lane FSM" rgroup.word 0xC088++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_STATUS_MON,FSM Status Monitor" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RDMSK_DISABLED,Check if read mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 9. "WRMSK_DISABLED,Check if write mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 8. "WAIT_CNT_EQ0,Check if wait counter currently equals zero" "0,1" newline bitfld.word 0x0 7. "ALU_RES_EQ0,Check if ALU result register currently equals zero" "0,1" newline bitfld.word 0x0 6. "ALU_OVFLW,Current value of ALU overflow bit" "0,1" newline bitfld.word 0x0 5. "CMD_RDY,New command is ready for execution (applicable when FSM_OVRD_EN=1)" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "STATE,Current state of Lane FSM" rgroup.word 0xC08C++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_STARTUP_CAL,Status of Fast RX Start Up Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Status of fast RX start-up calibration" "0,1" rgroup.word 0xC090++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_ADAPT,Status of Fast RX Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ADAPT,Status of fast RX adaptation" "0,1" rgroup.word 0xC094++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_AFE_CAL,Status of Fast RX AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_CAL,Status of fast RX AFE DAC start-up calibration" "0,1" rgroup.word 0xC098++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_DFE_CAL,Status of Fast RX DFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_CAL,Status of fast RX DFE slicer start-up calibration" "0,1" rgroup.word 0xC09C++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" rgroup.word 0xC0A0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_REFLVL_CAL,Status of Fast RX Reference Level Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_REFLVL_CAL,Status of fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" rgroup.word 0xC0A4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_IQ_CAL,Status of Fast RX IQ Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_CAL,Status of fast RX IQ start-up calibration" "0,1" rgroup.word 0xC0A8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_AFE_ADAPT,Status of Fast RX AFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_ADAPT,Status of fast RX AFE DAC start-up adaptation" "0,1" rgroup.word 0xC0AC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_DFE_ADAPT,Status of Fast RX DFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_ADAPT,Status of fast RX DFE DAC start-up adaptation" "0,1" rgroup.word 0xC0B0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_SUP,Status of Fast Support block" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_SUP,Status of fast Support block (MPLL and Rtune)" "0,1" rgroup.word 0xC0B4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_TX_CMN_MODE,Status of Fast TX Common-mode Charge-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_CMN_MODE,Status of fast TX Common-mode Charge-up" "0,1" rgroup.word 0xC0B8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_TX_RXDET,Status of Fast TX detect RX" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_RXDET,Status of fast TX detect RX" "0,1" rgroup.word 0xC0BC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_PWRUP,Status of Fast RX Power-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_PWRUP,Status of fast RX Power-up (LOS VREG/AFE and DCC)" "0,1" rgroup.word 0xC0C0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_VCO_WAIT,Status of Fast RX VCO Wait Times" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_WAIT,Status of fast RX VCO wait times" "0,1" rgroup.word 0xC0C4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_VCO_CAL,Status of Fast RX VCO Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_CAL,Status of fast RX VCO Calibration" "0,1" rgroup.word 0xC0C8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_CMNCAL_MPLL_STATUS,Status of MPLL common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC0CC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_CAL_ADAPT,Status of Fast RX Continuous Calibration/Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Status of fast RX continuous calibration/adaptation" "0,1" rgroup.word 0xC0D0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_ADAPT,Status of Fast RX Continuous Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_ADAPT,Status of fast RX continuous adaptation" "0,1" rgroup.word 0xC0D4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_DATA_CAL,Status of Fast RX Continuous Data Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_DATA_CAL,Status of fast RX continuous data calibration" "0,1" rgroup.word 0xC0D8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_PHASE_CAL,Status of Fast RX Continuous Phase Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_PHASE_CAL,Status of fast RX continuous phase calibration" "0,1" rgroup.word 0xC0DC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CONT_AFE_CAL,Status of Fast RX Continuous AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_AFE_CAL,Status of fast RX continuous AFE calibration" "0,1" rgroup.word 0xC0E0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" "0,1" rgroup.word 0xC0E4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_RX_IQ_DELTA_ADD,Status of RX Delta addition" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_IQ_DELTA_ADD,Reserved" "0,1" rgroup.word 0xC0E8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" rgroup.word 0xC0EC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" group.word 0xC0F0++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_CR_REG_OP_XTND_EN,CR interface timing extension enable" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "CR_REG_OP_XTND_EN,CR interface timing extension enable 1'b0 - No Timing extension 1'b1 - Timing extension" "No Timing extension,Timing extension" group.word 0xC0F4++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_TX_EQ_UPDATE_FLAG,TX Eq update flag" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "TX_EQ_UPDATE_FLAG,Tx Eq update flag 1'b0 - Update tx eq post 1'b1 - Update tx eq pre" "Update tx eq post,Update tx eq pre" rgroup.word 0xC0F8++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_CMNCAL_RCAL_STATUS,Status of RTUNE common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC0FC++0x1 line.word 0x0 "RAWLANE0_DIG_FSM_RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" group.word 0xC100++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_ATT_IDAC_OFST,Offset value for RX AFE ATT iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_IDAC_OFST,Offset value for AFE ATT iDAC" group.word 0xC104++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_CTLE_IDAC_OFST,Offset value for RX AFE CTLE iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_IDAC_OFST,Offset value for AFE CTLE iDAC" group.word 0xC108++0x1 line.word 0x0 "RAWLANE0_DIG_AON_ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" group.word 0xC10C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" group.word 0xC110++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_CTLE_LBK_IDAC_OFST,Offset values for RX CTLE Loopback path iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_LBK_IDAC_OFST,Offset value for RX CTLE Loopback path iDAC" group.word 0xC114++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_PHASE_EVEN_VDAC_OFST,Offset values for RX DFE Phase Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_EVEN_VDAC_OFST,Offset value for DFE Phase Even vDAC" group.word 0xC118++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_PHASE_ODD_VDAC_OFST,Offset values for RX DFE Phase Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_ODD_VDAC_OFST,Offset value for DFE Phase Odd vDAC" group.word 0xC11C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_EVEN_REF_LVL,DFE Even reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_EVEN_REF_LVL,DFE Even reference level" group.word 0xC120++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_ODD_REF_LVL,DFE Odd reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ODD_REF_LVL,DFE Odd reference level" group.word 0xC124++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN,RX Phase Adjust Linear Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_LIN,Linear value for RX phase adjust" rgroup.word 0xC128++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_MAP,RX Phase Adjust Mapped Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_MAP,Mapped value for RX phase adjust" group.word 0xC12C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset values for RX DFE Data Even High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset value for DFE Data Even High vDAC" group.word 0xC130++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_EVEN_LOW_VDAC_OFST,Offset values for RX DFE Data Even Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_EVEN_LOW_VDAC_OFST,Offset value for DFE Data Even Low vDAC" group.word 0xC134++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_ODD_HIGH_VDAC_OFST,Offset values for RX DFE Data Odd High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_HIGH_VDAC_OFST,Offset value for DFE Data Odd High vDAC" group.word 0xC138++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_DATA_ODD_LOW_VDAC_OFST,Offset values for RX DFE Data Odd Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_ODD_LOW_VDAC_OFST,Offset value for DFE Data Odd Low vDAC" group.word 0xC13C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_BYPASS_EVEN_VDAC_OFST,Offset values for RX DFE By-Pass Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_EVEN_VDAC_OFST,Offset value for DFE By-Pass Even vDAC" group.word 0xC140++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_BYPASS_ODD_VDAC_OFST,Offset values for RX DFE By-Pass Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_ODD_VDAC_OFST,Offset value for DFE By-Pass Odd vDAC" group.word 0xC144++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0xC148++0x1 line.word 0x0 "RAWLANE0_DIG_AON_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" group.word 0xC14C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" group.word 0xC150++0x1 line.word 0x0 "RAWLANE0_DIG_AON_MPLLA_COARSE_TUNE,MPLLA_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLA_COARSE_TUNE,Stored coarse tune value for MPLLA" group.word 0xC154++0x1 line.word 0x0 "RAWLANE0_DIG_AON_MPLLB_COARSE_TUNE,MPLLB_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLB_COARSE_TUNE,Stored coarse tune value for MPLLB" group.word 0xC158++0x1 line.word 0x0 "RAWLANE0_DIG_AON_INIT_PWRUP_DONE,Initial Power-Up Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "INIT_PWRUP_DONE,Indicates whether initial power-up has completed or not." "0,1" group.word 0xC15C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_ATT,RX Adapted value of ATT" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_VAL,Stored RX adapted ATT value" group.word 0xC160++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_VGA,RX Adapted value of VGA" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_VAL,Stored RX adapted VGA value" group.word 0xC164++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_CTLE,RX Adapted value of CTLE" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_VAL,Stored RX adapted CTLE pole value" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_VAL,Stored RX adapted CTLE boost value" group.word 0xC168++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_DFE_TAP1,RX Adapted value of DFE TAP1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_VAL,Stored RX adapted DFE TAP1 value" group.word 0xC16C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADAPT_DONE,RX Adaptation Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DONE,Indicates whether RX adaptation has completed or not." "0,1" group.word 0xC170++0x1 line.word 0x0 "RAWLANE0_DIG_AON_FAST_FLAGS,Fast flags for simulation only" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FAST_RX_VCO_CAL,Enable fast RX VCO Calibration" "0,1" newline bitfld.word 0x0 13. "FAST_RX_VCO_WAIT,Enable fast RX VCO wait times" "0,1" newline bitfld.word 0x0 12. "FAST_RX_PWRUP,Enable fast RX power-up (LOS VREG/AFE and DCC)" "0,1" newline bitfld.word 0x0 11. "FAST_TX_RXDET,Enable fast TX Detect RX" "0,1" newline bitfld.word 0x0 10. "FAST_TX_CMN_MODE,Enable fast TX Common Mode Charge-up" "0,1" newline bitfld.word 0x0 9. "FAST_SUP,Enable fast Support block (MPLL and Rtune)" "0,1" newline bitfld.word 0x0 8. "FAST_RX_DFE_ADAPT,Enables fast RX DFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_AFE_ADAPT,Enables fast RX AFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 6. "FAST_RX_IQ_CAL,Enables fast RX IQ start-up calibration" "0,1" newline bitfld.word 0x0 5. "FAST_RX_REFLVL_CAL,Enables fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" newline bitfld.word 0x0 4. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" newline bitfld.word 0x0 3. "FAST_RX_DFE_CAL,Enables fast RX DFE slicer start-up calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_AFE_CAL,Enables fast RX AFE DAC start-up calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_ADAPT,Enables fast RX adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Enables fast RX start-up calibration" "0,1" group.word 0xC174++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_ADPT_DFE_TAP2,RX Adapted value of DFE TAP2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_VAL,Stored RX adapted DFE TAP2 value" group.word 0xC178++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN_RIGHT,RX last stable iq phase of Right of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_RIGHT,Stored RX iq phase right edge" group.word 0xC17C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN_LEFT,RX last stable iq phase of Left of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_LEFT,Stored RX iq phase left edge" group.word 0xC180++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_PHSADJ_LIN_ADAPT,RX Adapted value of PHASE IQ" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_ADAPT,Stored RX adapted IQ value" group.word 0xC184++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0xC188++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0xC18C++0x1 line.word 0x0 "RAWLANE0_DIG_AON_LANE_CMNCAL_MPLL_STATUS,MPLL Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by this lane or not." "0,1" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C ) group.word ($2+0xC190)++0x1 line.word 0x0 "RAWLANE0_DIG_AON_ADPT_CTL_$1,Adaptation Control register #0" newline hexmask.word 0x0 0.--15. 1. "VAL,Value of adaptation control" repeat.end group.word 0xC1B0++0x1 line.word 0x0 "RAWLANE0_DIG_AON_MPLL_DISABLE,LANE_MPLLA/B_DISABLE override" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_MPLLB_DISABLE,Disable MPLLB" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_DISABLE,Disable MPLLA" "0,1" group.word 0xC1B4++0x1 line.word 0x0 "RAWLANE0_DIG_AON_FAST_FLAGS_2,Fast flags for simulation only" newline hexmask.word.byte 0x0 12.--15. 1. "RSVD_FAST_FLAGS,Reserved fast flags" newline bitfld.word 0x0 11. "SKIP_IQ_STEP_SKIP,Skip the IQ step skip option" "0,1" newline bitfld.word 0x0 10. "SKIP_250US_WAIT,Skip bit for USB Gen1 250us wait FW WA" "0,1" newline bitfld.word 0x0 9. "WA_ATT_VCM_ISSUE_MODE,Workaround en or disable STAR 9001169835" "0,1" newline bitfld.word 0x0 8. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" newline bitfld.word 0x0 6. "RX_IQ_DELTA_ADD,Enables RX IQ DELTA addition" "0,1" newline bitfld.word 0x0 5. "FAST_RX_IQ_ADAPT,Enables fast RX IQ ADAPT" "0,1" newline bitfld.word 0x0 4. "FAST_RX_CONT_AFE_CAL,Enables fast RX continuous AFE calibration" "0,1" newline bitfld.word 0x0 3. "FAST_RX_CONT_PHASE_CAL,Enables fast RX continuous phase calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_CONT_DATA_CAL,Enables fast RX continuous data calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_CONT_ADAPT,Enables fast RX continuous adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Enables fast RX continuous calibration/adaptation" "0,1" group.word 0xC1B8++0x1 line.word 0x0 "RAWLANE0_DIG_AON_LANE_CMNCAL_RCAL_STATUS,RTUNE Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by this lane or not." "0,1" group.word 0xC1BC++0x1 line.word 0x0 "RAWLANE0_DIG_AON_TXRX_OVRD_IN,Override values for incoming AON TX/RX controls from PCS" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_DISABLE_OVRD_EN,Override enable for tx_disable" "0,1" newline bitfld.word 0x0 2. "TX_DISABLE_OVRD_VAL,Override value for tx_disable" "0,1" newline bitfld.word 0x0 1. "RX_DISABLE_OVRD_EN,Override enable for rx_disable" "0,1" newline bitfld.word 0x0 0. "RX_DISABLE_OVRD_VAL,Override value for rx_disable" "0,1" group.word 0xC1C0++0x1 line.word 0x0 "RAWLANE0_DIG_AON_AFE_ATT_2_IDAC_OFST,Offset value for RX AFE ATT_2 iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_2_IDAC_OFST,Offset value for AFE ATT_2 iDAC when cc is 1 in ana typec projects" group.word 0xC1C4++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RXTX_CC_OVRD_IN,Override incoming values for rxtx_cc" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RXTX_CC_OVRD_EN,Enable override value for rxtx_cc" "0,1" newline bitfld.word 0x0 0. "RXTX_CC_OVRD_VAL,Override value for rxtx_cc" "0,1" rgroup.word 0xC1C8++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RXTX_CC_STATUS_IN,Incoming value of CC status from TCA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_IN_I,Value from TCA of cc" "0,1" rgroup.word 0xC1CC++0x1 line.word 0x0 "RAWLANE0_DIG_AON_RXTX_CC_STATUS_OUT,Current values for outgoing CC status to PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_OUT,Value to PMA of cc" "0,1" group.word 0xC200++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RESET_RTN_REQ,Reset routine request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_RTN_REQ,Reset routine request" "0,1" rgroup.word 0xC204++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RESET_IRQ,Rx reset interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET,Rx reset interrupt" "0,1" rgroup.word 0xC208++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_REQ_IRQ,Rx request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ,Rx request interrupt" "0,1" rgroup.word 0xC20C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RATE_IRQ,Rx rate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ,Rx rate change interrupt request" "0,1" rgroup.word 0xC210++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_PSTATE_IRQ,Rx pstate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ,Rx pstate change interrupt request" "0,1" rgroup.word 0xC214++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" "0,1" rgroup.word 0xC218++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" "0,1" group.word 0xC21C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RESET_IRQ_CLR,RX reset interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET_IRQ_CLR,RX reset interrupt clear (self-clearing)" "0,1" group.word 0xC220++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_REQ_IRQ_CLR,RX request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ_IRQ_CLR,RX request interrupt clear (self-clearing)" "0,1" group.word 0xC224++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_RATE_IRQ_CLR,RX rate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ_CLR,RX rate change interrupt clear (self-clearing)" "0,1" group.word 0xC228++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear (self-clearing)" "0,1" group.word 0xC22C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear (self-clearing)" "0,1" group.word 0xC230++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear (self-clearing)" "0,1" group.word 0xC234++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_IRQ_MASK,Interrupt Mask" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "LANE_XCVR_MODE_IRQ_MSK,Mask for lane_xcvr_mode interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 5. "RX_RESET_IRQ_MSK,Mask for Rx reset interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 4. "RX_ADAPT_DIS_IRQ_MSK,Mask for Rx adaptation disable interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 3. "RX_ADAPT_REQ_IRQ_MSK,Mask for Rx adaptation request interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 2. "RX_PSTATE_IRQ_MSK,Mask for Rx pstate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 1. "RX_RATE_IRQ_MSK,Mask for Rx rate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 0. "RX_REQ_IRQ_MSK,Mask for Rx request interrupt (0 = cannot interrupt)" "cannot interrupt),?" rgroup.word 0xC238++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" "0,1" group.word 0xC23C++0x1 line.word 0x0 "RAWLANE0_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear (self-clearing)" "0,1" group.word 0xC280++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_LANE_OVRD_IN,Override values for incoming LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_IN,Override value for lane_mpllb_en_in" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_IN,Override value for lane_mplla_en_in" "0,1" group.word 0xC284++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_LANE_OVRD_OUT,Override values for outgoing LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_OUT,Override value for lane_mpllb_en_out" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_OUT,Override value for lane_mplla_en_out" "0,1" group.word 0xC288++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_OVRD_IN,Override values for incoming SUP controls from PMA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "SUP_STATE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "MPLLB_STATE,Override value for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Override value for mplla_state" "0,1" rgroup.word 0xC28C++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_PMA_IN,Current values for incoming MPLL status controls from PMA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "MPLLB_STATE,Value from PMA for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Value from PMA for mplla_state" "0,1" group.word 0xC290++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 2. "TX_RESET_OVRD_VAL,Override value for tx_reset" "0,1" newline bitfld.word 0x0 1. "TX_REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 0. "TX_REQ_OVRD_VAL,Override value for tx_req" "0,1" rgroup.word 0xC294++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_TX_PMA_IN,Current values for coming TX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for tx_ack" "0,1" group.word 0xC298++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "RX_RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 2. "RX_RESET_OVRD_VAL,Override value for rx_reset" "0,1" newline bitfld.word 0x0 1. "RX_REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 0. "RX_REQ_OVRD_VAL,Override value for rx_req" "0,1" rgroup.word 0xC29C++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_RX_PMA_IN,Current values for coming RX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for rx_ack" "0,1" group.word 0xC2A0++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_LANE_RTUNE_CTL,Lane Rtune Controls" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_RTUNE_REQ,Lane value for rtune_req" "0,1" rgroup.word 0xC2A4++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_PMA_IN_1,Current values for incoming RTUNE status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RTUNE_ACK,Value from PMA for rtune_ack" "0,1" rgroup.word 0xC2A8++0x1 line.word 0x0 "RAWLANE0_DIG_PMA_XF_SUP_PMA_RX_VALID,Current value of RX valid from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_VALID,Value of RX_VALID" "0,1" group.word 0xC300++0x1 line.word 0x0 "RAWLANE0_DIG_TX_CTL_TX_FSM_CTL,TX FSM Control" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P1_ALLOW_RXDET,If asserted then rxdet request is allowed in P1" "0,1" newline bitfld.word 0x0 6. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed in P2" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_WAIT_MPLL_OFF_TIME,Number of ref_range cycles to wait for MPLL to turn off (When entering P2)." group.word 0xC304++0x1 line.word 0x0 "RAWLANE0_DIG_TX_CTL_TX_CLK_CTL,Select clock to act as TX input clock" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 1.--4. 1. "TX_CLK_SEL,Select clock source for tx_pma_clk 0 - tx_pcs_clk (input clock from pcs) 1 - mplla_word_clk 2 - mplla_dword_clk 3 - mplla_qword_clk 4 - mplla_oword_clk 5 - mplla_div_clk 6 - mpllb_word_clk 7 - mpllb_dword_clk 8 - mpllb_qword_clk 9 -.." newline bitfld.word 0x0 0. "TX_CLK_EN,Enable the tx_clk to pma tx lane TX_CLK_EN must be deasserted when switching TX_CLK_SEL" "0,1" group.word 0xC380++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_RX_FSM_CTL,RX FSM control register" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RATE_CHG_IN_P1,When asserted then a rate change in P0/P0s will be sequenced such that the RX is put in P1 the rate change is applied and then the RX is returned to P0/P0s." "0,1" newline bitfld.word 0x0 0. "EN_RX_CTL_FSM,Enable the RX control FSM in the Raw PCS If enabled then when FSM detects a rate change it moves the RX to P1 does the rate change then goes back to P0/P0s. If not enabled then FSM is by-passed." "0,1" group.word 0xC384++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_RX_LOS_MASK_CTL,RX LOS Mask Control" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_MASK_CNT,Number of cycles (ref_range_clk) to mask out the rx_los output from the time the los is powered-on. Default set for minimum 10us." group.word 0xC388++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_RX_DATA_EN_OVRD_CTL,RX Data Enable Override Control" newline hexmask.word 0x0 5.--15. 1. "INT_REF_TRCK_CNT,Number of ref_range cycles to wait for integral reference tracking to settle." newline hexmask.word.byte 0x0 0.--4. 1. "RX_DATA_EN_OVRD_CNT,Number of ref_range cycles to override rx_data_en to 1." rgroup.word 0xC38C++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_OFFCAN_CONT_STATUS,RX continuous offset cancellation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous offset cancellation" "0,1" rgroup.word 0xC390++0x1 line.word 0x0 "RAWLANE0_DIG_RX_CTL_ADAPT_CONT_STATUS,RX continuous adaptation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous adaptation" "0,1" group.word 0xC400++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_OVRD_IN,Override values for incoming TX controls from PCS" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "OVRD_EN,Override enable for all input signals below" "0,1" newline bitfld.word 0x0 11. "MSTR_MPLLB_STATE,Override value for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 10. "MSTR_MPLLA_STATE,Override value for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 9. "MPLL_EN,Override value for tx_mpll_en" "0,1" newline bitfld.word 0x0 8. "MPLLB_SEL,Override value for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 5.--7. "RATE,Override value for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3.--4. "WIDTH,Override value for tx_width" "0,1,2,3" newline bitfld.word 0x0 2. "LPD,Override value for tx_lpd" "0,1" newline bitfld.word 0x0 0.--1. "PSTATE,Override value for tx_pstate" "0,1,2,3" group.word 0xC404++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_OVRD_IN_1,Override values for incoming TX controls from PCS. register #1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 12. "IBOOST_LVL_OVRD_EN,Override enable for tx_iboost_lvl[3:0]" "0,1" newline hexmask.word.byte 0x0 8.--11. 1. "IBOOST_LVL_OVRD_VAL,Override value for tx_iboost_lvl[3:0]" newline bitfld.word 0x0 7. "VBOOST_EN_OVRD_EN,Override enable for tx_vboost_en" "0,1" newline bitfld.word 0x0 6. "VBOOST_EN_OVRD_VAL,Override value for tx_vboost_en" "0,1" newline bitfld.word 0x0 5. "DETRX_REQ_OVRD_EN,Override enable for tx_detrx_req" "0,1" newline bitfld.word 0x0 4. "DETRX_REQ_OVRD_VAL,Override value for tx_detrx_req" "0,1" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for tx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for tx_reset" "0,1" rgroup.word 0xC408++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_PCS_IN,Current values for incoming TX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "DETRX_REQ,Value from PCS for tx_detrx_req" "0,1" newline bitfld.word 0x0 13. "MSTR_MPLLB_STATE,Value from PCS for tx_master_mpllb_state" "0,1" newline bitfld.word 0x0 12. "MSTR_MPLLA_STATE,Value from PCS for tx_master_mplla_state" "0,1" newline bitfld.word 0x0 11. "MPLL_EN,Value from PCS for tx_mpll_en" "0,1" newline bitfld.word 0x0 10. "MPLLB_SEL,Value from PCS for tx_mpllb_sel" "0,1" newline bitfld.word 0x0 7.--9. "RATE,Value from PCS for tx_rate" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 5.--6. "WIDTH,Value from PCS for tx_width" "0,1,2,3" newline bitfld.word 0x0 4. "LPD,Value from PCS for tx_lpd" "0,1" newline bitfld.word 0x0 2.--3. "PSTATE,Value from PCS for tx_pstate" "0,1,2,3" newline bitfld.word 0x0 1. "REQ,Value from PCS for tx_req" "0,1" newline bitfld.word 0x0 0. "RESET,Value from PCS for tx_reset" "0,1" group.word 0xC40C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PCS" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 1. "DETRX_RESULT,Override value for tx_detrx_result" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for tx_ack" "0,1" rgroup.word 0xC410++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TX_PCS_OUT,Current values for outgoing TX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for tx_ack" "0,1" group.word 0xC414++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN,Override values for incoming RX controls from PCS" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "ADAPT_DFE_EN,Override value for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 8. "ADAPT_AFE_EN,Override value for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 7. "OVRD_EN,Enable override values for all fields in this register" "0,1" newline bitfld.word 0x0 6. "LPD,Override value for rx_lpd" "0,1" newline bitfld.word 0x0 4.--5. "PSTATE,Override value for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 2.--3. "WIDTH,Override value for rx_width" "0,1,2,3" newline bitfld.word 0x0 0.--1. "RATE,Override value for rx_rate" "0,1,2,3" group.word 0xC418++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN_1,Override values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 2. "REQ_OVRD_VAL,Override value for rx_req" "0,1" newline bitfld.word 0x0 1. "RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 0. "RESET_OVRD_VAL,Override value for rx_reset" "0,1" group.word 0xC41C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN_2,Override values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 15. "VCO_LOWFREQ_VAL_OVRD_EN,Enable override for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 14. "VCO_LOWFREQ_VAL_OVRD,Override value for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 13. "VCO_LD_VAL_OVRD_EN,Enable override for rx_vco_ld_val" "0,1" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL_OVRD,Override value for rx_vco_ld_val" group.word 0xC420++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_IN_3,Override values for incoming RX controls from PCS. register #3" newline bitfld.word 0x0 15. "CONT_OVRD_EN,Enable override values for rx_adapt_cont and rx_offcan_cont" "0,1" newline bitfld.word 0x0 14. "OFFCAN_CONT,Override value for rx_offcan_cont" "0,1" newline bitfld.word 0x0 13. "ADAPT_CONT,Override value for rx_adapt_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_REQ_OVRD_EN,Enable override values for rx_adapt_req" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Override value for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "REF_LD_VAL_OVRD_EN,Enable override for rx_ref_ld_val" "0,1" newline hexmask.word.byte 0x0 4.--9. 1. "REF_LD_VAL_OVRD,Override value for rx_ref_ld_val" newline bitfld.word 0x0 3. "RX_LOS_THRSHLD_OVRD_EN,Enable override for rx_los_threshold" "0,1" newline bitfld.word 0x0 0.--2. "RX_LOS_THRSHLD_OVRD_VAL,Override value for rx_los_threshold" "0,1,2,3,4,5,6,7" rgroup.word 0xC424++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN,Current values for incoming RX controls from PCS" newline bitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "RESET,Value from PCS for rx_reset" "0,1" newline bitfld.word 0x0 13. "OFFCAN_CONT,Value from PCS for rx_offcan_cont" "0,1" newline bitfld.word 0x0 12. "ADAPT_CONT,Value from PCS for rx_adapt_cont" "0,1" newline bitfld.word 0x0 11. "ADAPT_REQ,Value from PCS for rx_adapt_req" "0,1" newline bitfld.word 0x0 10. "ADAPT_DFE_EN,Value from PCS for rx_adapt_dfe_en" "0,1" newline bitfld.word 0x0 9. "ADAPT_AFE_EN,Value from PCS for rx_adapt_afe_en" "0,1" newline bitfld.word 0x0 8. "CDR_VCO_LOWFREQ,Value from PCS for rx_cdr_vco_lowfreq" "0,1" newline bitfld.word 0x0 7. "LPD,Value from PCS for rx_lpd" "0,1" newline bitfld.word 0x0 5.--6. "PSTATE,Value from PCS for rx_pstate" "0,1,2,3" newline bitfld.word 0x0 3.--4. "WIDTH,Value from PCS for rx_width" "0,1,2,3" newline bitfld.word 0x0 1.--2. "RATE,Value from PCS for rx_rate" "0,1,2,3" newline bitfld.word 0x0 0. "REQ,Value from PCS for rx_req" "0,1" rgroup.word 0xC428++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_1,Current values for incoming RX controls from PCS. register #1" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline hexmask.word.byte 0x0 0.--5. 1. "REF_LD_VAL,Value from PCS for rx_ref_ld_val" rgroup.word 0xC42C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_2,Current values for incoming RX controls from PCS. register #2" newline bitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "VCO_LD_VAL,Value from PCS for rx_vco_ld_val" rgroup.word 0xC430++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_3,Current values for incoming RX controls from PCS. register #3" newline hexmask.word.byte 0x0 11.--15. 1. "EQ_CTLE_BOOST,Value from ASIC for rx_eq_ctle_boost" newline hexmask.word.byte 0x0 7.--10. 1. "EQ_VGA2_GAIN,Value from ASIC for rx_eq_vga2_gain" newline hexmask.word.byte 0x0 3.--6. 1. "EQ_VGA1_GAIN,Value from ASIC for rx_eq_vga1_gain" newline bitfld.word 0x0 0.--2. "EQ_ATT_LVL,Value from ASIC for rx_eq_att_lvl" "0,1,2,3,4,5,6,7" rgroup.word 0xC434++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_IN_4,Current values for incoming RX controls from PCS. register #4" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline hexmask.word.byte 0x0 3.--10. 1. "EQ_DFE_TAP1,Value from ASIC for rx_eq_dfe_tap1" newline bitfld.word 0x0 0.--2. "EQ_CTLE_POLE,Value from ASIC for rx_eq_ctle_pole" "0,1,2,3,4,5,6,7" group.word 0xC438++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PCS" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "EN_CTL,Enable override values for all control outputs of this register" "0,1" newline bitfld.word 0x0 0. "ACK,Override value for rx_ack" "0,1" rgroup.word 0xC43C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_PCS_OUT,Current values for outgoing RX status controls from Raw PCS" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from Raw PCS for rx_ack" "0,1" group.word 0xC440++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_ADAPT_ACK,RX Adaptation Acknowledge" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_ACK,RX Adaptation Acknowledge" "0,1" group.word 0xC444++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_ADAPT_FOM,RX Adaptation Figure of Merit" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,RX Adaptation Figure of Merit" group.word 0xC448++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_TXPRE_DIR,RX calculated direction for TX-pre" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPRE_DIR,RX calculated direction for TX-pre 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC44C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_TXMAIN_DIR,RX calculated direction for TX-Main" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXMAIN_DIR,RX calculated direction for TX-Main 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" group.word 0xC450++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_TXPOST_DIR,RX calculated direction for TX-Post" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "RX_TXPOST_DIR,RX calculated direction for TX-Post 00 - No change 01 - Increment 10 - Decrement 11 - Reserved" "No change,Increment,?,?" rgroup.word 0xC454++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_LANE_NUMBER,Current lane number" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "LANE_NUMBER,Current lane number" group.word 0xC458++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_LANE_XCVR_MODE_OVRD_IN,Override incoming values for lane_xcvr_mode" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_XCVR_MODE_OVRD_EN,Enable override value for lane_xcvr_mode" "0,1" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE_OVRD_VAL,Override value for lane_xcvr_mode" "0,1,2,3" rgroup.word 0xC45C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_LANE_XCVR_MODE_IN,Lane transceiver mode status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 0.--1. "LANE_XCVR_MODE,Lane transceiver mode Determines whether this lane is being used as Tx Rx or Tx/Rx 00 - Reserved 01 - Lane is used for Tx only 10 - Lane is used for Rx only 11 - Lane is used for Tx/Rx" "Reserved,Lane is used for Tx only,?,?" group.word 0xC460++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_ATE_OVRD_IN,ATE Override input to control top-level inputs" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline bitfld.word 0x0 11. "RX_ADAPT_DFE_EN_OVRD_EN,Enable override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 10. "RX_ADAPT_DFE_EN_OVRD_VAL,Override value for rx_adapt_dfe_en input" "0,1" newline bitfld.word 0x0 9. "RX_ADAPT_AFE_EN_OVRD_EN,Enable override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 8. "RX_ADAPT_AFE_EN_OVRD_VAL,Override value for rx_adapt_afe_en input" "0,1" newline bitfld.word 0x0 7. "TX_REQ_ATE_OVRD_EN,Enable override value for tx_req input" "0,1" newline bitfld.word 0x0 6. "TX_REQ_ATE_OVRD_VAL,Override value for top-level tx_req input" "0,1" newline bitfld.word 0x0 5. "RX_REQ_ATE_OVRD_EN,Enable override value for rx_req input" "0,1" newline bitfld.word 0x0 4. "RX_REQ_ATE_OVRD_VAL,Override value for top-level rx_req input" "0,1" newline bitfld.word 0x0 3. "TX_RESET_ATE_OVRD_EN,Enable override value for tx_reset input" "0,1" newline bitfld.word 0x0 2. "TX_RESET_ATE_OVRD_VAL,Override value for top-level tx_reset input" "0,1" newline bitfld.word 0x0 1. "RX_RESET_ATE_OVRD_EN,Enable override value for rx_reset input" "0,1" newline bitfld.word 0x0 0. "RX_RESET_ATE_OVRD_VAL,Override value for top-level rx_reset input" "0,1" group.word 0xC464++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_EQ_DELTA_IQ_OVRD_IN,Override incoming values for rx_eq_delta_iq" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline bitfld.word 0x0 4. "RX_EQ_DELTA_IQ_OVRD_EN,Enable override value for rx_eq_delta_iq" "0,1" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_DELTA_IQ_OVRD_VAL,Override value for rx_eq_delta_iq" group.word 0xC468++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TXRX_TERM_CTRL_OVRD_IN,Override incoming values for tx/rx_term_ctrl" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "TX_TERM_CTRL_OVRD_EN,Enable override value for tx_term_ctrl" "0,1" newline bitfld.word 0x0 4.--6. "TX_TERM_CTRL_OVRD_VAL,Override value for tx_term_ctrl" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 3. "RX_TERM_CTRL_OVRD_EN,Enable override value for rx_term_ctrl" "0,1" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL_OVRD_VAL,Override value for rx_term_ctrl" "0,1,2,3,4,5,6,7" rgroup.word 0xC46C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_TXRX_TERM_CTRL_IN,tx/rx_term_ctrl status" newline hexmask.word 0x0 6.--15. 1. "RESERVED_15_6,Reserved for Future use" newline bitfld.word 0x0 3.--5. "TX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 0.--2. "RX_TERM_CTRL,tx_term_ctrl value" "0,1,2,3,4,5,6,7" group.word 0xC470++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_OUT_1,Override values for outgoing RX controls to PCS. register #1" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_CLK_EN,Enable the outging rx_clk" "0,1" group.word 0xC474++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_EQ_OVRD_IN_1,Override values for incoming RX EQ controls from PCS. register #1" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline bitfld.word 0x0 7. "RX_EQ_OVRD_EN,Enable override values for all RX EQ settings" "0,1" newline bitfld.word 0x0 4.--6. "RX_EQ_ATT_LVL_OVRD_VAL,Override value for rx_eq_att_lvl[2:0]" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 0.--3. 1. "RX_EQ_AFE_GAIN_OVRD_VAL,Override value for rx_eq_afe_gain[3:0]" group.word 0xC478++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_EQ_OVRD_IN_2,Override values for incoming RX EQ controls from PCS. register #2" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word.byte 0x0 8.--12. 1. "RX_EQ_CTLE_BOOST_OVRD_VAL,Override value for rx_eq_ctle_boost[4:0]" newline hexmask.word.byte 0x0 0.--7. 1. "RX_EQ_DFE_TAP1_OVRD_VAL,Override value for rx_eq_dfe_tap1[7:0]" group.word 0xC47C++0x1 line.word 0x0 "RAWLANE1_DIG_PCS_XF_RX_OVRD_OUT_2,Override value for RX VALID/DATA_EN/DATA_EN_ATE signal from PCS" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RX_RATE_ATE_OVRD_EN,Override enable for rx_rate_ate" "0,1" newline bitfld.word 0x0 8.--9. "RX_RATE_ATE_OVRD_VAL,Override value for rx_rate_ate" "0,1,2,3" newline bitfld.word 0x0 7. "RX_CDR_TRACK_EN_ATE_OVRD_EN,Override enable for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 6. "RX_CDR_TRACK_EN_ATE_OVRD_VAL,Override value for rx_cdr_track_en_ate" "0,1" newline bitfld.word 0x0 5. "RX_DATA_EN_ATE_OVRD_EN,Override enable for rx_data_en_ate" "0,1" newline bitfld.word 0x0 4. "RX_DATA_EN_ATE_OVRD_VAL,Override value for rx_data_en_ate" "0,1" newline bitfld.word 0x0 3. "RX_DATA_EN_OVRD_EN,Override enable for rx_data_en" "0,1" newline bitfld.word 0x0 2. "RX_DATA_EN_OVRD_VAL,Override value for rx_data_en" "0,1" newline bitfld.word 0x0 1. "RX_VALID_OVRD_EN,Override enable for rx_valid" "0,1" newline bitfld.word 0x0 0. "RX_VALID_OVRD_VAL,Override value for rx_valid" "0,1" group.word 0xC480++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FSM_OVRD_CTL,FSM override control register" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FSM_OVRD_EN,Enable overriding the FSM execution of commands Must be asserted to use FSM_CMD_START and FSM_JMP_EN features" "0,1" newline bitfld.word 0x0 13. "FSM_CMD_START,Start executing the new command This is a self-clearing bit" "0,1" newline bitfld.word 0x0 12. "FSM_JMP_EN,Force the FSM to jump to FSM_JMP_ADDR in the program memory Is applied when FSM_CMD_START is pulsed." "0,1" newline hexmask.word 0x0 0.--11. 1. "FSM_JMP_ADDR,The jump address used when FSM_JUMP_EN=1 The address is encoded as follows: [11:8] mem_lane [7:5] bank [4:0] register" rgroup.word 0xC484++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_MEM_ADDR_MON,Memory Address Monitor" newline hexmask.word 0x0 0.--15. 1. "MEM_ADDR,Current value of memory address used in Lane FSM" rgroup.word 0xC488++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_STATUS_MON,FSM Status Monitor" newline hexmask.word.byte 0x0 11.--15. 1. "RESERVED_15_11,Reserved for Future use" newline bitfld.word 0x0 10. "RDMSK_DISABLED,Check if read mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 9. "WRMSK_DISABLED,Check if write mask is currently disabled (i.e. mask is all ones)" "0,1" newline bitfld.word 0x0 8. "WAIT_CNT_EQ0,Check if wait counter currently equals zero" "0,1" newline bitfld.word 0x0 7. "ALU_RES_EQ0,Check if ALU result register currently equals zero" "0,1" newline bitfld.word 0x0 6. "ALU_OVFLW,Current value of ALU overflow bit" "0,1" newline bitfld.word 0x0 5. "CMD_RDY,New command is ready for execution (applicable when FSM_OVRD_EN=1)" "0,1" newline hexmask.word.byte 0x0 0.--4. 1. "STATE,Current state of Lane FSM" rgroup.word 0xC48C++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_STARTUP_CAL,Status of Fast RX Start Up Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Status of fast RX start-up calibration" "0,1" rgroup.word 0xC490++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_ADAPT,Status of Fast RX Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ADAPT,Status of fast RX adaptation" "0,1" rgroup.word 0xC494++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_AFE_CAL,Status of Fast RX AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_CAL,Status of fast RX AFE DAC start-up calibration" "0,1" rgroup.word 0xC498++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_DFE_CAL,Status of Fast RX DFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_CAL,Status of fast RX DFE slicer start-up calibration" "0,1" rgroup.word 0xC49C++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" rgroup.word 0xC4A0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_REFLVL_CAL,Status of Fast RX Reference Level Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_REFLVL_CAL,Status of fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" rgroup.word 0xC4A4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_IQ_CAL,Status of Fast RX IQ Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_CAL,Status of fast RX IQ start-up calibration" "0,1" rgroup.word 0xC4A8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_AFE_ADAPT,Status of Fast RX AFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_AFE_ADAPT,Status of fast RX AFE DAC start-up adaptation" "0,1" rgroup.word 0xC4AC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_DFE_ADAPT,Status of Fast RX DFE Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_DFE_ADAPT,Status of fast RX DFE DAC start-up adaptation" "0,1" rgroup.word 0xC4B0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_SUP,Status of Fast Support block" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_SUP,Status of fast Support block (MPLL and Rtune)" "0,1" rgroup.word 0xC4B4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_TX_CMN_MODE,Status of Fast TX Common-mode Charge-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_CMN_MODE,Status of fast TX Common-mode Charge-up" "0,1" rgroup.word 0xC4B8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_TX_RXDET,Status of Fast TX detect RX" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_TX_RXDET,Status of fast TX detect RX" "0,1" rgroup.word 0xC4BC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_PWRUP,Status of Fast RX Power-up" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_PWRUP,Status of fast RX Power-up (LOS VREG/AFE and DCC)" "0,1" rgroup.word 0xC4C0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_VCO_WAIT,Status of Fast RX VCO Wait Times" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_WAIT,Status of fast RX VCO wait times" "0,1" rgroup.word 0xC4C4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_VCO_CAL,Status of Fast RX VCO Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_VCO_CAL,Status of fast RX VCO Calibration" "0,1" rgroup.word 0xC4C8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_CMNCAL_MPLL_STATUS,Status of MPLL common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC4CC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_CAL_ADAPT,Status of Fast RX Continuous Calibration/Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Status of fast RX continuous calibration/adaptation" "0,1" rgroup.word 0xC4D0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_ADAPT,Status of Fast RX Continuous Adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_ADAPT,Status of fast RX continuous adaptation" "0,1" rgroup.word 0xC4D4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_DATA_CAL,Status of Fast RX Continuous Data Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_DATA_CAL,Status of fast RX continuous data calibration" "0,1" rgroup.word 0xC4D8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_PHASE_CAL,Status of Fast RX Continuous Phase Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_PHASE_CAL,Status of fast RX continuous phase calibration" "0,1" rgroup.word 0xC4DC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CONT_AFE_CAL,Status of Fast RX Continuous AFE Calibration" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CONT_AFE_CAL,Status of fast RX continuous AFE calibration" "0,1" rgroup.word 0xC4E0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_IQ_ADAPT,Status of Fast RX IQ_ADAPT" "0,1" rgroup.word 0xC4E4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_RX_IQ_DELTA_ADD,Status of RX Delta addition" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_IQ_DELTA_ADD,Reserved" "0,1" rgroup.word 0xC4E8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" rgroup.word 0xC4EC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" group.word 0xC4F0++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_CR_REG_OP_XTND_EN,CR interface timing extension enable" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "CR_REG_OP_XTND_EN,CR interface timing extension enable 1'b0 - No Timing extension 1'b1 - Timing extension" "No Timing extension,Timing extension" group.word 0xC4F4++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_TX_EQ_UPDATE_FLAG,TX Eq update flag" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "TX_EQ_UPDATE_FLAG,Tx Eq update flag 1'b0 - Update tx eq post 1'b1 - Update tx eq pre" "Update tx eq post,Update tx eq pre" rgroup.word 0xC4F8++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_CMNCAL_RCAL_STATUS,Status of RTUNE common calibration initiation" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by any lane or not." "0,1" newline bitfld.word 0x0 0. "CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by any lane or not. ---------------------------------------------------------------------" "0,1" rgroup.word 0xC4FC++0x1 line.word 0x0 "RAWLANE1_DIG_FSM_RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_IQ_PHASE_OFFSET,Offset value for IQ Phase Calculation" group.word 0xC500++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_ATT_IDAC_OFST,Offset value for RX AFE ATT iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_IDAC_OFST,Offset value for AFE ATT iDAC" group.word 0xC504++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_CTLE_IDAC_OFST,Offset value for RX AFE CTLE iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_IDAC_OFST,Offset value for AFE CTLE iDAC" group.word 0xC508++0x1 line.word 0x0 "RAWLANE1_DIG_AON_ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ADAPT_REF_LVL_DAC_CODE,Reference level for RX AFE Adaptation" group.word 0xC50C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "RX_ADAPT_FOM,Adaptation Figure of Merit (FOM)" group.word 0xC510++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_CTLE_LBK_IDAC_OFST,Offset values for RX CTLE Loopback path iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_CTLE_LBK_IDAC_OFST,Offset value for RX CTLE Loopback path iDAC" group.word 0xC514++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_PHASE_EVEN_VDAC_OFST,Offset values for RX DFE Phase Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_EVEN_VDAC_OFST,Offset value for DFE Phase Even vDAC" group.word 0xC518++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_PHASE_ODD_VDAC_OFST,Offset values for RX DFE Phase Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_PHASE_ODD_VDAC_OFST,Offset value for DFE Phase Odd vDAC" group.word 0xC51C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_EVEN_REF_LVL,DFE Even reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_EVEN_REF_LVL,DFE Even reference level" group.word 0xC520++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_ODD_REF_LVL,DFE Odd reference level" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ODD_REF_LVL,DFE Odd reference level" group.word 0xC524++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN,RX Phase Adjust Linear Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_LIN,Linear value for RX phase adjust" rgroup.word 0xC528++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_MAP,RX Phase Adjust Mapped Value" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 0.--4. 1. "RX_PHSADJ_MAP,Mapped value for RX phase adjust" group.word 0xC52C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset values for RX DFE Data Even High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_EVEN_HIGH_VDAC_OFST,Offset value for DFE Data Even High vDAC" group.word 0xC530++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_EVEN_LOW_VDAC_OFST,Offset values for RX DFE Data Even Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_EVEN_LOW_VDAC_OFST,Offset value for DFE Data Even Low vDAC" group.word 0xC534++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_ODD_HIGH_VDAC_OFST,Offset values for RX DFE Data Odd High vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_DATA_ODD_HIGH_VDAC_OFST,Offset value for DFE Data Odd High vDAC" group.word 0xC538++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_DATA_ODD_LOW_VDAC_OFST,Offset values for RX DFE Data Odd Low vDAC" newline hexmask.word 0x0 0.--15. 1. "DFE_DATA_ODD_LOW_VDAC_OFST,Offset value for DFE Data Odd Low vDAC" group.word 0xC53C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_BYPASS_EVEN_VDAC_OFST,Offset values for RX DFE By-Pass Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_EVEN_VDAC_OFST,Offset value for DFE By-Pass Even vDAC" group.word 0xC540++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_BYPASS_ODD_VDAC_OFST,Offset values for RX DFE By-Pass Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_BYPASS_ODD_VDAC_OFST,Offset value for DFE By-Pass Odd vDAC" group.word 0xC544++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_ERROR_EVEN_VDAC_OFST,Offset values for RX DFE Error Even vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_EVEN_VDAC_OFST,Offset value for DFE Error Even vDAC" group.word 0xC548++0x1 line.word 0x0 "RAWLANE1_DIG_AON_DFE_ERROR_ODD_VDAC_OFST,Offset values for RX DFE Error Odd vDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "DFE_ERROR_ODD_VDAC_OFST,Offset value for DFE Error Odd vDAC" group.word 0xC54C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline hexmask.word.byte 0x0 0.--6. 1. "RX_IQ_PHASE_ADJUST,Value for RX IQ PHASE Adjust" group.word 0xC550++0x1 line.word 0x0 "RAWLANE1_DIG_AON_MPLLA_COARSE_TUNE,MPLLA_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLA_COARSE_TUNE,Stored coarse tune value for MPLLA" group.word 0xC554++0x1 line.word 0x0 "RAWLANE1_DIG_AON_MPLLB_COARSE_TUNE,MPLLB_COARSE_TUNE" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "MPLLB_COARSE_TUNE,Stored coarse tune value for MPLLB" group.word 0xC558++0x1 line.word 0x0 "RAWLANE1_DIG_AON_INIT_PWRUP_DONE,Initial Power-Up Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "INIT_PWRUP_DONE,Indicates whether initial power-up has completed or not." "0,1" group.word 0xC55C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_ATT,RX Adapted value of ATT" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "ATT_ADPT_VAL,Stored RX adapted ATT value" group.word 0xC560++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_VGA,RX Adapted value of VGA" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline hexmask.word 0x0 0.--9. 1. "VGA_ADPT_VAL,Stored RX adapted VGA value" group.word 0xC564++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_CTLE,RX Adapted value of CTLE" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline bitfld.word 0x0 10.--12. "CTLE_POLE_ADPT_VAL,Stored RX adapted CTLE pole value" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--9. 1. "CTLE_BOOST_ADPT_VAL,Stored RX adapted CTLE boost value" group.word 0xC568++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_DFE_TAP1,RX Adapted value of DFE TAP1" newline rbitfld.word 0x0 13.--15. "RESERVED_15_13,Reserved for Future use" "0,1,2,3,4,5,6,7" newline hexmask.word 0x0 0.--12. 1. "DFE_TAP1_ADPT_VAL,Stored RX adapted DFE TAP1 value" group.word 0xC56C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADAPT_DONE,RX Adaptation Done Status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DONE,Indicates whether RX adaptation has completed or not." "0,1" group.word 0xC570++0x1 line.word 0x0 "RAWLANE1_DIG_AON_FAST_FLAGS,Fast flags for simulation only" newline rbitfld.word 0x0 15. "RESERVED_15_15,Reserved for Future use" "0,1" newline bitfld.word 0x0 14. "FAST_RX_VCO_CAL,Enable fast RX VCO Calibration" "0,1" newline bitfld.word 0x0 13. "FAST_RX_VCO_WAIT,Enable fast RX VCO wait times" "0,1" newline bitfld.word 0x0 12. "FAST_RX_PWRUP,Enable fast RX power-up (LOS VREG/AFE and DCC)" "0,1" newline bitfld.word 0x0 11. "FAST_TX_RXDET,Enable fast TX Detect RX" "0,1" newline bitfld.word 0x0 10. "FAST_TX_CMN_MODE,Enable fast TX Common Mode Charge-up" "0,1" newline bitfld.word 0x0 9. "FAST_SUP,Enable fast Support block (MPLL and Rtune)" "0,1" newline bitfld.word 0x0 8. "FAST_RX_DFE_ADAPT,Enables fast RX DFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_AFE_ADAPT,Enables fast RX AFE DAC start-up adaptation" "0,1" newline bitfld.word 0x0 6. "FAST_RX_IQ_CAL,Enables fast RX IQ start-up calibration" "0,1" newline bitfld.word 0x0 5. "FAST_RX_REFLVL_CAL,Enables fast RX reference level (100mv 125mv 150mv) start-up calibration" "0,1" newline bitfld.word 0x0 4. "FAST_RX_DFE_RE_ADAPT,Enables fast RX DFE re -adaptation" "0,1" newline bitfld.word 0x0 3. "FAST_RX_DFE_CAL,Enables fast RX DFE slicer start-up calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_AFE_CAL,Enables fast RX AFE DAC start-up calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_ADAPT,Enables fast RX adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_STARTUP_CAL,Enables fast RX start-up calibration" "0,1" group.word 0xC574++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_ADPT_DFE_TAP2,RX Adapted value of DFE TAP2" newline hexmask.word.byte 0x0 12.--15. 1. "RESERVED_15_12,Reserved for Future use" newline hexmask.word 0x0 0.--11. 1. "DFE_TAP2_ADPT_VAL,Stored RX adapted DFE TAP2 value" group.word 0xC578++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN_RIGHT,RX last stable iq phase of Right of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_RIGHT,Stored RX iq phase right edge" group.word 0xC57C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN_LEFT,RX last stable iq phase of Left of the eye" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_LEFT,Stored RX iq phase left edge" group.word 0xC580++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_PHSADJ_LIN_ADAPT,RX Adapted value of PHASE IQ" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED,Reserved bits" newline hexmask.word.byte 0x0 0.--7. 1. "RX_PHSADJ_LIN_ADAPT,Stored RX adapted IQ value" group.word 0xC584++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_SLICER_CTRL_EVEN,Sets values for RX SLICER CTRL EVEN signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_E,Value for rx_ana_slicer_ctrl_e[3:0]" group.word 0xC588++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RX_SLICER_CTRL_ODD,Sets values for RX SLICER CTRL ODD signals going to ANA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline hexmask.word.byte 0x0 0.--3. 1. "RX_ANA_SLICER_CTRL_O,Value for rx_ana_slicer_ctrl_o[3:0]" group.word 0xC58C++0x1 line.word 0x0 "RAWLANE1_DIG_AON_LANE_CMNCAL_MPLL_STATUS,MPLL Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_MPLL_DONE,Indicates whether MPLL common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_MPLL_INIT,Indicates whether MPLL common calibration has been started by this lane or not." "0,1" repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C ) group.word ($2+0xC590)++0x1 line.word 0x0 "RAWLANE1_DIG_AON_ADPT_CTL_$1,Adaptation Control register #0" newline hexmask.word 0x0 0.--15. 1. "VAL,Value of adaptation control" repeat.end group.word 0xC5B0++0x1 line.word 0x0 "RAWLANE1_DIG_AON_MPLL_DISABLE,LANE_MPLLA/B_DISABLE override" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_MPLLB_DISABLE,Disable MPLLB" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_DISABLE,Disable MPLLA" "0,1" group.word 0xC5B4++0x1 line.word 0x0 "RAWLANE1_DIG_AON_FAST_FLAGS_2,Fast flags for simulation only" newline hexmask.word.byte 0x0 12.--15. 1. "RSVD_FAST_FLAGS,Reserved fast flags" newline bitfld.word 0x0 11. "SKIP_IQ_STEP_SKIP,Skip the IQ step skip option" "0,1" newline bitfld.word 0x0 10. "SKIP_250US_WAIT,Skip bit for USB Gen1 250us wait FW WA" "0,1" newline bitfld.word 0x0 9. "WA_ATT_VCM_ISSUE_MODE,Workaround en or disable STAR 9001169835" "0,1" newline bitfld.word 0x0 8. "FAST_RX_CTLE_ADAPT,Enables fast RX CTLE adaptation" "0,1" newline bitfld.word 0x0 7. "FAST_RX_ATT_ADAPT,Enables fast RX ATT adaptation" "0,1" newline bitfld.word 0x0 6. "RX_IQ_DELTA_ADD,Enables RX IQ DELTA addition" "0,1" newline bitfld.word 0x0 5. "FAST_RX_IQ_ADAPT,Enables fast RX IQ ADAPT" "0,1" newline bitfld.word 0x0 4. "FAST_RX_CONT_AFE_CAL,Enables fast RX continuous AFE calibration" "0,1" newline bitfld.word 0x0 3. "FAST_RX_CONT_PHASE_CAL,Enables fast RX continuous phase calibration" "0,1" newline bitfld.word 0x0 2. "FAST_RX_CONT_DATA_CAL,Enables fast RX continuous data calibration" "0,1" newline bitfld.word 0x0 1. "FAST_RX_CONT_ADAPT,Enables fast RX continuous adaptation" "0,1" newline bitfld.word 0x0 0. "FAST_RX_CONT_CAL_ADAPT,Enables fast RX continuous calibration/adaptation" "0,1" group.word 0xC5B8++0x1 line.word 0x0 "RAWLANE1_DIG_AON_LANE_CMNCAL_RCAL_STATUS,RTUNE Common Calibration Status" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "LANE_CMNCAL_RCAL_DONE,Indicates whether RTUNE common calibration has been completed by this lane or not." "0,1" newline bitfld.word 0x0 0. "LANE_CMNCAL_RCAL_INIT,Indicates whether RTUNE common calibration has been started by this lane or not." "0,1" group.word 0xC5BC++0x1 line.word 0x0 "RAWLANE1_DIG_AON_TXRX_OVRD_IN,Override values for incoming AON TX/RX controls from PCS" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_DISABLE_OVRD_EN,Override enable for tx_disable" "0,1" newline bitfld.word 0x0 2. "TX_DISABLE_OVRD_VAL,Override value for tx_disable" "0,1" newline bitfld.word 0x0 1. "RX_DISABLE_OVRD_EN,Override enable for rx_disable" "0,1" newline bitfld.word 0x0 0. "RX_DISABLE_OVRD_VAL,Override value for rx_disable" "0,1" group.word 0xC5C0++0x1 line.word 0x0 "RAWLANE1_DIG_AON_AFE_ATT_2_IDAC_OFST,Offset value for RX AFE ATT_2 iDAC" newline hexmask.word.byte 0x0 8.--15. 1. "RESERVED_15_8,Reserved for Future use" newline hexmask.word.byte 0x0 0.--7. 1. "AFE_ATT_2_IDAC_OFST,Offset value for AFE ATT_2 iDAC when cc is 1 in ana typec projects" group.word 0xC5C4++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RXTX_CC_OVRD_IN,Override incoming values for rxtx_cc" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RXTX_CC_OVRD_EN,Enable override value for rxtx_cc" "0,1" newline bitfld.word 0x0 0. "RXTX_CC_OVRD_VAL,Override value for rxtx_cc" "0,1" rgroup.word 0xC5C8++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RXTX_CC_STATUS_IN,Incoming value of CC status from TCA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_IN_I,Value from TCA of cc" "0,1" rgroup.word 0xC5CC++0x1 line.word 0x0 "RAWLANE1_DIG_AON_RXTX_CC_STATUS_OUT,Current values for outgoing CC status to PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RXTX_CC_OUT,Value to PMA of cc" "0,1" group.word 0xC600++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RESET_RTN_REQ,Reset routine request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RESET_RTN_REQ,Reset routine request" "0,1" rgroup.word 0xC604++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RESET_IRQ,Rx reset interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET,Rx reset interrupt" "0,1" rgroup.word 0xC608++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_REQ_IRQ,Rx request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ,Rx request interrupt" "0,1" rgroup.word 0xC60C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RATE_IRQ,Rx rate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ,Rx rate change interrupt request" "0,1" rgroup.word 0xC610++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_PSTATE_IRQ,Rx pstate change interrupt request" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ,Rx pstate change interrupt request" "0,1" rgroup.word 0xC614++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ,Rx adaptation request interrupt" "0,1" rgroup.word 0xC618++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ,Rx adaptation disable interrupt" "0,1" group.word 0xC61C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RESET_IRQ_CLR,RX reset interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RESET_IRQ_CLR,RX reset interrupt clear (self-clearing)" "0,1" group.word 0xC620++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_REQ_IRQ_CLR,RX request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_REQ_IRQ_CLR,RX request interrupt clear (self-clearing)" "0,1" group.word 0xC624++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_RATE_IRQ_CLR,RX rate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_RATE_IRQ_CLR,RX rate change interrupt clear (self-clearing)" "0,1" group.word 0xC628++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_PSTATE_IRQ_CLR,RX pstate change interrupt clear (self-clearing)" "0,1" group.word 0xC62C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_REQ_IRQ_CLR,RX adaptation request interrupt clear (self-clearing)" "0,1" group.word 0xC630++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_ADAPT_DIS_IRQ_CLR,RX adaptation disable interrupt clear (self-clearing)" "0,1" group.word 0xC634++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_IRQ_MASK,Interrupt Mask" newline hexmask.word 0x0 7.--15. 1. "RESERVED_15_7,Reserved for Future use" newline bitfld.word 0x0 6. "LANE_XCVR_MODE_IRQ_MSK,Mask for lane_xcvr_mode interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 5. "RX_RESET_IRQ_MSK,Mask for Rx reset interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 4. "RX_ADAPT_DIS_IRQ_MSK,Mask for Rx adaptation disable interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 3. "RX_ADAPT_REQ_IRQ_MSK,Mask for Rx adaptation request interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 2. "RX_PSTATE_IRQ_MSK,Mask for Rx pstate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 1. "RX_RATE_IRQ_MSK,Mask for Rx rate change interrupt (0 = cannot interrupt)" "cannot interrupt),?" newline bitfld.word 0x0 0. "RX_REQ_IRQ_MSK,Mask for Rx request interrupt (0 = cannot interrupt)" "cannot interrupt),?" rgroup.word 0xC638++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ,Lane transceiver mode interrupt" "0,1" group.word 0xC63C++0x1 line.word 0x0 "RAWLANE1_DIG_IRQ_CTL_LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_XCVR_MODE_IRQ_CLR,Lane transceiver mode interrupt clear (self-clearing)" "0,1" group.word 0xC680++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_LANE_OVRD_IN,Override values for incoming LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_IN,Override value for lane_mpllb_en_in" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_IN,Override value for lane_mplla_en_in" "0,1" group.word 0xC684++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_LANE_OVRD_OUT,Override values for outgoing LANE controls" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "LANE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "LANE_MPLLB_EN_OUT,Override value for lane_mpllb_en_out" "0,1" newline bitfld.word 0x0 0. "LANE_MPLLA_EN_OUT,Override value for lane_mplla_en_out" "0,1" group.word 0xC688++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_OVRD_IN,Override values for incoming SUP controls from PMA" newline hexmask.word 0x0 3.--15. 1. "RESERVED_15_3,Reserved for Future use" newline bitfld.word 0x0 2. "SUP_STATE_OVRD_EN,Override enable for signals in this register" "0,1" newline bitfld.word 0x0 1. "MPLLB_STATE,Override value for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Override value for mplla_state" "0,1" rgroup.word 0xC68C++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_PMA_IN,Current values for incoming MPLL status controls from PMA" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "MPLLB_STATE,Value from PMA for mpllb_state" "0,1" newline bitfld.word 0x0 0. "MPLLA_STATE,Value from PMA for mplla_state" "0,1" group.word 0xC690++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_TX_OVRD_OUT,Override values for outgoing TX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "TX_RESET_OVRD_EN,Override enable for tx_reset" "0,1" newline bitfld.word 0x0 2. "TX_RESET_OVRD_VAL,Override value for tx_reset" "0,1" newline bitfld.word 0x0 1. "TX_REQ_OVRD_EN,Override enable for tx_req" "0,1" newline bitfld.word 0x0 0. "TX_REQ_OVRD_VAL,Override value for tx_req" "0,1" rgroup.word 0xC694++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_TX_PMA_IN,Current values for coming TX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for tx_ack" "0,1" group.word 0xC698++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_RX_OVRD_OUT,Override values for outgoing RX controls to PMA" newline hexmask.word 0x0 4.--15. 1. "RESERVED_15_4,Reserved for Future use" newline bitfld.word 0x0 3. "RX_RESET_OVRD_EN,Override enable for rx_reset" "0,1" newline bitfld.word 0x0 2. "RX_RESET_OVRD_VAL,Override value for rx_reset" "0,1" newline bitfld.word 0x0 1. "RX_REQ_OVRD_EN,Override enable for rx_req" "0,1" newline bitfld.word 0x0 0. "RX_REQ_OVRD_VAL,Override value for rx_req" "0,1" rgroup.word 0xC69C++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_RX_PMA_IN,Current values for coming RX status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ACK,Value from PMA for rx_ack" "0,1" group.word 0xC6A0++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_LANE_RTUNE_CTL,Lane Rtune Controls" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "LANE_RTUNE_REQ,Lane value for rtune_req" "0,1" rgroup.word 0xC6A4++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_PMA_IN_1,Current values for incoming RTUNE status controls from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RTUNE_ACK,Value from PMA for rtune_ack" "0,1" rgroup.word 0xC6A8++0x1 line.word 0x0 "RAWLANE1_DIG_PMA_XF_SUP_PMA_RX_VALID,Current value of RX valid from PMA" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "RX_VALID,Value of RX_VALID" "0,1" group.word 0xC700++0x1 line.word 0x0 "RAWLANE1_DIG_TX_CTL_TX_FSM_CTL,TX FSM Control" newline hexmask.word.byte 0x0 10.--15. 1. "RESERVED_15_10,Reserved for Future use" newline bitfld.word 0x0 9. "TX_P0_ALLOW_RXDET,If asserted then rxdet request is allowed in P0" "0,1" newline bitfld.word 0x0 8. "TX_P0S_ALLOW_RXDET,If asserted then rxdet request is allowed in P0S" "0,1" newline bitfld.word 0x0 7. "TX_P1_ALLOW_RXDET,If asserted then rxdet request is allowed in P1" "0,1" newline bitfld.word 0x0 6. "TX_P2_ALLOW_RXDET,If asserted then rxdet request is allowed in P2" "0,1" newline hexmask.word.byte 0x0 0.--5. 1. "TX_WAIT_MPLL_OFF_TIME,Number of ref_range cycles to wait for MPLL to turn off (When entering P2)." group.word 0xC704++0x1 line.word 0x0 "RAWLANE1_DIG_TX_CTL_TX_CLK_CTL,Select clock to act as TX input clock" newline hexmask.word 0x0 5.--15. 1. "RESERVED_15_5,Reserved for Future use" newline hexmask.word.byte 0x0 1.--4. 1. "TX_CLK_SEL,Select clock source for tx_pma_clk 0 - tx_pcs_clk (input clock from pcs) 1 - mplla_word_clk 2 - mplla_dword_clk 3 - mplla_qword_clk 4 - mplla_oword_clk 5 - mplla_div_clk 6 - mpllb_word_clk 7 - mpllb_dword_clk 8 - mpllb_qword_clk 9 -.." newline bitfld.word 0x0 0. "TX_CLK_EN,Enable the tx_clk to pma tx lane TX_CLK_EN must be deasserted when switching TX_CLK_SEL" "0,1" group.word 0xC780++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_RX_FSM_CTL,RX FSM control register" newline hexmask.word 0x0 2.--15. 1. "RESERVED_15_2,Reserved for Future use" newline bitfld.word 0x0 1. "RATE_CHG_IN_P1,When asserted then a rate change in P0/P0s will be sequenced such that the RX is put in P1 the rate change is applied and then the RX is returned to P0/P0s." "0,1" newline bitfld.word 0x0 0. "EN_RX_CTL_FSM,Enable the RX control FSM in the Raw PCS If enabled then when FSM detects a rate change it moves the RX to P1 does the rate change then goes back to P0/P0s. If not enabled then FSM is by-passed." "0,1" group.word 0xC784++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_RX_LOS_MASK_CTL,RX LOS Mask Control" newline hexmask.word.byte 0x0 9.--15. 1. "RESERVED_15_9,Reserved for Future use" newline hexmask.word 0x0 0.--8. 1. "RX_LOS_MASK_CNT,Number of cycles (ref_range_clk) to mask out the rx_los output from the time the los is powered-on. Default set for minimum 10us." group.word 0xC788++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_RX_DATA_EN_OVRD_CTL,RX Data Enable Override Control" newline hexmask.word 0x0 5.--15. 1. "INT_REF_TRCK_CNT,Number of ref_range cycles to wait for integral reference tracking to settle." newline hexmask.word.byte 0x0 0.--4. 1. "RX_DATA_EN_OVRD_CNT,Number of ref_range cycles to override rx_data_en to 1." rgroup.word 0xC78C++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_OFFCAN_CONT_STATUS,RX continuous offset cancellation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous offset cancellation" "0,1" rgroup.word 0xC790++0x1 line.word 0x0 "RAWLANE1_DIG_RX_CTL_ADAPT_CONT_STATUS,RX continuous adaptation status" newline hexmask.word 0x0 1.--15. 1. "RESERVED_15_1,Reserved for Future use" newline bitfld.word 0x0 0. "ENABLE,Enable status for rx continous adaptation" "0,1" tree.end tree "PCIE_PHY_NCR" base ad:0x31210000 group.long 0x0++0x3B line.long 0x0 "CTRL_0,CTRL_0" newline bitfld.long 0x0 24. "CR_CKEN,CR_CKEN This bit enable CR clock. 0x0 : CR clock disabled 0x1 : CR clock enabled Software need to enable this bit before any CR register access thourgh CR port." "CR clock disabled,CR clock enabled" newline bitfld.long 0x0 22.--23. "CR_PARA_SEL,Control Register (CR) parallel interface select Function: Controls selection between JTAG and CR interfaces: 0 : JTAG 1: Control Register (CR) This input can only be changed when the cr_para_clk and jtag_tck clock inputs are disabled." "JTAG,Control Register,?,?" newline bitfld.long 0x0 21. "PHY_SRAM_EXT_LD_DONE,SRAM external load done.Function: Signal asserted by user after any updates to the SRAM have been loaded." "0,1" newline bitfld.long 0x0 20. "PHY_SRAM_BYPASS,SRAM bypass Function: Control signal when asserted bypasses the SRAM interface. In this case the adaptation and calibration algorithms are executed from the hard wired values within the Raw PCS. If SRAM is not bypassed the internal.." "0,1" newline bitfld.long 0x0 19. "PG_MODE_EN,Power gating support enable. Function: Control input to enable the power gating support.When de-asserted. the control inputs related to power gating are ignored." "0,1" newline hexmask.long.word 0x0 3.--18. 1. "UPCS_PIPE_CONFIG,PCS PIPE configuration.When upcs_pipe_config[0] is set to 1 the PCS ignores lane-off via PIPE specification method (TxElecIdle = 1 and TxCompliance = 1) and responds to power-down/rate/width changes. Otherwise until the MAC deasserts.." newline bitfld.long 0x0 2. "PCS_EXT_PCLK_REQ,External PCLK request.When asserted the MPLL clock sources in the PHY are powered up and pcs_laneX_pclk outputs stay active regardless of the pcs_laneX_powerdown[3:0] inputs." "0,1" newline bitfld.long 0x0 1. "BIF_EN,BIF_EN 0x0 : Bifurcation disabled (Both Lane #0 and Lane #1 used for PCIEX2 core) 0x1 : Bifurcation enabled (Lane #0 used for PCIEX2 core and Lane #1 used for PCIEX1 core)" "Bifurcation disabled,Bifurcation enabled" newline bitfld.long 0x0 0. "PHY_RESET,PHY reset.Function: Asynchronously resets the core and all state machines.Asserting phy_reset resets all sequential elements in the design including control registers. As a result any register programming needs to be redone after phy_reset is.." "0,1" line.long 0x4 "CTRL_1,CTRL_1" newline bitfld.long 0x4 27. "PIPE_TX0_ONES_ZEROS,USB TX compliance pattern enable for lane 0" "0,1" newline bitfld.long 0x4 26. "PIPE_RX0_TERMINATION,RX termination enable for lane 0.When asserted the RX terminations are enabled." "0,1" newline bitfld.long 0x4 25. "PIPE_RX0_EQ_TRAINING,RX equalization training mode enable for lane 0" "0,1" newline bitfld.long 0x4 24. "PIPE_LANE0_CLKREQ_N,Clock request for lane 0" "0,1" newline bitfld.long 0x4 23. "PIPE_LANE0_TX2RX_LOOPBK,TX-to-RX loopback enable for lane 0.When asserted this input turns on the TX-to-RX serial loopback within the PHY.This signal is for debug purposes only." "0,1" newline hexmask.long.byte 0x4 19.--22. 1. "PIPE_LANE0_LINK_NUM,Reserved for future." newline bitfld.long 0x4 18. "PHY_TEST_TX_REF_CLK_EN,TX ref clock output enable.Function: Enables the reference clock inputs (ref_pad_clk_\{p m\} or ref_alt_clk_\{p m\}) to be directly output on txN_\{p m\}. For additional information see 'PHY Test Mode Selection' ." "0,1" newline bitfld.long 0x4 17. "PHY_TEST_STOP_CLK_EN,Stop-clock test mode enable.Function: Reserved" "0,1" newline bitfld.long 0x4 16. "PHY_MPLLB_SSC_EN,Spread spectrum enable.Function: Enables spread-spectrum clock (SSC) generation on the mpllb_div_clk output. If the reference clock already has spread spectrum applied mpllb_ssc_en must be de-asserted.These inputs can only be changed.." "0,1" newline bitfld.long 0x4 15. "PHY_MPLLB_FORCE_EN,MPLLB force enable.Function: When asserted the corresponding MPLL is forced to bepowered up irrespective of the txX_mpll_en input.This input is used for applications whehe a free-running MPLL clock output is required. There are no.." "0,1" newline bitfld.long 0x4 14. "PHY_MPLLA_SSC_EN,Spread spectrum enable.Function:Enables spread-spectrum clock (SSC) generation on the mplla_div_clk output. If the reference clock already has spread spectrum applied mplla_ssc_en must be de-asserted.These inputs can only be changed.." "0,1" newline bitfld.long 0x4 13. "PHY_MPLLA_FORCE_EN,MPLLA force enable.Function: When asserted the corresponding MPLL is forced to be powered up irrespective of the txX_mpll_en input.This input is used for applications where a free-running MPLL clock output is required. There are no.." "0,1" newline bitfld.long 0x4 12. "PHY_RX1_TERM_ACDC,Receiver termination control.Function: Reserved; tie off to 1'b1" "0,1" newline bitfld.long 0x4 11. "PHY_LANE1_RX2TX_PAR_LB_EN,Parallel (RX to TX) loopback enable.Function: When this signal is asserted recovered parallel data from the receiver is looped back to the transmit serializer." "0,1" newline bitfld.long 0x4 10. "PHY_RX0_TERM_ACDC,Receiver termination control.Function: Reserved; tie off to 1'b1" "0,1" newline bitfld.long 0x4 9. "PHY_LANE0_RX2TX_PAR_LB_EN,Parallel (RX to TX) loopback enable.Function: When this signal is asserted recovered parallel data from the receiver is looped back to the transmit serializer." "0,1" newline bitfld.long 0x4 8. "PHY_REF_ALT_CLK_SEL,PHY_REF_ALT_CLK_SEL 0x0 : PHY ref_alt_clk_p input from internal SoC PLL (100MHz). 0x1 : PHY ref_alt_clk_p input from Differential Buffer (100MHz)" "PHY ref_alt_clk_p input from internal SoC PLL,PHY ref_alt_clk_p input from Differential Buffer" newline bitfld.long 0x4 7. "PHY_LANE_POWER_PRESENT,VBUS power present.Signal from external VBUS detection circuit." "0,1" newline bitfld.long 0x4 6. "PHY_REF_REPEAT_CLK_EN,Repeat reference clock enable.Function: Enables the CMOS output clocks ref_repeat_clk_\{p m\}.This pair of clocks can be used as reference clocks for other on-chip PHYs." "0,1" newline bitfld.long 0x4 5. "PHY_REF_USE_PAD,Select reference clock connected to ref_pad_clk_p/ref_pad_clk_m.Function: Selects the external ref_pad_clk_p and ref_pad_clk_m inputs as the reference clock source when asserted. When deasserted ref_alt_clk_p and ref_alt_clk_m are the.." "0,1" newline bitfld.long 0x4 4. "PHY_TEST_POWERDOWN,All circuits power-down control.Function: Powers down all circuitry in the PHY for IDDQ testing Note:The PHY is not functional in this mode and must be reset after this signal is de-asserted." "0,1" newline bitfld.long 0x4 3. "PHY_TEST_BURNIN,All circuits activator." "0,1" newline bitfld.long 0x4 2. "PHY_RTUNE_REQ,Resistor tune request.Function: Assertion triggers a resistor tune request (if one is not already in progress)." "0,1" newline bitfld.long 0x4 0.--1. "CR_CKDIV,CR_CKDIV Clock divider for CR Bus clock. 0x0 : div 1 from APB bus clock 0x1 : div 2 from APB bus clock ...0x3: div4 from APB bus clock" "div 1 from APB bus clock,div 2 from APB bus clock,?,div4 from APB bus clock" line.long 0x8 "CTRL_2,CTRL_2" newline hexmask.long.byte 0x8 23.--27. 1. "PROTOCOL0_EXT_BS_RX_LEVEL,PROTOCOL0_EXT_BS_RX_LEVEL" newline bitfld.long 0x8 22. "PROTOCOL0_EXT_BS_TX_LOWSWING,PROTOCOL0_EXT_BS_TX_LOWSWING" "0,1" newline bitfld.long 0x8 21. "PROTOCOL0_EXT_BS_RX_BIGSWING,PROTOCOL0_EXT_BS_RX_BIGSWING" "0,1" newline bitfld.long 0x8 20. "PROTOCOL0_EXT_RX_LOS_LFPS_EN,PROTOCOL0_EXT_RX_LOS_LFPS_EN" "0,1" newline bitfld.long 0x8 17.--19. "PROTOCOL0_EXT_REF_RANGE,PROTOCOL0_EXT_REF_RANGE" "0,1,2,3,4,5,6,7" newline bitfld.long 0x8 16. "PROTOCOL0_EXT_REF_CLK_MPLLB_DIV2_EN,PROTOCOL0_EXT_REF_CLK_MPLLB_DIV2_EN" "0,1" newline bitfld.long 0x8 15. "PROTOCOL0_EXT_REF_CLK_MPLLA_DIV2_EN,PROTOCOL0_EXT_REF_CLK_MPLLA_DIV2_EN" "0,1" newline bitfld.long 0x8 14. "PROTOCOL0_EXT_REF_CLK_DIV2_EN,PROTOCOL0_EXT_REF_CLK_DIV2_EN" "0,1" newline bitfld.long 0x8 13. "PHY_EXT_CTRL_SEL,PHY_EXT_CTRL_SEL" "0,1" newline bitfld.long 0x8 11.--12. "PIPE_TX1_PATTERN,PIPE_TX1_PATTERN" "0,1,2,3" newline bitfld.long 0x8 10. "PIPE_TX1_ONES_ZEROS,PIPE_TX1_ONES_ZEROS" "0,1" newline bitfld.long 0x8 9. "PIPE_RX1_TERMINATION,PIPE_RX1_TERMINATION" "0,1" newline bitfld.long 0x8 8. "PIPE_RX1_EQ_TRAINING,PIPE_RX1_EQ_TRAINING" "0,1" newline bitfld.long 0x8 7. "PIPE_LANE1_TX2RX_LOOPBK,PIPE_LANE1_TX2RX_LOOPBK" "0,1" newline hexmask.long.byte 0x8 3.--6. 1. "PIPE_LANE1_LINK_NUM,PIPE_LANE1_LINK_NUM" newline bitfld.long 0x8 2. "PIPE_LANE1_CLKREQ_N,PIPE_LANE1_CLKREQ_N" "0,1" newline bitfld.long 0x8 0.--1. "PIPE_TX0_PATTERN,PIPE_TX0_PATTERN" "0,1,2,3" line.long 0xC "CTRL_3,CTRL_3" newline hexmask.long.byte 0xC 22.--29. 1. "PROTOCOL0_EXT_MPLLA_DIV_MULTIPLIER,PROTOCOL0_EXT_MPLLA_DIV_MULTIPLIER" newline hexmask.long.word 0xC 6.--21. 1. "PROTOCOL0_EXT_MPLLA_BANDWIDTH,PROTOCOL0_EXT_MPLLA_BANDWIDTH" newline bitfld.long 0xC 5. "PROTOCOL0_EXT_MPLLA_WORD_DIV2_EN,PROTOCOL0_EXT_MPLLA_WORD_DIV2_EN" "0,1" newline bitfld.long 0xC 4. "PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_OVRD_EN,PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_OVRD_EN" "0,1" newline bitfld.long 0xC 3. "PROTOCOL0_EXT_MPLLA_DIV_CLK_EN,PROTOCOL0_EXT_MPLLA_DIV_CLK_EN" "0,1" newline bitfld.long 0xC 2. "PROTOCOL0_EXT_MPLLA_DIV8_CLK_EN,PROTOCOL0_EXT_MPLLA_DIV8_CLK_EN" "0,1" newline bitfld.long 0xC 1. "PIPE_RX_RECAL_CONT_EN,PIPE_RX_RECAL_CONT_EN" "0,1" newline bitfld.long 0xC 0. "PROTOCOL0_EXT_MPLLA_DIV10_CLK_EN,PROTOCOL0_EXT_MPLLA_DIV10_CLK_EN" "0,1" line.long 0x10 "CTRL_4,CTRL_4" bitfld.long 0x10 30.--31. "CR_ADDR_BIT15_14,CR_ADDR_BIT15_14" "0,1,2,3" newline bitfld.long 0x10 29. "CR_ADDR_MODE,CR_ADDR_MODE" "0,1" newline bitfld.long 0x10 26.--28. "PROTOCOL0_EXT_MPLLA_SSC_CLK_SEL,PROTOCOL0_EXT_MPLLA_SSC_CLK_SEL" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x10 18.--25. 1. "PROTOCOL0_EXT_MPLLA_MULTIPLIER,PROTOCOL0_EXT_MPLLA_MULTIPLIER" newline hexmask.long.word 0x10 7.--17. 1. "PROTOCOL0_EXT_MPLLA_FRACN_CTRL,PROTOCOL0_EXT_MPLLA_FRACN_CTRL" newline bitfld.long 0x10 6. "PROTOCOL0_EXT_MPLLB_DIV10_CLK_EN,PROTOCOL0_EXT_MPLLB_DIV10_CLK_EN" "0,1" newline bitfld.long 0x10 3.--5. "PROTOCOL0_EXT_MPLLA_TX_CLK_DIV,PROTOCOL0_EXT_MPLLA_TX_CLK_DIV" "0,1,2,3,4,5,6,7" newline bitfld.long 0x10 0.--2. "PROTOCOL0_EXT_MPLLA_SSC_RANGE,PROTOCOL0_EXT_MPLLA_SSC_RANGE" "0,1,2,3,4,5,6,7" line.long 0x14 "CTRL_5,CTRL_5" hexmask.long.byte 0x14 26.--31. 1. "PIPE_RX0_IDLE_LOS_CNT,PIPE_RX0_IDLE_LOS_CNT" newline bitfld.long 0x14 24. "PIPE_RX0_CMN_REFCLK_MODE,PIPE_RX0_CMN_REFCLK_MODE" "0,1" newline bitfld.long 0x14 23. "PROTOCOL0_EXT_MPLLB_WORD_DIV2_EN,PROTOCOL0_EXT_MPLLB_WORD_DIV2_EN" "0,1" newline hexmask.long.word 0x14 11.--22. 1. "PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_INIT,PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_INIT" newline bitfld.long 0x14 10. "PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_OVRD_EN,PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_OVRD_EN" "0,1" newline bitfld.long 0x14 9. "PROTOCOL0_EXT_MPLLB_DIV_CLK_EN,PROTOCOL0_EXT_MPLLB_DIV_CLK_EN" "0,1" newline bitfld.long 0x14 8. "PROTOCOL0_EXT_MPLLB_DIV8_CLK_EN,PROTOCOL0_EXT_MPLLB_DIV8_CLK_EN" "0,1" newline hexmask.long.byte 0x14 0.--7. 1. "PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_PEAK,PROTOCOL0_EXT_MPLLA_SSC_FREQ_CNT_PEAK" line.long 0x18 "CTRL_6,CTRL_6" newline hexmask.long.byte 0x18 18.--25. 1. "PROTOCOL0_EXT_MPLLB_DIV_MULTIPLIER,PROTOCOL0_EXT_MPLLB_DIV_MULTIPLIER" newline bitfld.long 0x18 16.--17. "PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G1,PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G1" "0,1,2,3" newline hexmask.long.word 0x18 0.--15. 1. "PROTOCOL0_EXT_MPLLB_BANDWIDTH,PROTOCOL0_EXT_MPLLB_BANDWIDTH" line.long 0x1C "CTRL_7,CTRL_7" hexmask.long.byte 0x1C 26.--31. 1. "PIPE_RX1_IDLE_LOS_CNT,PIPE_RX1_IDLE_LOS_CNT" newline bitfld.long 0x1C 24. "PIPE_RX1_CMN_REFCLK_MODE,PIPE_RX1_CMN_REFCLK_MODE" "0,1" newline bitfld.long 0x1C 22.--23. "PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G2,PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G2" "0,1,2,3" newline bitfld.long 0x1C 19.--21. "PROTOCOL0_EXT_MPLLB_SSC_CLK_SEL,PROTOCOL0_EXT_MPLLB_SSC_CLK_SEL" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x1C 11.--18. 1. "PROTOCOL0_EXT_MPLLB_MULTIPLIER,PROTOCOL0_EXT_MPLLB_MULTIPLIER" newline hexmask.long.word 0x1C 0.--10. 1. "PROTOCOL0_EXT_MPLLB_FRACN_CTRL,PROTOCOL0_EXT_MPLLB_FRACN_CTRL" line.long 0x20 "CTRL_8,CTRL_8" bitfld.long 0x20 30.--31. "PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G1,PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G1" "0,1,2,3" newline bitfld.long 0x20 26.--27. "PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G3,PROTOCOL0_EXT_RX_ADAPT_AFE_EN_G3" "0,1,2,3" newline bitfld.long 0x20 23.--25. "PROTOCOL0_EXT_MPLLB_TX_CLK_DIV,PROTOCOL0_EXT_MPLLB_TX_CLK_DIV" "0,1,2,3,4,5,6,7" newline bitfld.long 0x20 20.--22. "PROTOCOL0_EXT_MPLLB_SSC_RANGE,PROTOCOL0_EXT_MPLLB_SSC_RANGE" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x20 12.--19. 1. "PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_PEAK,PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_PEAK" newline hexmask.long.word 0x20 0.--11. 1. "PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_INIT,PROTOCOL0_EXT_MPLLB_SSC_FREQ_CNT_INIT" line.long 0x24 "CTRL_9,CTRL_9" newline hexmask.long.byte 0x24 20.--27. 1. "PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G3,PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G3" newline hexmask.long.byte 0x24 12.--19. 1. "PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G2,PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G2" newline hexmask.long.byte 0x24 4.--11. 1. "PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G1,PROTOCOL0_EXT_RX_EQ_AFE_GAIN_G1" newline bitfld.long 0x24 2.--3. "PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G3,PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G3" "0,1,2,3" newline bitfld.long 0x24 0.--1. "PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G2,PROTOCOL0_EXT_RX_ADAPT_DFE_EN_G2" "0,1,2,3" line.long 0x28 "CTRL_10,CTRL_10" newline bitfld.long 0x28 22.--23. "PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G3,PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G3" "0,1,2,3" newline bitfld.long 0x28 20.--21. "PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G2,PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G2" "0,1,2,3" newline bitfld.long 0x28 18.--19. "PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G1,PROTOCOL0_EXT_RX_CDR_VCO_LOWFREQ_G1" "0,1,2,3" newline hexmask.long.byte 0x28 12.--17. 1. "PROTOCOL0_EXT_RX_EQ_ATT_LVL_G3,PROTOCOL0_EXT_RX_EQ_ATT_LVL_G3" newline hexmask.long.byte 0x28 6.--11. 1. "PROTOCOL0_EXT_RX_EQ_ATT_LVL_G2,PROTOCOL0_EXT_RX_EQ_ATT_LVL_G2" newline hexmask.long.byte 0x28 0.--5. 1. "PROTOCOL0_EXT_RX_EQ_ATT_LVL_G1,PROTOCOL0_EXT_RX_EQ_ATT_LVL_G1" line.long 0x2C "CTRL_11,CTRL_11" newline hexmask.long.word 0x2C 20.--29. 1. "PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G3,PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G3" newline hexmask.long.word 0x2C 10.--19. 1. "PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G2,PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G2" newline hexmask.long.word 0x2C 0.--9. 1. "PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G1,PROTOCOL0_EXT_RX_EQ_CTLE_BOOST_G1" line.long 0x30 "CTRL_12,CTRL_12" newline hexmask.long.byte 0x30 8.--15. 1. "PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G2,PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G2" newline hexmask.long.byte 0x30 0.--7. 1. "PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G1,PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G1" line.long 0x34 "CTRL_13,CTRL_13" newline hexmask.long.word 0x34 8.--23. 1. "PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G1,PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G1" newline hexmask.long.byte 0x34 0.--7. 1. "PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G3,PROTOCOL0_EXT_RX_EQ_DELTA_IQ_G3" line.long 0x38 "CTRL_14,CTRL_14" hexmask.long.word 0x38 16.--31. 1. "PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G3,PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G3" newline hexmask.long.word 0x38 0.--15. 1. "PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G2,PROTOCOL0_EXT_RX_EQ_DFE_TAP1_G2" repeat 6. (list 0xF 0x17 0x18 0x19 0x1A 0x1B )(list 0x0 0x20 0x24 0x28 0x2C 0x30 ) group.long ($2+0x3C)++0x3 line.long 0x0 "CTRL_$1,CTRL_15" hexmask.long 0x0 0.--31. 1. "RSVD0,RSVD0" repeat.end group.long 0x40++0x1B line.long 0x0 "CTRL_16,CTRL_16" newline hexmask.long.byte 0x0 18.--23. 1. "PROTOCOL0_EXT_RX_REF_LD_VAL_G3,PROTOCOL0_EXT_RX_REF_LD_VAL_G3" newline hexmask.long.byte 0x0 12.--17. 1. "PROTOCOL0_EXT_RX_REF_LD_VAL_G2,PROTOCOL0_EXT_RX_REF_LD_VAL_G2" newline hexmask.long.byte 0x0 6.--11. 1. "PROTOCOL0_EXT_RX_REF_LD_VAL_G1,PROTOCOL0_EXT_RX_REF_LD_VAL_G1" newline hexmask.long.byte 0x0 0.--5. 1. "PROTOCOL0_EXT_RX_LOS_THRESHOLD,PROTOCOL0_EXT_RX_LOS_THRESHOLD" line.long 0x4 "CTRL_17,CTRL_17" newline hexmask.long.word 0x4 16.--28. 1. "PROTOCOL0_EXT_RX_VCO_LD_VAL_G2,PROTOCOL0_EXT_RX_VCO_LD_VAL_G2" newline hexmask.long.word 0x4 3.--15. 1. "PROTOCOL0_EXT_RX_VCO_LD_VAL_G1,PROTOCOL0_EXT_RX_VCO_LD_VAL_G1" newline bitfld.long 0x4 0.--2. "PROTOCOL0_EXT_RX_TERM_CTRL,PROTOCOL0_EXT_RX_TERM_CTRL" "0,1,2,3,4,5,6,7" line.long 0x8 "CTRL_18,CTRL_18" newline hexmask.long.byte 0x8 13.--17. 1. "PROTOCOL0_EXT_RX_VREF_CTRL,PROTOCOL0_EXT_RX_VREF_CTRL" newline hexmask.long.word 0x8 0.--12. 1. "PROTOCOL0_EXT_RX_VCO_LD_VAL_G3,PROTOCOL0_EXT_RX_VCO_LD_VAL_G3" line.long 0xC "CTRL_19,CTRL_19" newline hexmask.long.word 0xC 16.--25. 1. "PROTOCOL0_EXT_TX_EQ_MAIN_G2,PROTOCOL0_EXT_TX_EQ_MAIN_G2" newline hexmask.long.word 0xC 6.--15. 1. "PROTOCOL0_EXT_TX_EQ_MAIN_G1,PROTOCOL0_EXT_TX_EQ_MAIN_G1" newline bitfld.long 0xC 4.--5. "PROTOCOL0_EXT_TX_EQ_OVRD_G3,PROTOCOL0_EXT_TX_EQ_OVRD_G3" "0,1,2,3" newline bitfld.long 0xC 2.--3. "PROTOCOL0_EXT_TX_EQ_OVRD_G2,PROTOCOL0_EXT_TX_EQ_OVRD_G2" "0,1,2,3" newline bitfld.long 0xC 0.--1. "PROTOCOL0_EXT_TX_EQ_OVRD_G1,PROTOCOL0_EXT_TX_EQ_OVRD_G1" "0,1,2,3" line.long 0x10 "CTRL_20,CTRL_20" newline hexmask.long.byte 0x10 18.--25. 1. "PROTOCOL0_EXT_TX_EQ_POST_G2,PROTOCOL0_EXT_TX_EQ_POST_G2" newline hexmask.long.byte 0x10 10.--17. 1. "PROTOCOL0_EXT_TX_EQ_POST_G1,PROTOCOL0_EXT_TX_EQ_POST_G1" newline hexmask.long.word 0x10 0.--9. 1. "PROTOCOL0_EXT_TX_EQ_MAIN_G3,PROTOCOL0_EXT_TX_EQ_MAIN_G3" line.long 0x14 "CTRL_21,CTRL_21" hexmask.long.byte 0x14 24.--31. 1. "PROTOCOL0_EXT_TX_EQ_PRE_G3,PROTOCOL0_EXT_TX_EQ_PRE_G3" newline hexmask.long.byte 0x14 16.--23. 1. "PROTOCOL0_EXT_TX_EQ_PRE_G2,PROTOCOL0_EXT_TX_EQ_PRE_G2" newline hexmask.long.byte 0x14 8.--15. 1. "PROTOCOL0_EXT_TX_EQ_PRE_G1,PROTOCOL0_EXT_TX_EQ_PRE_G1" newline hexmask.long.byte 0x14 0.--7. 1. "PROTOCOL0_EXT_TX_EQ_POST_G3,PROTOCOL0_EXT_TX_EQ_POST_G3" line.long 0x18 "CTRL_22,CTRL_22" hexmask.long.word 0x18 16.--31. 1. "DEBUG_CTL_79_64,DEBUG_CTL_79_64" newline bitfld.long 0x18 11.--13. "PROTOCOL0_EXT_TX_VBOOST_LVL,PROTOCOL0_EXT_TX_VBOOST_LVL" "0,1,2,3,4,5,6,7" newline bitfld.long 0x18 8.--10. "PROTOCOL0_EXT_TX_TERM_CTRL,PROTOCOL0_EXT_TX_TERM_CTRL" "0,1,2,3,4,5,6,7" newline hexmask.long.byte 0x18 0.--7. 1. "PROTOCOL0_EXT_TX_IBOOST_LVL,PROTOCOL0_EXT_TX_IBOOST_LVL" rgroup.long 0x80++0x7 line.long 0x0 "STS_0,STS_0" bitfld.long 0x0 31. "PIPE_RX1_ALIGN_DETECT,RX ALIGN symbol detected for lane 1;indicates receiver detection of an Align." "0,1" newline hexmask.long.word 0x0 22.--30. 1. "PIPE_RX1_EBUFF_LOCATION,Entries in elastic buffer for lane 1.Encodes the number of entries currently in the elastic buffer." newline bitfld.long 0x0 21. "PIPE_LANE1_POWER_PRESENT,Reserved." "0,1" newline bitfld.long 0x0 19.--20. "PIPE_LANE1_DATABUSWIDTH,Bus width configuration for lane 1.This field reports the width of the data bus configured for the PHY." "0,1,2,3" newline hexmask.long.word 0x0 10.--18. 1. "PIPE_RX0_EBUFF_LOCATION,Similar to PIPE_RX1_EBUFF_LOCATION." newline bitfld.long 0x0 9. "PIPE_RX0_ALIGN_DETECT,Similar to PIPE_RX1_ALIGN_DETECT." "0,1" newline bitfld.long 0x0 8. "PIPE_LANE1_CLKACK_N,Clock acknowledge for lane 1" "0,1" newline bitfld.long 0x0 7. "PIPE_LANE0_CLKACK_N,Similar to PIPE_LANE1_CLKACK_N" "0,1" newline bitfld.long 0x0 6. "PIPE_LANE0_POWER_PRESENT,Similar to PIPE_LANE1_POWER_PRESENT" "0,1" newline bitfld.long 0x0 4.--5. "PIPE_LANE0_DATABUSWIDTH,Similar to PIPE_LANE1_DATABUSWIDTH" "0,1,2,3" newline bitfld.long 0x0 3. "PHY_ANA_PWR_STABLE,Internal PMA switch stable.Function: Stable status signal from the PMA power switch." "0,1" newline bitfld.long 0x0 2. "PHY_RTUNE_ACK,Resistor tune acknowledge.Function: Indicates that a resistor tune has completed" "0,1" newline bitfld.long 0x0 1. "PHY_MPLLB_STATE,MPLLB state indicator.Function: Indicates the state of mpllb. This signal is asserted when MPLLB is powered up and phase-locked." "0,1" newline bitfld.long 0x0 0. "PHY_MPLLA_STATE,MPLLA state indicator.Function: Indicates the state of mplla. This signal is asserted when MPLLA is powered up and phase-locked." "0,1" line.long 0x4 "STS_1,STS_1" hexmask.long 0x4 4.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x4 3. "UPCS_PWR_EN,Power enable for PCS power switch(es).Enable signal for external switch(es) to supply power to the power-gated logic in the PCS" "0,1" newline bitfld.long 0x4 2. "PHY_PCS_PWR_EN,Power enable for Raw PCS power switches.Function: Enable signal for external switches to supply power to the power gated logic in Raw PCS." "0,1" newline bitfld.long 0x4 1. "PHY_PMA_PWR_EN,Power enable for PMA power switch Function: Enable signal for PMA power switch (external) to supply power to the PMA." "0,1" newline bitfld.long 0x4 0. "PHY_SRAM_INIT_DONE,SRAM Initialization done Function: Signal indicating that the SRAM has been initialized by the boot loader in the Raw PCS.This signal will not assert if sram_bypass is asserted." "0,1" repeat 6. (list 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x4 0x8 0xC 0x10 0x14 ) rgroup.long ($2+0x88)++0x3 line.long 0x0 "STS_$1,STS_2" hexmask.long 0x0 0.--31. 1. "RSVD0,RSVD0" repeat.end tree.end endif tree.end tree "PINCTRL (I/O Pins Controller)" sif (CORENAME()=="CORTEXR5F") tree "PINCTRL_AP" base ad:0xF8500000 group.long 0x0++0x1AF line.long 0x0 "IO_PAD_CONFIG_GPIO_C0,PAD GPIO_C0 config register" bitfld.long 0x0 16. "POE,GPIO_C0 PAD Parametric output enable" "0,1" bitfld.long 0x0 12. "IS,GPIO_C0 PAD Input select" "0,1" bitfld.long 0x0 8. "SR,GPIO_C0 PAD Slew rate" "0,1" newline bitfld.long 0x0 4.--5. "DS,GPIO_C0 PAD Driver select" "0,1,2,3" bitfld.long 0x0 1. "PS,GPIO_C0 PAD Pull select" "0,1" bitfld.long 0x0 0. "PE,GPIO_C0 PAD Pull enable" "0,1" line.long 0x4 "IO_PAD_CONFIG_GPIO_C1,PAD GPIO_C1 config register" bitfld.long 0x4 16. "POE,GPIO_C1 PAD Parametric output enable" "0,1" bitfld.long 0x4 12. "IS,GPIO_C1 PAD Input select" "0,1" bitfld.long 0x4 8. "SR,GPIO_C1 PAD Slew rate" "0,1" newline bitfld.long 0x4 4.--5. "DS,GPIO_C1 PAD Driver select" "0,1,2,3" bitfld.long 0x4 1. "PS,GPIO_C1 PAD Pull select" "0,1" bitfld.long 0x4 0. "PE,GPIO_C1 PAD Pull enable" "0,1" line.long 0x8 "IO_PAD_CONFIG_GPIO_C2,PAD GPIO_C2 config register" bitfld.long 0x8 16. "POE,GPIO_C2 PAD Parametric output enable" "0,1" bitfld.long 0x8 12. "IS,GPIO_C2 PAD Input select" "0,1" bitfld.long 0x8 8. "SR,GPIO_C2 PAD Slew rate" "0,1" newline bitfld.long 0x8 4.--5. "DS,GPIO_C2 PAD Driver select" "0,1,2,3" bitfld.long 0x8 1. "PS,GPIO_C2 PAD Pull select" "0,1" bitfld.long 0x8 0. "PE,GPIO_C2 PAD Pull enable" "0,1" line.long 0xC "IO_PAD_CONFIG_GPIO_C3,PAD GPIO_C3 config register" bitfld.long 0xC 16. "POE,GPIO_C3 PAD Parametric output enable" "0,1" bitfld.long 0xC 12. "IS,GPIO_C3 PAD Input select" "0,1" bitfld.long 0xC 8. "SR,GPIO_C3 PAD Slew rate" "0,1" newline bitfld.long 0xC 4.--5. "DS,GPIO_C3 PAD Driver select" "0,1,2,3" bitfld.long 0xC 1. "PS,GPIO_C3 PAD Pull select" "0,1" bitfld.long 0xC 0. "PE,GPIO_C3 PAD Pull enable" "0,1" line.long 0x10 "IO_PAD_CONFIG_GPIO_C4,PAD GPIO_C4 config register" bitfld.long 0x10 16. "POE,GPIO_C4 PAD Parametric output enable" "0,1" bitfld.long 0x10 12. "IS,GPIO_C4 PAD Input select" "0,1" bitfld.long 0x10 8. "SR,GPIO_C4 PAD Slew rate" "0,1" newline bitfld.long 0x10 4.--5. "DS,GPIO_C4 PAD Driver select" "0,1,2,3" bitfld.long 0x10 1. "PS,GPIO_C4 PAD Pull select" "0,1" bitfld.long 0x10 0. "PE,GPIO_C4 PAD Pull enable" "0,1" line.long 0x14 "IO_PAD_CONFIG_GPIO_C5,PAD GPIO_C5 config register" bitfld.long 0x14 16. "POE,GPIO_C5 PAD Parametric output enable" "0,1" bitfld.long 0x14 12. "IS,GPIO_C5 PAD Input select" "0,1" bitfld.long 0x14 8. "SR,GPIO_C5 PAD Slew rate" "0,1" newline bitfld.long 0x14 4.--5. "DS,GPIO_C5 PAD Driver select" "0,1,2,3" bitfld.long 0x14 1. "PS,GPIO_C5 PAD Pull select" "0,1" bitfld.long 0x14 0. "PE,GPIO_C5 PAD Pull enable" "0,1" line.long 0x18 "IO_PAD_CONFIG_GPIO_C6,PAD GPIO_C6 config register" bitfld.long 0x18 16. "POE,GPIO_C6 PAD Parametric output enable" "0,1" bitfld.long 0x18 12. "IS,GPIO_C6 PAD Input select" "0,1" bitfld.long 0x18 8. "SR,GPIO_C6 PAD Slew rate" "0,1" newline bitfld.long 0x18 4.--5. "DS,GPIO_C6 PAD Driver select" "0,1,2,3" bitfld.long 0x18 1. "PS,GPIO_C6 PAD Pull select" "0,1" bitfld.long 0x18 0. "PE,GPIO_C6 PAD Pull enable" "0,1" line.long 0x1C "IO_PAD_CONFIG_GPIO_C7,PAD GPIO_C7 config register" bitfld.long 0x1C 16. "POE,GPIO_C7 PAD Parametric output enable" "0,1" bitfld.long 0x1C 12. "IS,GPIO_C7 PAD Input select" "0,1" bitfld.long 0x1C 8. "SR,GPIO_C7 PAD Slew rate" "0,1" newline bitfld.long 0x1C 4.--5. "DS,GPIO_C7 PAD Driver select" "0,1,2,3" bitfld.long 0x1C 1. "PS,GPIO_C7 PAD Pull select" "0,1" bitfld.long 0x1C 0. "PE,GPIO_C7 PAD Pull enable" "0,1" line.long 0x20 "IO_PAD_CONFIG_GPIO_C8,PAD GPIO_C8 config register" bitfld.long 0x20 16. "POE,GPIO_C8 PAD Parametric output enable" "0,1" bitfld.long 0x20 12. "IS,GPIO_C8 PAD Input select" "0,1" bitfld.long 0x20 8. "SR,GPIO_C8 PAD Slew rate" "0,1" newline bitfld.long 0x20 4.--5. "DS,GPIO_C8 PAD Driver select" "0,1,2,3" bitfld.long 0x20 1. "PS,GPIO_C8 PAD Pull select" "0,1" bitfld.long 0x20 0. "PE,GPIO_C8 PAD Pull enable" "0,1" line.long 0x24 "IO_PAD_CONFIG_GPIO_C9,PAD GPIO_C9 config register" bitfld.long 0x24 16. "POE,GPIO_C9 PAD Parametric output enable" "0,1" bitfld.long 0x24 12. "IS,GPIO_C9 PAD Input select" "0,1" bitfld.long 0x24 8. "SR,GPIO_C9 PAD Slew rate" "0,1" newline bitfld.long 0x24 4.--5. "DS,GPIO_C9 PAD Driver select" "0,1,2,3" bitfld.long 0x24 1. "PS,GPIO_C9 PAD Pull select" "0,1" bitfld.long 0x24 0. "PE,GPIO_C9 PAD Pull enable" "0,1" line.long 0x28 "IO_PAD_CONFIG_GPIO_C10,PAD GPIO_C10 config register" bitfld.long 0x28 16. "POE,GPIO_C10 PAD Parametric output enable" "0,1" bitfld.long 0x28 12. "IS,GPIO_C10 PAD Input select" "0,1" bitfld.long 0x28 8. "SR,GPIO_C10 PAD Slew rate" "0,1" newline bitfld.long 0x28 4.--5. "DS,GPIO_C10 PAD Driver select" "0,1,2,3" bitfld.long 0x28 1. "PS,GPIO_C10 PAD Pull select" "0,1" bitfld.long 0x28 0. "PE,GPIO_C10 PAD Pull enable" "0,1" line.long 0x2C "IO_PAD_CONFIG_GPIO_C11,PAD GPIO_C11 config register" bitfld.long 0x2C 16. "POE,GPIO_C11 PAD Parametric output enable" "0,1" bitfld.long 0x2C 12. "IS,GPIO_C11 PAD Input select" "0,1" bitfld.long 0x2C 8. "SR,GPIO_C11 PAD Slew rate" "0,1" newline bitfld.long 0x2C 4.--5. "DS,GPIO_C11 PAD Driver select" "0,1,2,3" bitfld.long 0x2C 1. "PS,GPIO_C11 PAD Pull select" "0,1" bitfld.long 0x2C 0. "PE,GPIO_C11 PAD Pull enable" "0,1" line.long 0x30 "IO_PAD_CONFIG_GPIO_C12,PAD GPIO_C12 config register" bitfld.long 0x30 16. "POE,GPIO_C12 PAD Parametric output enable" "0,1" bitfld.long 0x30 12. "IS,GPIO_C12 PAD Input select" "0,1" bitfld.long 0x30 8. "SR,GPIO_C12 PAD Slew rate" "0,1" newline bitfld.long 0x30 4.--5. "DS,GPIO_C12 PAD Driver select" "0,1,2,3" bitfld.long 0x30 1. "PS,GPIO_C12 PAD Pull select" "0,1" bitfld.long 0x30 0. "PE,GPIO_C12 PAD Pull enable" "0,1" line.long 0x34 "IO_PAD_CONFIG_GPIO_C13,PAD GPIO_C13 config register" bitfld.long 0x34 16. "POE,GPIO_C13 PAD Parametric output enable" "0,1" bitfld.long 0x34 12. "IS,GPIO_C13 PAD Input select" "0,1" bitfld.long 0x34 8. "SR,GPIO_C13 PAD Slew rate" "0,1" newline bitfld.long 0x34 4.--5. "DS,GPIO_C13 PAD Driver select" "0,1,2,3" bitfld.long 0x34 1. "PS,GPIO_C13 PAD Pull select" "0,1" bitfld.long 0x34 0. "PE,GPIO_C13 PAD Pull enable" "0,1" line.long 0x38 "IO_PAD_CONFIG_GPIO_C14,PAD GPIO_C14 config register" bitfld.long 0x38 16. "POE,GPIO_C14 PAD Parametric output enable" "0,1" bitfld.long 0x38 12. "IS,GPIO_C14 PAD Input select" "0,1" bitfld.long 0x38 8. "SR,GPIO_C14 PAD Slew rate" "0,1" newline bitfld.long 0x38 4.--5. "DS,GPIO_C14 PAD Driver select" "0,1,2,3" bitfld.long 0x38 1. "PS,GPIO_C14 PAD Pull select" "0,1" bitfld.long 0x38 0. "PE,GPIO_C14 PAD Pull enable" "0,1" line.long 0x3C "IO_PAD_CONFIG_GPIO_C15,PAD GPIO_C15 config register" bitfld.long 0x3C 16. "POE,GPIO_C15 PAD Parametric output enable" "0,1" bitfld.long 0x3C 12. "IS,GPIO_C15 PAD Input select" "0,1" bitfld.long 0x3C 8. "SR,GPIO_C15 PAD Slew rate" "0,1" newline bitfld.long 0x3C 4.--5. "DS,GPIO_C15 PAD Driver select" "0,1,2,3" bitfld.long 0x3C 1. "PS,GPIO_C15 PAD Pull select" "0,1" bitfld.long 0x3C 0. "PE,GPIO_C15 PAD Pull enable" "0,1" line.long 0x40 "IO_PAD_CONFIG_GPIO_D0,PAD GPIO_D0 config register" bitfld.long 0x40 16. "POE,GPIO_D0 PAD Parametric output enable" "0,1" bitfld.long 0x40 12. "IS,GPIO_D0 PAD Input select" "0,1" bitfld.long 0x40 8. "SR,GPIO_D0 PAD Slew rate" "0,1" newline bitfld.long 0x40 4.--5. "DS,GPIO_D0 PAD Driver select" "0,1,2,3" bitfld.long 0x40 1. "PS,GPIO_D0 PAD Pull select" "0,1" bitfld.long 0x40 0. "PE,GPIO_D0 PAD Pull enable" "0,1" line.long 0x44 "IO_PAD_CONFIG_GPIO_D1,PAD GPIO_D1 config register" bitfld.long 0x44 16. "POE,GPIO_D1 PAD Parametric output enable" "0,1" bitfld.long 0x44 12. "IS,GPIO_D1 PAD Input select" "0,1" bitfld.long 0x44 8. "SR,GPIO_D1 PAD Slew rate" "0,1" newline bitfld.long 0x44 4.--5. "DS,GPIO_D1 PAD Driver select" "0,1,2,3" bitfld.long 0x44 1. "PS,GPIO_D1 PAD Pull select" "0,1" bitfld.long 0x44 0. "PE,GPIO_D1 PAD Pull enable" "0,1" line.long 0x48 "IO_PAD_CONFIG_GPIO_D2,PAD GPIO_D2 config register" bitfld.long 0x48 16. "POE,GPIO_D2 PAD Parametric output enable" "0,1" bitfld.long 0x48 12. "IS,GPIO_D2 PAD Input select" "0,1" bitfld.long 0x48 8. "SR,GPIO_D2 PAD Slew rate" "0,1" newline bitfld.long 0x48 4.--5. "DS,GPIO_D2 PAD Driver select" "0,1,2,3" bitfld.long 0x48 1. "PS,GPIO_D2 PAD Pull select" "0,1" bitfld.long 0x48 0. "PE,GPIO_D2 PAD Pull enable" "0,1" line.long 0x4C "IO_PAD_CONFIG_GPIO_D3,PAD GPIO_D3 config register" bitfld.long 0x4C 16. "POE,GPIO_D3 PAD Parametric output enable" "0,1" bitfld.long 0x4C 12. "IS,GPIO_D3 PAD Input select" "0,1" bitfld.long 0x4C 8. "SR,GPIO_D3 PAD Slew rate" "0,1" newline bitfld.long 0x4C 4.--5. "DS,GPIO_D3 PAD Driver select" "0,1,2,3" bitfld.long 0x4C 1. "PS,GPIO_D3 PAD Pull select" "0,1" bitfld.long 0x4C 0. "PE,GPIO_D3 PAD Pull enable" "0,1" line.long 0x50 "IO_PAD_CONFIG_GPIO_D4,PAD GPIO_D4 config register" bitfld.long 0x50 16. "POE,GPIO_D4 PAD Parametric output enable" "0,1" bitfld.long 0x50 12. "IS,GPIO_D4 PAD Input select" "0,1" bitfld.long 0x50 8. "SR,GPIO_D4 PAD Slew rate" "0,1" newline bitfld.long 0x50 4.--5. "DS,GPIO_D4 PAD Driver select" "0,1,2,3" bitfld.long 0x50 1. "PS,GPIO_D4 PAD Pull select" "0,1" bitfld.long 0x50 0. "PE,GPIO_D4 PAD Pull enable" "0,1" line.long 0x54 "IO_PAD_CONFIG_GPIO_D5,PAD GPIO_D5 config register" bitfld.long 0x54 16. "POE,GPIO_D5 PAD Parametric output enable" "0,1" bitfld.long 0x54 12. "IS,GPIO_D5 PAD Input select" "0,1" bitfld.long 0x54 8. "SR,GPIO_D5 PAD Slew rate" "0,1" newline bitfld.long 0x54 4.--5. "DS,GPIO_D5 PAD Driver select" "0,1,2,3" bitfld.long 0x54 1. "PS,GPIO_D5 PAD Pull select" "0,1" bitfld.long 0x54 0. "PE,GPIO_D5 PAD Pull enable" "0,1" line.long 0x58 "IO_PAD_CONFIG_GPIO_D6,PAD GPIO_D6 config register" bitfld.long 0x58 16. "POE,GPIO_D6 PAD Parametric output enable" "0,1" bitfld.long 0x58 12. "IS,GPIO_D6 PAD Input select" "0,1" bitfld.long 0x58 8. "SR,GPIO_D6 PAD Slew rate" "0,1" newline bitfld.long 0x58 4.--5. "DS,GPIO_D6 PAD Driver select" "0,1,2,3" bitfld.long 0x58 1. "PS,GPIO_D6 PAD Pull select" "0,1" bitfld.long 0x58 0. "PE,GPIO_D6 PAD Pull enable" "0,1" line.long 0x5C "IO_PAD_CONFIG_GPIO_D7,PAD GPIO_D7 config register" bitfld.long 0x5C 16. "POE,GPIO_D7 PAD Parametric output enable" "0,1" bitfld.long 0x5C 12. "IS,GPIO_D7 PAD Input select" "0,1" bitfld.long 0x5C 8. "SR,GPIO_D7 PAD Slew rate" "0,1" newline bitfld.long 0x5C 4.--5. "DS,GPIO_D7 PAD Driver select" "0,1,2,3" bitfld.long 0x5C 1. "PS,GPIO_D7 PAD Pull select" "0,1" bitfld.long 0x5C 0. "PE,GPIO_D7 PAD Pull enable" "0,1" line.long 0x60 "IO_PAD_CONFIG_GPIO_D8,PAD GPIO_D8 config register" bitfld.long 0x60 16. "POE,GPIO_D8 PAD Parametric output enable" "0,1" bitfld.long 0x60 12. "IS,GPIO_D8 PAD Input select" "0,1" bitfld.long 0x60 8. "SR,GPIO_D8 PAD Slew rate" "0,1" newline bitfld.long 0x60 4.--5. "DS,GPIO_D8 PAD Driver select" "0,1,2,3" bitfld.long 0x60 1. "PS,GPIO_D8 PAD Pull select" "0,1" bitfld.long 0x60 0. "PE,GPIO_D8 PAD Pull enable" "0,1" line.long 0x64 "IO_PAD_CONFIG_GPIO_D9,PAD GPIO_D9 config register" bitfld.long 0x64 16. "POE,GPIO_D9 PAD Parametric output enable" "0,1" bitfld.long 0x64 12. "IS,GPIO_D9 PAD Input select" "0,1" bitfld.long 0x64 8. "SR,GPIO_D9 PAD Slew rate" "0,1" newline bitfld.long 0x64 4.--5. "DS,GPIO_D9 PAD Driver select" "0,1,2,3" bitfld.long 0x64 1. "PS,GPIO_D9 PAD Pull select" "0,1" bitfld.long 0x64 0. "PE,GPIO_D9 PAD Pull enable" "0,1" line.long 0x68 "IO_PAD_CONFIG_GPIO_D10,PAD GPIO_D10 config register" bitfld.long 0x68 16. "POE,GPIO_D10 PAD Parametric output enable" "0,1" bitfld.long 0x68 12. "IS,GPIO_D10 PAD Input select" "0,1" bitfld.long 0x68 8. "SR,GPIO_D10 PAD Slew rate" "0,1" newline bitfld.long 0x68 4.--5. "DS,GPIO_D10 PAD Driver select" "0,1,2,3" bitfld.long 0x68 1. "PS,GPIO_D10 PAD Pull select" "0,1" bitfld.long 0x68 0. "PE,GPIO_D10 PAD Pull enable" "0,1" line.long 0x6C "IO_PAD_CONFIG_GPIO_D11,PAD GPIO_D11 config register" bitfld.long 0x6C 16. "POE,GPIO_D11 PAD Parametric output enable" "0,1" bitfld.long 0x6C 12. "IS,GPIO_D11 PAD Input select" "0,1" bitfld.long 0x6C 8. "SR,GPIO_D11 PAD Slew rate" "0,1" newline bitfld.long 0x6C 4.--5. "DS,GPIO_D11 PAD Driver select" "0,1,2,3" bitfld.long 0x6C 1. "PS,GPIO_D11 PAD Pull select" "0,1" bitfld.long 0x6C 0. "PE,GPIO_D11 PAD Pull enable" "0,1" line.long 0x70 "IO_PAD_CONFIG_GPIO_D12,PAD GPIO_D12 config register" bitfld.long 0x70 16. "POE,GPIO_D12 PAD Parametric output enable" "0,1" bitfld.long 0x70 12. "IS,GPIO_D12 PAD Input select" "0,1" bitfld.long 0x70 8. "SR,GPIO_D12 PAD Slew rate" "0,1" newline bitfld.long 0x70 4.--5. "DS,GPIO_D12 PAD Driver select" "0,1,2,3" bitfld.long 0x70 1. "PS,GPIO_D12 PAD Pull select" "0,1" bitfld.long 0x70 0. "PE,GPIO_D12 PAD Pull enable" "0,1" line.long 0x74 "IO_PAD_CONFIG_GPIO_D13,PAD GPIO_D13 config register" bitfld.long 0x74 16. "POE,GPIO_D13 PAD Parametric output enable" "0,1" bitfld.long 0x74 12. "IS,GPIO_D13 PAD Input select" "0,1" bitfld.long 0x74 8. "SR,GPIO_D13 PAD Slew rate" "0,1" newline bitfld.long 0x74 4.--5. "DS,GPIO_D13 PAD Driver select" "0,1,2,3" bitfld.long 0x74 1. "PS,GPIO_D13 PAD Pull select" "0,1" bitfld.long 0x74 0. "PE,GPIO_D13 PAD Pull enable" "0,1" line.long 0x78 "IO_PAD_CONFIG_GPIO_D14,PAD GPIO_D14 config register" bitfld.long 0x78 16. "POE,GPIO_D14 PAD Parametric output enable" "0,1" bitfld.long 0x78 12. "IS,GPIO_D14 PAD Input select" "0,1" bitfld.long 0x78 8. "SR,GPIO_D14 PAD Slew rate" "0,1" newline bitfld.long 0x78 4.--5. "DS,GPIO_D14 PAD Driver select" "0,1,2,3" bitfld.long 0x78 1. "PS,GPIO_D14 PAD Pull select" "0,1" bitfld.long 0x78 0. "PE,GPIO_D14 PAD Pull enable" "0,1" line.long 0x7C "IO_PAD_CONFIG_GPIO_D15,PAD GPIO_D15 config register" bitfld.long 0x7C 16. "POE,GPIO_D15 PAD Parametric output enable" "0,1" bitfld.long 0x7C 12. "IS,GPIO_D15 PAD Input select" "0,1" bitfld.long 0x7C 8. "SR,GPIO_D15 PAD Slew rate" "0,1" newline bitfld.long 0x7C 4.--5. "DS,GPIO_D15 PAD Driver select" "0,1,2,3" bitfld.long 0x7C 1. "PS,GPIO_D15 PAD Pull select" "0,1" bitfld.long 0x7C 0. "PE,GPIO_D15 PAD Pull enable" "0,1" line.long 0x80 "IO_PAD_CONFIG_OSPI2_SCLK,PAD OSPI2_SCLK config register" bitfld.long 0x80 16. "POE,OSPI2_SCLK PAD Parametric output enable" "0,1" bitfld.long 0x80 12. "IS,OSPI2_SCLK PAD Input select" "0,1" bitfld.long 0x80 8. "SR,OSPI2_SCLK PAD Slew rate" "0,1" newline bitfld.long 0x80 4.--5. "DS,OSPI2_SCLK PAD Driver select" "0,1,2,3" bitfld.long 0x80 1. "PS,OSPI2_SCLK PAD Pull select" "0,1" bitfld.long 0x80 0. "PE,OSPI2_SCLK PAD Pull enable" "0,1" line.long 0x84 "IO_PAD_CONFIG_OSPI2_SS0,PAD OSPI2_SS0 config register" bitfld.long 0x84 16. "POE,OSPI2_SS0 PAD Parametric output enable" "0,1" bitfld.long 0x84 12. "IS,OSPI2_SS0 PAD Input select" "0,1" bitfld.long 0x84 8. "SR,OSPI2_SS0 PAD Slew rate" "0,1" newline bitfld.long 0x84 4.--5. "DS,OSPI2_SS0 PAD Driver select" "0,1,2,3" bitfld.long 0x84 1. "PS,OSPI2_SS0 PAD Pull select" "0,1" bitfld.long 0x84 0. "PE,OSPI2_SS0 PAD Pull enable" "0,1" line.long 0x88 "IO_PAD_CONFIG_OSPI2_DATA0,PAD OSPI2_DATA0 config register" bitfld.long 0x88 16. "POE,OSPI2_DATA0 PAD Parametric output enable" "0,1" bitfld.long 0x88 12. "IS,OSPI2_DATA0 PAD Input select" "0,1" bitfld.long 0x88 8. "SR,OSPI2_DATA0 PAD Slew rate" "0,1" newline bitfld.long 0x88 4.--5. "DS,OSPI2_DATA0 PAD Driver select" "0,1,2,3" bitfld.long 0x88 1. "PS,OSPI2_DATA0 PAD Pull select" "0,1" bitfld.long 0x88 0. "PE,OSPI2_DATA0 PAD Pull enable" "0,1" line.long 0x8C "IO_PAD_CONFIG_OSPI2_DATA1,PAD OSPI2_DATA1 config register" bitfld.long 0x8C 16. "POE,OSPI2_DATA1 PAD Parametric output enable" "0,1" bitfld.long 0x8C 12. "IS,OSPI2_DATA1 PAD Input select" "0,1" bitfld.long 0x8C 8. "SR,OSPI2_DATA1 PAD Slew rate" "0,1" newline bitfld.long 0x8C 4.--5. "DS,OSPI2_DATA1 PAD Driver select" "0,1,2,3" bitfld.long 0x8C 1. "PS,OSPI2_DATA1 PAD Pull select" "0,1" bitfld.long 0x8C 0. "PE,OSPI2_DATA1 PAD Pull enable" "0,1" line.long 0x90 "IO_PAD_CONFIG_OSPI2_DATA2,PAD OSPI2_DATA2 config register" bitfld.long 0x90 16. "POE,OSPI2_DATA2 PAD Parametric output enable" "0,1" bitfld.long 0x90 12. "IS,OSPI2_DATA2 PAD Input select" "0,1" bitfld.long 0x90 8. "SR,OSPI2_DATA2 PAD Slew rate" "0,1" newline bitfld.long 0x90 4.--5. "DS,OSPI2_DATA2 PAD Driver select" "0,1,2,3" bitfld.long 0x90 1. "PS,OSPI2_DATA2 PAD Pull select" "0,1" bitfld.long 0x90 0. "PE,OSPI2_DATA2 PAD Pull enable" "0,1" line.long 0x94 "IO_PAD_CONFIG_OSPI2_DATA3,PAD OSPI2_DATA3 config register" bitfld.long 0x94 16. "POE,OSPI2_DATA3 PAD Parametric output enable" "0,1" bitfld.long 0x94 12. "IS,OSPI2_DATA3 PAD Input select" "0,1" bitfld.long 0x94 8. "SR,OSPI2_DATA3 PAD Slew rate" "0,1" newline bitfld.long 0x94 4.--5. "DS,OSPI2_DATA3 PAD Driver select" "0,1,2,3" bitfld.long 0x94 1. "PS,OSPI2_DATA3 PAD Pull select" "0,1" bitfld.long 0x94 0. "PE,OSPI2_DATA3 PAD Pull enable" "0,1" line.long 0x98 "IO_PAD_CONFIG_OSPI2_DATA4,PAD OSPI2_DATA4 config register" bitfld.long 0x98 16. "POE,OSPI2_DATA4 PAD Parametric output enable" "0,1" bitfld.long 0x98 12. "IS,OSPI2_DATA4 PAD Input select" "0,1" bitfld.long 0x98 8. "SR,OSPI2_DATA4 PAD Slew rate" "0,1" newline bitfld.long 0x98 4.--5. "DS,OSPI2_DATA4 PAD Driver select" "0,1,2,3" bitfld.long 0x98 1. "PS,OSPI2_DATA4 PAD Pull select" "0,1" bitfld.long 0x98 0. "PE,OSPI2_DATA4 PAD Pull enable" "0,1" line.long 0x9C "IO_PAD_CONFIG_OSPI2_DATA5,PAD OSPI2_DATA5 config register" bitfld.long 0x9C 16. "POE,OSPI2_DATA5 PAD Parametric output enable" "0,1" bitfld.long 0x9C 12. "IS,OSPI2_DATA5 PAD Input select" "0,1" bitfld.long 0x9C 8. "SR,OSPI2_DATA5 PAD Slew rate" "0,1" newline bitfld.long 0x9C 4.--5. "DS,OSPI2_DATA5 PAD Driver select" "0,1,2,3" bitfld.long 0x9C 1. "PS,OSPI2_DATA5 PAD Pull select" "0,1" bitfld.long 0x9C 0. "PE,OSPI2_DATA5 PAD Pull enable" "0,1" line.long 0xA0 "IO_PAD_CONFIG_OSPI2_DATA6,PAD OSPI2_DATA6 config register" bitfld.long 0xA0 16. "POE,OSPI2_DATA6 PAD Parametric output enable" "0,1" bitfld.long 0xA0 12. "IS,OSPI2_DATA6 PAD Input select" "0,1" bitfld.long 0xA0 8. "SR,OSPI2_DATA6 PAD Slew rate" "0,1" newline bitfld.long 0xA0 4.--5. "DS,OSPI2_DATA6 PAD Driver select" "0,1,2,3" bitfld.long 0xA0 1. "PS,OSPI2_DATA6 PAD Pull select" "0,1" bitfld.long 0xA0 0. "PE,OSPI2_DATA6 PAD Pull enable" "0,1" line.long 0xA4 "IO_PAD_CONFIG_OSPI2_DATA7,PAD OSPI2_DATA7 config register" bitfld.long 0xA4 16. "POE,OSPI2_DATA7 PAD Parametric output enable" "0,1" bitfld.long 0xA4 12. "IS,OSPI2_DATA7 PAD Input select" "0,1" bitfld.long 0xA4 8. "SR,OSPI2_DATA7 PAD Slew rate" "0,1" newline bitfld.long 0xA4 4.--5. "DS,OSPI2_DATA7 PAD Driver select" "0,1,2,3" bitfld.long 0xA4 1. "PS,OSPI2_DATA7 PAD Pull select" "0,1" bitfld.long 0xA4 0. "PE,OSPI2_DATA7 PAD Pull enable" "0,1" line.long 0xA8 "IO_PAD_CONFIG_OSPI2_DQS,PAD OSPI2_DQS config register" bitfld.long 0xA8 16. "POE,OSPI2_DQS PAD Parametric output enable" "0,1" bitfld.long 0xA8 12. "IS,OSPI2_DQS PAD Input select" "0,1" bitfld.long 0xA8 8. "SR,OSPI2_DQS PAD Slew rate" "0,1" newline bitfld.long 0xA8 4.--5. "DS,OSPI2_DQS PAD Driver select" "0,1,2,3" bitfld.long 0xA8 1. "PS,OSPI2_DQS PAD Pull select" "0,1" bitfld.long 0xA8 0. "PE,OSPI2_DQS PAD Pull enable" "0,1" line.long 0xAC "IO_PAD_CONFIG_OSPI2_SS1,PAD OSPI2_SS1 config register" bitfld.long 0xAC 16. "POE,OSPI2_SS1 PAD Parametric output enable" "0,1" bitfld.long 0xAC 12. "IS,OSPI2_SS1 PAD Input select" "0,1" bitfld.long 0xAC 8. "SR,OSPI2_SS1 PAD Slew rate" "0,1" newline bitfld.long 0xAC 4.--5. "DS,OSPI2_SS1 PAD Driver select" "0,1,2,3" bitfld.long 0xAC 1. "PS,OSPI2_SS1 PAD Pull select" "0,1" bitfld.long 0xAC 0. "PE,OSPI2_SS1 PAD Pull enable" "0,1" line.long 0xB0 "IO_PAD_CONFIG_RGMII2_TXC,PAD RGMII2_TXC config register" bitfld.long 0xB0 16. "POE,RGMII2_TXC PAD Parametric output enable" "0,1" bitfld.long 0xB0 12. "IS,RGMII2_TXC PAD Input select" "0,1" bitfld.long 0xB0 8. "SR,RGMII2_TXC PAD Slew rate" "0,1" newline bitfld.long 0xB0 4.--5. "DS,RGMII2_TXC PAD Driver select" "0,1,2,3" bitfld.long 0xB0 1. "PS,RGMII2_TXC PAD Pull select" "0,1" bitfld.long 0xB0 0. "PE,RGMII2_TXC PAD Pull enable" "0,1" line.long 0xB4 "IO_PAD_CONFIG_RGMII2_TXD0,PAD RGMII2_TXD0 config register" bitfld.long 0xB4 16. "POE,RGMII2_TXD0 PAD Parametric output enable" "0,1" bitfld.long 0xB4 12. "IS,RGMII2_TXD0 PAD Input select" "0,1" bitfld.long 0xB4 8. "SR,RGMII2_TXD0 PAD Slew rate" "0,1" newline bitfld.long 0xB4 4.--5. "DS,RGMII2_TXD0 PAD Driver select" "0,1,2,3" bitfld.long 0xB4 1. "PS,RGMII2_TXD0 PAD Pull select" "0,1" bitfld.long 0xB4 0. "PE,RGMII2_TXD0 PAD Pull enable" "0,1" line.long 0xB8 "IO_PAD_CONFIG_RGMII2_TXD1,PAD RGMII2_TXD1 config register" bitfld.long 0xB8 16. "POE,RGMII2_TXD1 PAD Parametric output enable" "0,1" bitfld.long 0xB8 12. "IS,RGMII2_TXD1 PAD Input select" "0,1" bitfld.long 0xB8 8. "SR,RGMII2_TXD1 PAD Slew rate" "0,1" newline bitfld.long 0xB8 4.--5. "DS,RGMII2_TXD1 PAD Driver select" "0,1,2,3" bitfld.long 0xB8 1. "PS,RGMII2_TXD1 PAD Pull select" "0,1" bitfld.long 0xB8 0. "PE,RGMII2_TXD1 PAD Pull enable" "0,1" line.long 0xBC "IO_PAD_CONFIG_RGMII2_TXD2,PAD RGMII2_TXD2 config register" bitfld.long 0xBC 16. "POE,RGMII2_TXD2 PAD Parametric output enable" "0,1" bitfld.long 0xBC 12. "IS,RGMII2_TXD2 PAD Input select" "0,1" bitfld.long 0xBC 8. "SR,RGMII2_TXD2 PAD Slew rate" "0,1" newline bitfld.long 0xBC 4.--5. "DS,RGMII2_TXD2 PAD Driver select" "0,1,2,3" bitfld.long 0xBC 1. "PS,RGMII2_TXD2 PAD Pull select" "0,1" bitfld.long 0xBC 0. "PE,RGMII2_TXD2 PAD Pull enable" "0,1" line.long 0xC0 "IO_PAD_CONFIG_RGMII2_TXD3,PAD RGMII2_TXD3 config register" bitfld.long 0xC0 16. "POE,RGMII2_TXD3 PAD Parametric output enable" "0,1" bitfld.long 0xC0 12. "IS,RGMII2_TXD3 PAD Input select" "0,1" bitfld.long 0xC0 8. "SR,RGMII2_TXD3 PAD Slew rate" "0,1" newline bitfld.long 0xC0 4.--5. "DS,RGMII2_TXD3 PAD Driver select" "0,1,2,3" bitfld.long 0xC0 1. "PS,RGMII2_TXD3 PAD Pull select" "0,1" bitfld.long 0xC0 0. "PE,RGMII2_TXD3 PAD Pull enable" "0,1" line.long 0xC4 "IO_PAD_CONFIG_RGMII2_TX_CTL,PAD RGMII2_TX_CTL config register" bitfld.long 0xC4 16. "POE,RGMII2_TX_CTL PAD Parametric output enable" "0,1" bitfld.long 0xC4 12. "IS,RGMII2_TX_CTL PAD Input select" "0,1" bitfld.long 0xC4 8. "SR,RGMII2_TX_CTL PAD Slew rate" "0,1" newline bitfld.long 0xC4 4.--5. "DS,RGMII2_TX_CTL PAD Driver select" "0,1,2,3" bitfld.long 0xC4 1. "PS,RGMII2_TX_CTL PAD Pull select" "0,1" bitfld.long 0xC4 0. "PE,RGMII2_TX_CTL PAD Pull enable" "0,1" line.long 0xC8 "IO_PAD_CONFIG_RGMII2_RXC,PAD RGMII2_RXC config register" bitfld.long 0xC8 16. "POE,RGMII2_RXC PAD Parametric output enable" "0,1" bitfld.long 0xC8 12. "IS,RGMII2_RXC PAD Input select" "0,1" bitfld.long 0xC8 8. "SR,RGMII2_RXC PAD Slew rate" "0,1" newline bitfld.long 0xC8 4.--5. "DS,RGMII2_RXC PAD Driver select" "0,1,2,3" bitfld.long 0xC8 1. "PS,RGMII2_RXC PAD Pull select" "0,1" bitfld.long 0xC8 0. "PE,RGMII2_RXC PAD Pull enable" "0,1" line.long 0xCC "IO_PAD_CONFIG_RGMII2_RXD0,PAD RGMII2_RXD0 config register" bitfld.long 0xCC 16. "POE,RGMII2_RXD0 PAD Parametric output enable" "0,1" bitfld.long 0xCC 12. "IS,RGMII2_RXD0 PAD Input select" "0,1" bitfld.long 0xCC 8. "SR,RGMII2_RXD0 PAD Slew rate" "0,1" newline bitfld.long 0xCC 4.--5. "DS,RGMII2_RXD0 PAD Driver select" "0,1,2,3" bitfld.long 0xCC 1. "PS,RGMII2_RXD0 PAD Pull select" "0,1" bitfld.long 0xCC 0. "PE,RGMII2_RXD0 PAD Pull enable" "0,1" line.long 0xD0 "IO_PAD_CONFIG_RGMII2_RXD1,PAD RGMII2_RXD1 config register" bitfld.long 0xD0 16. "POE,RGMII2_RXD1 PAD Parametric output enable" "0,1" bitfld.long 0xD0 12. "IS,RGMII2_RXD1 PAD Input select" "0,1" bitfld.long 0xD0 8. "SR,RGMII2_RXD1 PAD Slew rate" "0,1" newline bitfld.long 0xD0 4.--5. "DS,RGMII2_RXD1 PAD Driver select" "0,1,2,3" bitfld.long 0xD0 1. "PS,RGMII2_RXD1 PAD Pull select" "0,1" bitfld.long 0xD0 0. "PE,RGMII2_RXD1 PAD Pull enable" "0,1" line.long 0xD4 "IO_PAD_CONFIG_RGMII2_RXD2,PAD RGMII2_RXD2 config register" bitfld.long 0xD4 16. "POE,RGMII2_RXD2 PAD Parametric output enable" "0,1" bitfld.long 0xD4 12. "IS,RGMII2_RXD2 PAD Input select" "0,1" bitfld.long 0xD4 8. "SR,RGMII2_RXD2 PAD Slew rate" "0,1" newline bitfld.long 0xD4 4.--5. "DS,RGMII2_RXD2 PAD Driver select" "0,1,2,3" bitfld.long 0xD4 1. "PS,RGMII2_RXD2 PAD Pull select" "0,1" bitfld.long 0xD4 0. "PE,RGMII2_RXD2 PAD Pull enable" "0,1" line.long 0xD8 "IO_PAD_CONFIG_RGMII2_RXD3,PAD RGMII2_RXD3 config register" bitfld.long 0xD8 16. "POE,RGMII2_RXD3 PAD Parametric output enable" "0,1" bitfld.long 0xD8 12. "IS,RGMII2_RXD3 PAD Input select" "0,1" bitfld.long 0xD8 8. "SR,RGMII2_RXD3 PAD Slew rate" "0,1" newline bitfld.long 0xD8 4.--5. "DS,RGMII2_RXD3 PAD Driver select" "0,1,2,3" bitfld.long 0xD8 1. "PS,RGMII2_RXD3 PAD Pull select" "0,1" bitfld.long 0xD8 0. "PE,RGMII2_RXD3 PAD Pull enable" "0,1" line.long 0xDC "IO_PAD_CONFIG_RGMII2_RX_CTL,PAD RGMII2_RX_CTL config register" bitfld.long 0xDC 16. "POE,RGMII2_RX_CTL PAD Parametric output enable" "0,1" bitfld.long 0xDC 12. "IS,RGMII2_RX_CTL PAD Input select" "0,1" bitfld.long 0xDC 8. "SR,RGMII2_RX_CTL PAD Slew rate" "0,1" newline bitfld.long 0xDC 4.--5. "DS,RGMII2_RX_CTL PAD Driver select" "0,1,2,3" bitfld.long 0xDC 1. "PS,RGMII2_RX_CTL PAD Pull select" "0,1" bitfld.long 0xDC 0. "PE,RGMII2_RX_CTL PAD Pull enable" "0,1" line.long 0xE0 "IO_PAD_CONFIG_I2S_SC3_SCK,PAD I2S_SC3_SCK config register" bitfld.long 0xE0 16. "POE,I2S_SC3_SCK PAD Parametric output enable" "0,1" bitfld.long 0xE0 12. "IS,I2S_SC3_SCK PAD Input select" "0,1" bitfld.long 0xE0 8. "SR,I2S_SC3_SCK PAD Slew rate" "0,1" newline bitfld.long 0xE0 4.--5. "DS,I2S_SC3_SCK PAD Driver select" "0,1,2,3" bitfld.long 0xE0 1. "PS,I2S_SC3_SCK PAD Pull select" "0,1" bitfld.long 0xE0 0. "PE,I2S_SC3_SCK PAD Pull enable" "0,1" line.long 0xE4 "IO_PAD_CONFIG_I2S_SC3_WS,PAD I2S_SC3_WS config register" bitfld.long 0xE4 16. "POE,I2S_SC3_WS PAD Parametric output enable" "0,1" bitfld.long 0xE4 12. "IS,I2S_SC3_WS PAD Input select" "0,1" bitfld.long 0xE4 8. "SR,I2S_SC3_WS PAD Slew rate" "0,1" newline bitfld.long 0xE4 4.--5. "DS,I2S_SC3_WS PAD Driver select" "0,1,2,3" bitfld.long 0xE4 1. "PS,I2S_SC3_WS PAD Pull select" "0,1" bitfld.long 0xE4 0. "PE,I2S_SC3_WS PAD Pull enable" "0,1" line.long 0xE8 "IO_PAD_CONFIG_I2S_SC3_SD,PAD I2S_SC3_SD config register" bitfld.long 0xE8 16. "POE,I2S_SC3_SD PAD Parametric output enable" "0,1" bitfld.long 0xE8 12. "IS,I2S_SC3_SD PAD Input select" "0,1" bitfld.long 0xE8 8. "SR,I2S_SC3_SD PAD Slew rate" "0,1" newline bitfld.long 0xE8 4.--5. "DS,I2S_SC3_SD PAD Driver select" "0,1,2,3" bitfld.long 0xE8 1. "PS,I2S_SC3_SD PAD Pull select" "0,1" bitfld.long 0xE8 0. "PE,I2S_SC3_SD PAD Pull enable" "0,1" line.long 0xEC "IO_PAD_CONFIG_I2S_SC4_SCK,PAD I2S_SC4_SCK config register" bitfld.long 0xEC 16. "POE,I2S_SC4_SCK PAD Parametric output enable" "0,1" bitfld.long 0xEC 12. "IS,I2S_SC4_SCK PAD Input select" "0,1" bitfld.long 0xEC 8. "SR,I2S_SC4_SCK PAD Slew rate" "0,1" newline bitfld.long 0xEC 4.--5. "DS,I2S_SC4_SCK PAD Driver select" "0,1,2,3" bitfld.long 0xEC 1. "PS,I2S_SC4_SCK PAD Pull select" "0,1" bitfld.long 0xEC 0. "PE,I2S_SC4_SCK PAD Pull enable" "0,1" line.long 0xF0 "IO_PAD_CONFIG_I2S_SC4_WS,PAD I2S_SC4_WS config register" bitfld.long 0xF0 16. "POE,I2S_SC4_WS PAD Parametric output enable" "0,1" bitfld.long 0xF0 12. "IS,I2S_SC4_WS PAD Input select" "0,1" bitfld.long 0xF0 8. "SR,I2S_SC4_WS PAD Slew rate" "0,1" newline bitfld.long 0xF0 4.--5. "DS,I2S_SC4_WS PAD Driver select" "0,1,2,3" bitfld.long 0xF0 1. "PS,I2S_SC4_WS PAD Pull select" "0,1" bitfld.long 0xF0 0. "PE,I2S_SC4_WS PAD Pull enable" "0,1" line.long 0xF4 "IO_PAD_CONFIG_I2S_SC4_SD,PAD I2S_SC4_SD config register" bitfld.long 0xF4 16. "POE,I2S_SC4_SD PAD Parametric output enable" "0,1" bitfld.long 0xF4 12. "IS,I2S_SC4_SD PAD Input select" "0,1" bitfld.long 0xF4 8. "SR,I2S_SC4_SD PAD Slew rate" "0,1" newline bitfld.long 0xF4 4.--5. "DS,I2S_SC4_SD PAD Driver select" "0,1,2,3" bitfld.long 0xF4 1. "PS,I2S_SC4_SD PAD Pull select" "0,1" bitfld.long 0xF4 0. "PE,I2S_SC4_SD PAD Pull enable" "0,1" line.long 0xF8 "IO_PAD_CONFIG_I2S_SC5_SCK,PAD I2S_SC5_SCK config register" bitfld.long 0xF8 16. "POE,I2S_SC5_SCK PAD Parametric output enable" "0,1" bitfld.long 0xF8 12. "IS,I2S_SC5_SCK PAD Input select" "0,1" bitfld.long 0xF8 8. "SR,I2S_SC5_SCK PAD Slew rate" "0,1" newline bitfld.long 0xF8 4.--5. "DS,I2S_SC5_SCK PAD Driver select" "0,1,2,3" bitfld.long 0xF8 1. "PS,I2S_SC5_SCK PAD Pull select" "0,1" bitfld.long 0xF8 0. "PE,I2S_SC5_SCK PAD Pull enable" "0,1" line.long 0xFC "IO_PAD_CONFIG_I2S_SC5_WS,PAD I2S_SC5_WS config register" bitfld.long 0xFC 16. "POE,I2S_SC5_WS PAD Parametric output enable" "0,1" bitfld.long 0xFC 12. "IS,I2S_SC5_WS PAD Input select" "0,1" bitfld.long 0xFC 8. "SR,I2S_SC5_WS PAD Slew rate" "0,1" newline bitfld.long 0xFC 4.--5. "DS,I2S_SC5_WS PAD Driver select" "0,1,2,3" bitfld.long 0xFC 1. "PS,I2S_SC5_WS PAD Pull select" "0,1" bitfld.long 0xFC 0. "PE,I2S_SC5_WS PAD Pull enable" "0,1" line.long 0x100 "IO_PAD_CONFIG_I2S_SC5_SD,PAD I2S_SC5_SD config register" bitfld.long 0x100 16. "POE,I2S_SC5_SD PAD Parametric output enable" "0,1" bitfld.long 0x100 12. "IS,I2S_SC5_SD PAD Input select" "0,1" bitfld.long 0x100 8. "SR,I2S_SC5_SD PAD Slew rate" "0,1" newline bitfld.long 0x100 4.--5. "DS,I2S_SC5_SD PAD Driver select" "0,1,2,3" bitfld.long 0x100 1. "PS,I2S_SC5_SD PAD Pull select" "0,1" bitfld.long 0x100 0. "PE,I2S_SC5_SD PAD Pull enable" "0,1" line.long 0x104 "IO_PAD_CONFIG_I2S_SC6_SCK,PAD I2S_SC6_SCK config register" bitfld.long 0x104 16. "POE,I2S_SC6_SCK PAD Parametric output enable" "0,1" bitfld.long 0x104 12. "IS,I2S_SC6_SCK PAD Input select" "0,1" bitfld.long 0x104 8. "SR,I2S_SC6_SCK PAD Slew rate" "0,1" newline bitfld.long 0x104 4.--5. "DS,I2S_SC6_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x104 1. "PS,I2S_SC6_SCK PAD Pull select" "0,1" bitfld.long 0x104 0. "PE,I2S_SC6_SCK PAD Pull enable" "0,1" line.long 0x108 "IO_PAD_CONFIG_I2S_SC6_WS,PAD I2S_SC6_WS config register" bitfld.long 0x108 16. "POE,I2S_SC6_WS PAD Parametric output enable" "0,1" bitfld.long 0x108 12. "IS,I2S_SC6_WS PAD Input select" "0,1" bitfld.long 0x108 8. "SR,I2S_SC6_WS PAD Slew rate" "0,1" newline bitfld.long 0x108 4.--5. "DS,I2S_SC6_WS PAD Driver select" "0,1,2,3" bitfld.long 0x108 1. "PS,I2S_SC6_WS PAD Pull select" "0,1" bitfld.long 0x108 0. "PE,I2S_SC6_WS PAD Pull enable" "0,1" line.long 0x10C "IO_PAD_CONFIG_I2S_SC6_SD,PAD I2S_SC6_SD config register" bitfld.long 0x10C 16. "POE,I2S_SC6_SD PAD Parametric output enable" "0,1" bitfld.long 0x10C 12. "IS,I2S_SC6_SD PAD Input select" "0,1" bitfld.long 0x10C 8. "SR,I2S_SC6_SD PAD Slew rate" "0,1" newline bitfld.long 0x10C 4.--5. "DS,I2S_SC6_SD PAD Driver select" "0,1,2,3" bitfld.long 0x10C 1. "PS,I2S_SC6_SD PAD Pull select" "0,1" bitfld.long 0x10C 0. "PE,I2S_SC6_SD PAD Pull enable" "0,1" line.long 0x110 "IO_PAD_CONFIG_I2S_SC7_SCK,PAD I2S_SC7_SCK config register" bitfld.long 0x110 16. "POE,I2S_SC7_SCK PAD Parametric output enable" "0,1" bitfld.long 0x110 12. "IS,I2S_SC7_SCK PAD Input select" "0,1" bitfld.long 0x110 8. "SR,I2S_SC7_SCK PAD Slew rate" "0,1" newline bitfld.long 0x110 4.--5. "DS,I2S_SC7_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x110 1. "PS,I2S_SC7_SCK PAD Pull select" "0,1" bitfld.long 0x110 0. "PE,I2S_SC7_SCK PAD Pull enable" "0,1" line.long 0x114 "IO_PAD_CONFIG_I2S_SC7_WS,PAD I2S_SC7_WS config register" bitfld.long 0x114 16. "POE,I2S_SC7_WS PAD Parametric output enable" "0,1" bitfld.long 0x114 12. "IS,I2S_SC7_WS PAD Input select" "0,1" bitfld.long 0x114 8. "SR,I2S_SC7_WS PAD Slew rate" "0,1" newline bitfld.long 0x114 4.--5. "DS,I2S_SC7_WS PAD Driver select" "0,1,2,3" bitfld.long 0x114 1. "PS,I2S_SC7_WS PAD Pull select" "0,1" bitfld.long 0x114 0. "PE,I2S_SC7_WS PAD Pull enable" "0,1" line.long 0x118 "IO_PAD_CONFIG_I2S_SC7_SD,PAD I2S_SC7_SD config register" bitfld.long 0x118 16. "POE,I2S_SC7_SD PAD Parametric output enable" "0,1" bitfld.long 0x118 12. "IS,I2S_SC7_SD PAD Input select" "0,1" bitfld.long 0x118 8. "SR,I2S_SC7_SD PAD Slew rate" "0,1" newline bitfld.long 0x118 4.--5. "DS,I2S_SC7_SD PAD Driver select" "0,1,2,3" bitfld.long 0x118 1. "PS,I2S_SC7_SD PAD Pull select" "0,1" bitfld.long 0x118 0. "PE,I2S_SC7_SD PAD Pull enable" "0,1" line.long 0x11C "IO_PAD_CONFIG_I2S_SC8_SCK,PAD I2S_SC8_SCK config register" bitfld.long 0x11C 16. "POE,I2S_SC8_SCK PAD Parametric output enable" "0,1" bitfld.long 0x11C 12. "IS,I2S_SC8_SCK PAD Input select" "0,1" bitfld.long 0x11C 8. "SR,I2S_SC8_SCK PAD Slew rate" "0,1" newline bitfld.long 0x11C 4.--5. "DS,I2S_SC8_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x11C 1. "PS,I2S_SC8_SCK PAD Pull select" "0,1" bitfld.long 0x11C 0. "PE,I2S_SC8_SCK PAD Pull enable" "0,1" line.long 0x120 "IO_PAD_CONFIG_I2S_SC8_WS,PAD I2S_SC8_WS config register" bitfld.long 0x120 16. "POE,I2S_SC8_WS PAD Parametric output enable" "0,1" bitfld.long 0x120 12. "IS,I2S_SC8_WS PAD Input select" "0,1" bitfld.long 0x120 8. "SR,I2S_SC8_WS PAD Slew rate" "0,1" newline bitfld.long 0x120 4.--5. "DS,I2S_SC8_WS PAD Driver select" "0,1,2,3" bitfld.long 0x120 1. "PS,I2S_SC8_WS PAD Pull select" "0,1" bitfld.long 0x120 0. "PE,I2S_SC8_WS PAD Pull enable" "0,1" line.long 0x124 "IO_PAD_CONFIG_I2S_SC8_SD,PAD I2S_SC8_SD config register" bitfld.long 0x124 16. "POE,I2S_SC8_SD PAD Parametric output enable" "0,1" bitfld.long 0x124 12. "IS,I2S_SC8_SD PAD Input select" "0,1" bitfld.long 0x124 8. "SR,I2S_SC8_SD PAD Slew rate" "0,1" newline bitfld.long 0x124 4.--5. "DS,I2S_SC8_SD PAD Driver select" "0,1,2,3" bitfld.long 0x124 1. "PS,I2S_SC8_SD PAD Pull select" "0,1" bitfld.long 0x124 0. "PE,I2S_SC8_SD PAD Pull enable" "0,1" line.long 0x128 "IO_PAD_CONFIG_I2S_MC_SCK,PAD I2S_MC_SCK config register" bitfld.long 0x128 16. "POE,I2S_MC_SCK PAD Parametric output enable" "0,1" bitfld.long 0x128 12. "IS,I2S_MC_SCK PAD Input select" "0,1" bitfld.long 0x128 8. "SR,I2S_MC_SCK PAD Slew rate" "0,1" newline bitfld.long 0x128 4.--5. "DS,I2S_MC_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x128 1. "PS,I2S_MC_SCK PAD Pull select" "0,1" bitfld.long 0x128 0. "PE,I2S_MC_SCK PAD Pull enable" "0,1" line.long 0x12C "IO_PAD_CONFIG_I2S_MC_WS,PAD I2S_MC_WS config register" bitfld.long 0x12C 16. "POE,I2S_MC_WS PAD Parametric output enable" "0,1" bitfld.long 0x12C 12. "IS,I2S_MC_WS PAD Input select" "0,1" bitfld.long 0x12C 8. "SR,I2S_MC_WS PAD Slew rate" "0,1" newline bitfld.long 0x12C 4.--5. "DS,I2S_MC_WS PAD Driver select" "0,1,2,3" bitfld.long 0x12C 1. "PS,I2S_MC_WS PAD Pull select" "0,1" bitfld.long 0x12C 0. "PE,I2S_MC_WS PAD Pull enable" "0,1" line.long 0x130 "IO_PAD_CONFIG_I2S_MC_SD0,PAD I2S_MC_SD0 config register" bitfld.long 0x130 16. "POE,I2S_MC_SD0 PAD Parametric output enable" "0,1" bitfld.long 0x130 12. "IS,I2S_MC_SD0 PAD Input select" "0,1" bitfld.long 0x130 8. "SR,I2S_MC_SD0 PAD Slew rate" "0,1" newline bitfld.long 0x130 4.--5. "DS,I2S_MC_SD0 PAD Driver select" "0,1,2,3" bitfld.long 0x130 1. "PS,I2S_MC_SD0 PAD Pull select" "0,1" bitfld.long 0x130 0. "PE,I2S_MC_SD0 PAD Pull enable" "0,1" line.long 0x134 "IO_PAD_CONFIG_I2S_MC_SD1,PAD I2S_MC_SD1 config register" bitfld.long 0x134 16. "POE,I2S_MC_SD1 PAD Parametric output enable" "0,1" bitfld.long 0x134 12. "IS,I2S_MC_SD1 PAD Input select" "0,1" bitfld.long 0x134 8. "SR,I2S_MC_SD1 PAD Slew rate" "0,1" newline bitfld.long 0x134 4.--5. "DS,I2S_MC_SD1 PAD Driver select" "0,1,2,3" bitfld.long 0x134 1. "PS,I2S_MC_SD1 PAD Pull select" "0,1" bitfld.long 0x134 0. "PE,I2S_MC_SD1 PAD Pull enable" "0,1" line.long 0x138 "IO_PAD_CONFIG_I2S_MC_SD2,PAD I2S_MC_SD2 config register" bitfld.long 0x138 16. "POE,I2S_MC_SD2 PAD Parametric output enable" "0,1" bitfld.long 0x138 12. "IS,I2S_MC_SD2 PAD Input select" "0,1" bitfld.long 0x138 8. "SR,I2S_MC_SD2 PAD Slew rate" "0,1" newline bitfld.long 0x138 4.--5. "DS,I2S_MC_SD2 PAD Driver select" "0,1,2,3" bitfld.long 0x138 1. "PS,I2S_MC_SD2 PAD Pull select" "0,1" bitfld.long 0x138 0. "PE,I2S_MC_SD2 PAD Pull enable" "0,1" line.long 0x13C "IO_PAD_CONFIG_I2S_MC_SD3,PAD I2S_MC_SD3 config register" bitfld.long 0x13C 16. "POE,I2S_MC_SD3 PAD Parametric output enable" "0,1" bitfld.long 0x13C 12. "IS,I2S_MC_SD3 PAD Input select" "0,1" bitfld.long 0x13C 8. "SR,I2S_MC_SD3 PAD Slew rate" "0,1" newline bitfld.long 0x13C 4.--5. "DS,I2S_MC_SD3 PAD Driver select" "0,1,2,3" bitfld.long 0x13C 1. "PS,I2S_MC_SD3 PAD Pull select" "0,1" bitfld.long 0x13C 0. "PE,I2S_MC_SD3 PAD Pull enable" "0,1" line.long 0x140 "IO_PAD_CONFIG_I2S_MC_SD4,PAD I2S_MC_SD4 config register" bitfld.long 0x140 16. "POE,I2S_MC_SD4 PAD Parametric output enable" "0,1" bitfld.long 0x140 12. "IS,I2S_MC_SD4 PAD Input select" "0,1" bitfld.long 0x140 8. "SR,I2S_MC_SD4 PAD Slew rate" "0,1" newline bitfld.long 0x140 4.--5. "DS,I2S_MC_SD4 PAD Driver select" "0,1,2,3" bitfld.long 0x140 1. "PS,I2S_MC_SD4 PAD Pull select" "0,1" bitfld.long 0x140 0. "PE,I2S_MC_SD4 PAD Pull enable" "0,1" line.long 0x144 "IO_PAD_CONFIG_I2S_MC_SD5,PAD I2S_MC_SD5 config register" bitfld.long 0x144 16. "POE,I2S_MC_SD5 PAD Parametric output enable" "0,1" bitfld.long 0x144 12. "IS,I2S_MC_SD5 PAD Input select" "0,1" bitfld.long 0x144 8. "SR,I2S_MC_SD5 PAD Slew rate" "0,1" newline bitfld.long 0x144 4.--5. "DS,I2S_MC_SD5 PAD Driver select" "0,1,2,3" bitfld.long 0x144 1. "PS,I2S_MC_SD5 PAD Pull select" "0,1" bitfld.long 0x144 0. "PE,I2S_MC_SD5 PAD Pull enable" "0,1" line.long 0x148 "IO_PAD_CONFIG_I2S_MC_SD6,PAD I2S_MC_SD6 config register" bitfld.long 0x148 16. "POE,I2S_MC_SD6 PAD Parametric output enable" "0,1" bitfld.long 0x148 12. "IS,I2S_MC_SD6 PAD Input select" "0,1" bitfld.long 0x148 8. "SR,I2S_MC_SD6 PAD Slew rate" "0,1" newline bitfld.long 0x148 4.--5. "DS,I2S_MC_SD6 PAD Driver select" "0,1,2,3" bitfld.long 0x148 1. "PS,I2S_MC_SD6 PAD Pull select" "0,1" bitfld.long 0x148 0. "PE,I2S_MC_SD6 PAD Pull enable" "0,1" line.long 0x14C "IO_PAD_CONFIG_I2S_MC_SD7,PAD I2S_MC_SD7 config register" bitfld.long 0x14C 16. "POE,I2S_MC_SD7 PAD Parametric output enable" "0,1" bitfld.long 0x14C 12. "IS,I2S_MC_SD7 PAD Input select" "0,1" bitfld.long 0x14C 8. "SR,I2S_MC_SD7 PAD Slew rate" "0,1" newline bitfld.long 0x14C 4.--5. "DS,I2S_MC_SD7 PAD Driver select" "0,1,2,3" bitfld.long 0x14C 1. "PS,I2S_MC_SD7 PAD Pull select" "0,1" bitfld.long 0x14C 0. "PE,I2S_MC_SD7 PAD Pull enable" "0,1" line.long 0x150 "IO_PAD_CONFIG_EMMC1_CLK,PAD EMMC1_CLK config register" hexmask.long.byte 0x150 20.--23. 1. "SP,EMMC1_CLK PAD Drive Strength for P" hexmask.long.byte 0x150 16.--19. 1. "SN,EMMC1_CLK PAD Drive Strength for N" bitfld.long 0x150 12.--14. "RXSEL,EMMC1_CLK PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x150 8.--11. 1. "TXPREP,EMMC1_CLK PAD Slew-Rate control for P" newline hexmask.long.byte 0x150 4.--7. 1. "TXPREN,EMMC1_CLK PAD Slew-Rate control for N" bitfld.long 0x150 0.--1. "PULL_EN,EMMC1_CLK PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x154 "IO_PAD_CONFIG_EMMC1_CMD,PAD EMMC1_CMD config register" hexmask.long.byte 0x154 20.--23. 1. "SP,EMMC1_CMD PAD Drive Strength for P" hexmask.long.byte 0x154 16.--19. 1. "SN,EMMC1_CMD PAD Drive Strength for N" bitfld.long 0x154 12.--14. "RXSEL,EMMC1_CMD PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x154 8.--11. 1. "TXPREP,EMMC1_CMD PAD Slew-Rate control for P" newline hexmask.long.byte 0x154 4.--7. 1. "TXPREN,EMMC1_CMD PAD Slew-Rate control for N" bitfld.long 0x154 0.--1. "PULL_EN,EMMC1_CMD PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x158 "IO_PAD_CONFIG_EMMC1_DATA0,PAD EMMC1_DATA0 config register" hexmask.long.byte 0x158 20.--23. 1. "SP,EMMC1_DATA0 PAD Drive Strength for P" hexmask.long.byte 0x158 16.--19. 1. "SN,EMMC1_DATA0 PAD Drive Strength for N" bitfld.long 0x158 12.--14. "RXSEL,EMMC1_DATA0 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x158 8.--11. 1. "TXPREP,EMMC1_DATA0 PAD Slew-Rate control for P" newline hexmask.long.byte 0x158 4.--7. 1. "TXPREN,EMMC1_DATA0 PAD Slew-Rate control for N" bitfld.long 0x158 0.--1. "PULL_EN,EMMC1_DATA0 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x15C "IO_PAD_CONFIG_EMMC1_DATA1,PAD EMMC1_DATA1 config register" hexmask.long.byte 0x15C 20.--23. 1. "SP,EMMC1_DATA1 PAD Drive Strength for P" hexmask.long.byte 0x15C 16.--19. 1. "SN,EMMC1_DATA1 PAD Drive Strength for N" bitfld.long 0x15C 12.--14. "RXSEL,EMMC1_DATA1 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x15C 8.--11. 1. "TXPREP,EMMC1_DATA1 PAD Slew-Rate control for P" newline hexmask.long.byte 0x15C 4.--7. 1. "TXPREN,EMMC1_DATA1 PAD Slew-Rate control for N" bitfld.long 0x15C 0.--1. "PULL_EN,EMMC1_DATA1 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x160 "IO_PAD_CONFIG_EMMC1_DATA2,PAD EMMC1_DATA2 config register" hexmask.long.byte 0x160 20.--23. 1. "SP,EMMC1_DATA2 PAD Drive Strength for P" hexmask.long.byte 0x160 16.--19. 1. "SN,EMMC1_DATA2 PAD Drive Strength for N" bitfld.long 0x160 12.--14. "RXSEL,EMMC1_DATA2 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x160 8.--11. 1. "TXPREP,EMMC1_DATA2 PAD Slew-Rate control for P" newline hexmask.long.byte 0x160 4.--7. 1. "TXPREN,EMMC1_DATA2 PAD Slew-Rate control for N" bitfld.long 0x160 0.--1. "PULL_EN,EMMC1_DATA2 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x164 "IO_PAD_CONFIG_EMMC1_DATA3,PAD EMMC1_DATA3 config register" hexmask.long.byte 0x164 20.--23. 1. "SP,EMMC1_DATA3 PAD Drive Strength for P" hexmask.long.byte 0x164 16.--19. 1. "SN,EMMC1_DATA3 PAD Drive Strength for N" bitfld.long 0x164 12.--14. "RXSEL,EMMC1_DATA3 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x164 8.--11. 1. "TXPREP,EMMC1_DATA3 PAD Slew-Rate control for P" newline hexmask.long.byte 0x164 4.--7. 1. "TXPREN,EMMC1_DATA3 PAD Slew-Rate control for N" bitfld.long 0x164 0.--1. "PULL_EN,EMMC1_DATA3 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x168 "IO_PAD_CONFIG_EMMC1_DATA4,PAD EMMC1_DATA4 config register" hexmask.long.byte 0x168 20.--23. 1. "SP,EMMC1_DATA4 PAD Drive Strength for P" hexmask.long.byte 0x168 16.--19. 1. "SN,EMMC1_DATA4 PAD Drive Strength for N" bitfld.long 0x168 12.--14. "RXSEL,EMMC1_DATA4 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x168 8.--11. 1. "TXPREP,EMMC1_DATA4 PAD Slew-Rate control for P" newline hexmask.long.byte 0x168 4.--7. 1. "TXPREN,EMMC1_DATA4 PAD Slew-Rate control for N" bitfld.long 0x168 0.--1. "PULL_EN,EMMC1_DATA4 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x16C "IO_PAD_CONFIG_EMMC1_DATA5,PAD EMMC1_DATA5 config register" hexmask.long.byte 0x16C 20.--23. 1. "SP,EMMC1_DATA5 PAD Drive Strength for P" hexmask.long.byte 0x16C 16.--19. 1. "SN,EMMC1_DATA5 PAD Drive Strength for N" bitfld.long 0x16C 12.--14. "RXSEL,EMMC1_DATA5 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x16C 8.--11. 1. "TXPREP,EMMC1_DATA5 PAD Slew-Rate control for P" newline hexmask.long.byte 0x16C 4.--7. 1. "TXPREN,EMMC1_DATA5 PAD Slew-Rate control for N" bitfld.long 0x16C 0.--1. "PULL_EN,EMMC1_DATA5 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x170 "IO_PAD_CONFIG_EMMC1_DATA6,PAD EMMC1_DATA6 config register" hexmask.long.byte 0x170 20.--23. 1. "SP,EMMC1_DATA6 PAD Drive Strength for P" hexmask.long.byte 0x170 16.--19. 1. "SN,EMMC1_DATA6 PAD Drive Strength for N" bitfld.long 0x170 12.--14. "RXSEL,EMMC1_DATA6 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x170 8.--11. 1. "TXPREP,EMMC1_DATA6 PAD Slew-Rate control for P" newline hexmask.long.byte 0x170 4.--7. 1. "TXPREN,EMMC1_DATA6 PAD Slew-Rate control for N" bitfld.long 0x170 0.--1. "PULL_EN,EMMC1_DATA6 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x174 "IO_PAD_CONFIG_EMMC1_DATA7,PAD EMMC1_DATA7 config register" hexmask.long.byte 0x174 20.--23. 1. "SP,EMMC1_DATA7 PAD Drive Strength for P" hexmask.long.byte 0x174 16.--19. 1. "SN,EMMC1_DATA7 PAD Drive Strength for N" bitfld.long 0x174 12.--14. "RXSEL,EMMC1_DATA7 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x174 8.--11. 1. "TXPREP,EMMC1_DATA7 PAD Slew-Rate control for P" newline hexmask.long.byte 0x174 4.--7. 1. "TXPREN,EMMC1_DATA7 PAD Slew-Rate control for N" bitfld.long 0x174 0.--1. "PULL_EN,EMMC1_DATA7 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x178 "IO_PAD_CONFIG_EMMC1_STROBE,PAD EMMC1_STROBE config register" hexmask.long.byte 0x178 20.--23. 1. "SP,EMMC1_STROBE PAD Drive Strength for P" hexmask.long.byte 0x178 16.--19. 1. "SN,EMMC1_STROBE PAD Drive Strength for N" bitfld.long 0x178 12.--14. "RXSEL,EMMC1_STROBE PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x178 8.--11. 1. "TXPREP,EMMC1_STROBE PAD Slew-Rate control for P" newline hexmask.long.byte 0x178 4.--7. 1. "TXPREN,EMMC1_STROBE PAD Slew-Rate control for N" bitfld.long 0x178 0.--1. "PULL_EN,EMMC1_STROBE PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x17C "IO_PAD_CONFIG_EMMC1_RESET_N,PAD EMMC1_RESET_N config register" hexmask.long.byte 0x17C 20.--23. 1. "SP,EMMC1_RESET_N PAD Drive Strength for P" hexmask.long.byte 0x17C 16.--19. 1. "SN,EMMC1_RESET_N PAD Drive Strength for N" bitfld.long 0x17C 12.--14. "RXSEL,EMMC1_RESET_N PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x17C 8.--11. 1. "TXPREP,EMMC1_RESET_N PAD Slew-Rate control for P" newline hexmask.long.byte 0x17C 4.--7. 1. "TXPREN,EMMC1_RESET_N PAD Slew-Rate control for N" bitfld.long 0x17C 0.--1. "PULL_EN,EMMC1_RESET_N PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x180 "IO_PAD_CONFIG_EMMC2_CLK,PAD EMMC2_CLK config register" hexmask.long.byte 0x180 20.--23. 1. "SP,EMMC2_CLK PAD Drive Strength for P" hexmask.long.byte 0x180 16.--19. 1. "SN,EMMC2_CLK PAD Drive Strength for N" bitfld.long 0x180 12.--14. "RXSEL,EMMC2_CLK PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x180 8.--11. 1. "TXPREP,EMMC2_CLK PAD Slew-Rate control for P" newline hexmask.long.byte 0x180 4.--7. 1. "TXPREN,EMMC2_CLK PAD Slew-Rate control for N" bitfld.long 0x180 0.--1. "PULL_EN,EMMC2_CLK PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x184 "IO_PAD_CONFIG_EMMC2_CMD,PAD EMMC2_CMD config register" hexmask.long.byte 0x184 20.--23. 1. "SP,EMMC2_CMD PAD Drive Strength for P" hexmask.long.byte 0x184 16.--19. 1. "SN,EMMC2_CMD PAD Drive Strength for N" bitfld.long 0x184 12.--14. "RXSEL,EMMC2_CMD PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x184 8.--11. 1. "TXPREP,EMMC2_CMD PAD Slew-Rate control for P" newline hexmask.long.byte 0x184 4.--7. 1. "TXPREN,EMMC2_CMD PAD Slew-Rate control for N" bitfld.long 0x184 0.--1. "PULL_EN,EMMC2_CMD PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x188 "IO_PAD_CONFIG_EMMC2_DATA0,PAD EMMC2_DATA0 config register" hexmask.long.byte 0x188 20.--23. 1. "SP,EMMC2_DATA0 PAD Drive Strength for P" hexmask.long.byte 0x188 16.--19. 1. "SN,EMMC2_DATA0 PAD Drive Strength for N" bitfld.long 0x188 12.--14. "RXSEL,EMMC2_DATA0 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x188 8.--11. 1. "TXPREP,EMMC2_DATA0 PAD Slew-Rate control for P" newline hexmask.long.byte 0x188 4.--7. 1. "TXPREN,EMMC2_DATA0 PAD Slew-Rate control for N" bitfld.long 0x188 0.--1. "PULL_EN,EMMC2_DATA0 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x18C "IO_PAD_CONFIG_EMMC2_DATA1,PAD EMMC2_DATA1 config register" hexmask.long.byte 0x18C 20.--23. 1. "SP,EMMC2_DATA1 PAD Drive Strength for P" hexmask.long.byte 0x18C 16.--19. 1. "SN,EMMC2_DATA1 PAD Drive Strength for N" bitfld.long 0x18C 12.--14. "RXSEL,EMMC2_DATA1 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x18C 8.--11. 1. "TXPREP,EMMC2_DATA1 PAD Slew-Rate control for P" newline hexmask.long.byte 0x18C 4.--7. 1. "TXPREN,EMMC2_DATA1 PAD Slew-Rate control for N" bitfld.long 0x18C 0.--1. "PULL_EN,EMMC2_DATA1 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x190 "IO_PAD_CONFIG_EMMC2_DATA2,PAD EMMC2_DATA2 config register" hexmask.long.byte 0x190 20.--23. 1. "SP,EMMC2_DATA2 PAD Drive Strength for P" hexmask.long.byte 0x190 16.--19. 1. "SN,EMMC2_DATA2 PAD Drive Strength for N" bitfld.long 0x190 12.--14. "RXSEL,EMMC2_DATA2 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x190 8.--11. 1. "TXPREP,EMMC2_DATA2 PAD Slew-Rate control for P" newline hexmask.long.byte 0x190 4.--7. 1. "TXPREN,EMMC2_DATA2 PAD Slew-Rate control for N" bitfld.long 0x190 0.--1. "PULL_EN,EMMC2_DATA2 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x194 "IO_PAD_CONFIG_EMMC2_DATA3,PAD EMMC2_DATA3 config register" hexmask.long.byte 0x194 20.--23. 1. "SP,EMMC2_DATA3 PAD Drive Strength for P" hexmask.long.byte 0x194 16.--19. 1. "SN,EMMC2_DATA3 PAD Drive Strength for N" bitfld.long 0x194 12.--14. "RXSEL,EMMC2_DATA3 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x194 8.--11. 1. "TXPREP,EMMC2_DATA3 PAD Slew-Rate control for P" newline hexmask.long.byte 0x194 4.--7. 1. "TXPREN,EMMC2_DATA3 PAD Slew-Rate control for N" bitfld.long 0x194 0.--1. "PULL_EN,EMMC2_DATA3 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x198 "IO_PAD_CONFIG_EMMC2_DATA4,PAD EMMC2_DATA4 config register" hexmask.long.byte 0x198 20.--23. 1. "SP,EMMC2_DATA4 PAD Drive Strength for P" hexmask.long.byte 0x198 16.--19. 1. "SN,EMMC2_DATA4 PAD Drive Strength for N" bitfld.long 0x198 12.--14. "RXSEL,EMMC2_DATA4 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x198 8.--11. 1. "TXPREP,EMMC2_DATA4 PAD Slew-Rate control for P" newline hexmask.long.byte 0x198 4.--7. 1. "TXPREN,EMMC2_DATA4 PAD Slew-Rate control for N" bitfld.long 0x198 0.--1. "PULL_EN,EMMC2_DATA4 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x19C "IO_PAD_CONFIG_EMMC2_DATA5,PAD EMMC2_DATA5 config register" hexmask.long.byte 0x19C 20.--23. 1. "SP,EMMC2_DATA5 PAD Drive Strength for P" hexmask.long.byte 0x19C 16.--19. 1. "SN,EMMC2_DATA5 PAD Drive Strength for N" bitfld.long 0x19C 12.--14. "RXSEL,EMMC2_DATA5 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x19C 8.--11. 1. "TXPREP,EMMC2_DATA5 PAD Slew-Rate control for P" newline hexmask.long.byte 0x19C 4.--7. 1. "TXPREN,EMMC2_DATA5 PAD Slew-Rate control for N" bitfld.long 0x19C 0.--1. "PULL_EN,EMMC2_DATA5 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1A0 "IO_PAD_CONFIG_EMMC2_DATA6,PAD EMMC2_DATA6 config register" hexmask.long.byte 0x1A0 20.--23. 1. "SP,EMMC2_DATA6 PAD Drive Strength for P" hexmask.long.byte 0x1A0 16.--19. 1. "SN,EMMC2_DATA6 PAD Drive Strength for N" bitfld.long 0x1A0 12.--14. "RXSEL,EMMC2_DATA6 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1A0 8.--11. 1. "TXPREP,EMMC2_DATA6 PAD Slew-Rate control for P" newline hexmask.long.byte 0x1A0 4.--7. 1. "TXPREN,EMMC2_DATA6 PAD Slew-Rate control for N" bitfld.long 0x1A0 0.--1. "PULL_EN,EMMC2_DATA6 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1A4 "IO_PAD_CONFIG_EMMC2_DATA7,PAD EMMC2_DATA7 config register" hexmask.long.byte 0x1A4 20.--23. 1. "SP,EMMC2_DATA7 PAD Drive Strength for P" hexmask.long.byte 0x1A4 16.--19. 1. "SN,EMMC2_DATA7 PAD Drive Strength for N" bitfld.long 0x1A4 12.--14. "RXSEL,EMMC2_DATA7 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1A4 8.--11. 1. "TXPREP,EMMC2_DATA7 PAD Slew-Rate control for P" newline hexmask.long.byte 0x1A4 4.--7. 1. "TXPREN,EMMC2_DATA7 PAD Slew-Rate control for N" bitfld.long 0x1A4 0.--1. "PULL_EN,EMMC2_DATA7 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1A8 "IO_PAD_CONFIG_EMMC2_STROBE,PAD EMMC2_STROBE config register" hexmask.long.byte 0x1A8 20.--23. 1. "SP,EMMC2_STROBE PAD Drive Strength for P" hexmask.long.byte 0x1A8 16.--19. 1. "SN,EMMC2_STROBE PAD Drive Strength for N" bitfld.long 0x1A8 12.--14. "RXSEL,EMMC2_STROBE PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1A8 8.--11. 1. "TXPREP,EMMC2_STROBE PAD Slew-Rate control for P" newline hexmask.long.byte 0x1A8 4.--7. 1. "TXPREN,EMMC2_STROBE PAD Slew-Rate control for N" bitfld.long 0x1A8 0.--1. "PULL_EN,EMMC2_STROBE PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1AC "IO_PAD_CONFIG_EMMC2_RESET_N,PAD EMMC2_RESET_N config register" hexmask.long.byte 0x1AC 20.--23. 1. "SP,EMMC2_RESET_N PAD Drive Strength for P" hexmask.long.byte 0x1AC 16.--19. 1. "SN,EMMC2_RESET_N PAD Drive Strength for N" bitfld.long 0x1AC 12.--14. "RXSEL,EMMC2_RESET_N PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1AC 8.--11. 1. "TXPREP,EMMC2_RESET_N PAD Slew-Rate control for P" newline hexmask.long.byte 0x1AC 4.--7. 1. "TXPREN,EMMC2_RESET_N PAD Slew-Rate control for N" bitfld.long 0x1AC 0.--1. "PULL_EN,EMMC2_RESET_N PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" group.long 0x200++0x1AF line.long 0x0 "PIN_MUX_CONFIG_GPIO_C0,PAD GPIO_C0 pin-mux config register" bitfld.long 0x0 12. "FV,GPIO_C0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x0 8.--9. "FIN,GPIO_C0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x0 4. "ODE,GPIO_C0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x0 0.--2. "MUX,GPIO_C0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4 "PIN_MUX_CONFIG_GPIO_C1,PAD GPIO_C1 pin-mux config register" bitfld.long 0x4 12. "FV,GPIO_C1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4 8.--9. "FIN,GPIO_C1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4 4. "ODE,GPIO_C1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x4 0.--2. "MUX,GPIO_C1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8 "PIN_MUX_CONFIG_GPIO_C2,PAD GPIO_C2 pin-mux config register" bitfld.long 0x8 12. "FV,GPIO_C2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8 8.--9. "FIN,GPIO_C2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8 4. "ODE,GPIO_C2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x8 0.--2. "MUX,GPIO_C2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC "PIN_MUX_CONFIG_GPIO_C3,PAD GPIO_C3 pin-mux config register" bitfld.long 0xC 12. "FV,GPIO_C3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC 8.--9. "FIN,GPIO_C3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC 4. "ODE,GPIO_C3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC 0.--2. "MUX,GPIO_C3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10 "PIN_MUX_CONFIG_GPIO_C4,PAD GPIO_C4 pin-mux config register" bitfld.long 0x10 12. "FV,GPIO_C4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10 8.--9. "FIN,GPIO_C4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10 4. "ODE,GPIO_C4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x10 0.--2. "MUX,GPIO_C4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14 "PIN_MUX_CONFIG_GPIO_C5,PAD GPIO_C5 pin-mux config register" bitfld.long 0x14 12. "FV,GPIO_C5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14 8.--9. "FIN,GPIO_C5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14 4. "ODE,GPIO_C5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x14 0.--2. "MUX,GPIO_C5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18 "PIN_MUX_CONFIG_GPIO_C6,PAD GPIO_C6 pin-mux config register" bitfld.long 0x18 12. "FV,GPIO_C6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18 8.--9. "FIN,GPIO_C6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18 4. "ODE,GPIO_C6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x18 0.--2. "MUX,GPIO_C6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1C "PIN_MUX_CONFIG_GPIO_C7,PAD GPIO_C7 pin-mux config register" bitfld.long 0x1C 12. "FV,GPIO_C7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1C 8.--9. "FIN,GPIO_C7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1C 4. "ODE,GPIO_C7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1C 0.--2. "MUX,GPIO_C7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x20 "PIN_MUX_CONFIG_GPIO_C8,PAD GPIO_C8 pin-mux config register" bitfld.long 0x20 12. "FV,GPIO_C8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x20 8.--9. "FIN,GPIO_C8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x20 4. "ODE,GPIO_C8 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x20 0.--2. "MUX,GPIO_C8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x24 "PIN_MUX_CONFIG_GPIO_C9,PAD GPIO_C9 pin-mux config register" bitfld.long 0x24 12. "FV,GPIO_C9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x24 8.--9. "FIN,GPIO_C9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x24 4. "ODE,GPIO_C9 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x24 0.--2. "MUX,GPIO_C9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x28 "PIN_MUX_CONFIG_GPIO_C10,PAD GPIO_C10 pin-mux config register" bitfld.long 0x28 12. "FV,GPIO_C10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x28 8.--9. "FIN,GPIO_C10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x28 4. "ODE,GPIO_C10 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x28 0.--2. "MUX,GPIO_C10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x2C "PIN_MUX_CONFIG_GPIO_C11,PAD GPIO_C11 pin-mux config register" bitfld.long 0x2C 12. "FV,GPIO_C11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x2C 8.--9. "FIN,GPIO_C11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x2C 4. "ODE,GPIO_C11 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x2C 0.--2. "MUX,GPIO_C11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x30 "PIN_MUX_CONFIG_GPIO_C12,PAD GPIO_C12 pin-mux config register" bitfld.long 0x30 12. "FV,GPIO_C12 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x30 8.--9. "FIN,GPIO_C12 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x30 4. "ODE,GPIO_C12 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x30 0.--2. "MUX,GPIO_C12 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x34 "PIN_MUX_CONFIG_GPIO_C13,PAD GPIO_C13 pin-mux config register" bitfld.long 0x34 12. "FV,GPIO_C13 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x34 8.--9. "FIN,GPIO_C13 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x34 4. "ODE,GPIO_C13 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x34 0.--2. "MUX,GPIO_C13 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x38 "PIN_MUX_CONFIG_GPIO_C14,PAD GPIO_C14 pin-mux config register" bitfld.long 0x38 12. "FV,GPIO_C14 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x38 8.--9. "FIN,GPIO_C14 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x38 4. "ODE,GPIO_C14 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x38 0.--2. "MUX,GPIO_C14 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x3C "PIN_MUX_CONFIG_GPIO_C15,PAD GPIO_C15 pin-mux config register" bitfld.long 0x3C 12. "FV,GPIO_C15 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x3C 8.--9. "FIN,GPIO_C15 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x3C 4. "ODE,GPIO_C15 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x3C 0.--2. "MUX,GPIO_C15 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x40 "PIN_MUX_CONFIG_GPIO_D0,PAD GPIO_D0 pin-mux config register" bitfld.long 0x40 12. "FV,GPIO_D0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x40 8.--9. "FIN,GPIO_D0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x40 4. "ODE,GPIO_D0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x40 0.--2. "MUX,GPIO_D0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x44 "PIN_MUX_CONFIG_GPIO_D1,PAD GPIO_D1 pin-mux config register" bitfld.long 0x44 12. "FV,GPIO_D1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x44 8.--9. "FIN,GPIO_D1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x44 4. "ODE,GPIO_D1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x44 0.--2. "MUX,GPIO_D1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x48 "PIN_MUX_CONFIG_GPIO_D2,PAD GPIO_D2 pin-mux config register" bitfld.long 0x48 12. "FV,GPIO_D2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x48 8.--9. "FIN,GPIO_D2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x48 4. "ODE,GPIO_D2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x48 0.--2. "MUX,GPIO_D2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4C "PIN_MUX_CONFIG_GPIO_D3,PAD GPIO_D3 pin-mux config register" bitfld.long 0x4C 12. "FV,GPIO_D3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4C 8.--9. "FIN,GPIO_D3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4C 4. "ODE,GPIO_D3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x4C 0.--2. "MUX,GPIO_D3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x50 "PIN_MUX_CONFIG_GPIO_D4,PAD GPIO_D4 pin-mux config register" bitfld.long 0x50 12. "FV,GPIO_D4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x50 8.--9. "FIN,GPIO_D4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x50 4. "ODE,GPIO_D4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x50 0.--2. "MUX,GPIO_D4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x54 "PIN_MUX_CONFIG_GPIO_D5,PAD GPIO_D5 pin-mux config register" bitfld.long 0x54 12. "FV,GPIO_D5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x54 8.--9. "FIN,GPIO_D5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x54 4. "ODE,GPIO_D5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x54 0.--2. "MUX,GPIO_D5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x58 "PIN_MUX_CONFIG_GPIO_D6,PAD GPIO_D6 pin-mux config register" bitfld.long 0x58 12. "FV,GPIO_D6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x58 8.--9. "FIN,GPIO_D6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x58 4. "ODE,GPIO_D6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x58 0.--2. "MUX,GPIO_D6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x5C "PIN_MUX_CONFIG_GPIO_D7,PAD GPIO_D7 pin-mux config register" bitfld.long 0x5C 12. "FV,GPIO_D7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x5C 8.--9. "FIN,GPIO_D7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x5C 4. "ODE,GPIO_D7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x5C 0.--2. "MUX,GPIO_D7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x60 "PIN_MUX_CONFIG_GPIO_D8,PAD GPIO_D8 pin-mux config register" bitfld.long 0x60 12. "FV,GPIO_D8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x60 8.--9. "FIN,GPIO_D8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x60 4. "ODE,GPIO_D8 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x60 0.--2. "MUX,GPIO_D8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x64 "PIN_MUX_CONFIG_GPIO_D9,PAD GPIO_D9 pin-mux config register" bitfld.long 0x64 12. "FV,GPIO_D9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x64 8.--9. "FIN,GPIO_D9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x64 4. "ODE,GPIO_D9 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x64 0.--2. "MUX,GPIO_D9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x68 "PIN_MUX_CONFIG_GPIO_D10,PAD GPIO_D10 pin-mux config register" bitfld.long 0x68 12. "FV,GPIO_D10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x68 8.--9. "FIN,GPIO_D10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x68 4. "ODE,GPIO_D10 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x68 0.--2. "MUX,GPIO_D10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x6C "PIN_MUX_CONFIG_GPIO_D11,PAD GPIO_D11 pin-mux config register" bitfld.long 0x6C 12. "FV,GPIO_D11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x6C 8.--9. "FIN,GPIO_D11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x6C 4. "ODE,GPIO_D11 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x6C 0.--2. "MUX,GPIO_D11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x70 "PIN_MUX_CONFIG_GPIO_D12,PAD GPIO_D12 pin-mux config register" bitfld.long 0x70 12. "FV,GPIO_D12 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x70 8.--9. "FIN,GPIO_D12 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x70 4. "ODE,GPIO_D12 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x70 0.--2. "MUX,GPIO_D12 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x74 "PIN_MUX_CONFIG_GPIO_D13,PAD GPIO_D13 pin-mux config register" bitfld.long 0x74 12. "FV,GPIO_D13 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x74 8.--9. "FIN,GPIO_D13 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x74 4. "ODE,GPIO_D13 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x74 0.--2. "MUX,GPIO_D13 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x78 "PIN_MUX_CONFIG_GPIO_D14,PAD GPIO_D14 pin-mux config register" bitfld.long 0x78 12. "FV,GPIO_D14 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x78 8.--9. "FIN,GPIO_D14 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x78 4. "ODE,GPIO_D14 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x78 0.--2. "MUX,GPIO_D14 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x7C "PIN_MUX_CONFIG_GPIO_D15,PAD GPIO_D15 pin-mux config register" bitfld.long 0x7C 12. "FV,GPIO_D15 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x7C 8.--9. "FIN,GPIO_D15 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x7C 4. "ODE,GPIO_D15 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x7C 0.--2. "MUX,GPIO_D15 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x80 "PIN_MUX_CONFIG_OSPI2_SCLK,PAD OSPI2_SCLK pin-mux config register" bitfld.long 0x80 12. "FV,OSPI2_SCLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x80 8.--9. "FIN,OSPI2_SCLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x80 4. "ODE,OSPI2_SCLK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x80 0.--2. "MUX,OSPI2_SCLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x84 "PIN_MUX_CONFIG_OSPI2_SS0,PAD OSPI2_SS0 pin-mux config register" bitfld.long 0x84 12. "FV,OSPI2_SS0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x84 8.--9. "FIN,OSPI2_SS0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x84 4. "ODE,OSPI2_SS0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x84 0.--2. "MUX,OSPI2_SS0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x88 "PIN_MUX_CONFIG_OSPI2_DATA0,PAD OSPI2_DATA0 pin-mux config register" bitfld.long 0x88 12. "FV,OSPI2_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x88 8.--9. "FIN,OSPI2_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x88 4. "ODE,OSPI2_DATA0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x88 0.--2. "MUX,OSPI2_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8C "PIN_MUX_CONFIG_OSPI2_DATA1,PAD OSPI2_DATA1 pin-mux config register" bitfld.long 0x8C 12. "FV,OSPI2_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8C 8.--9. "FIN,OSPI2_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8C 4. "ODE,OSPI2_DATA1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x8C 0.--2. "MUX,OSPI2_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x90 "PIN_MUX_CONFIG_OSPI2_DATA2,PAD OSPI2_DATA2 pin-mux config register" bitfld.long 0x90 12. "FV,OSPI2_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x90 8.--9. "FIN,OSPI2_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x90 4. "ODE,OSPI2_DATA2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x90 0.--2. "MUX,OSPI2_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x94 "PIN_MUX_CONFIG_OSPI2_DATA3,PAD OSPI2_DATA3 pin-mux config register" bitfld.long 0x94 12. "FV,OSPI2_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x94 8.--9. "FIN,OSPI2_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x94 4. "ODE,OSPI2_DATA3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x94 0.--2. "MUX,OSPI2_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x98 "PIN_MUX_CONFIG_OSPI2_DATA4,PAD OSPI2_DATA4 pin-mux config register" bitfld.long 0x98 12. "FV,OSPI2_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x98 8.--9. "FIN,OSPI2_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x98 4. "ODE,OSPI2_DATA4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x98 0.--2. "MUX,OSPI2_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x9C "PIN_MUX_CONFIG_OSPI2_DATA5,PAD OSPI2_DATA5 pin-mux config register" bitfld.long 0x9C 12. "FV,OSPI2_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x9C 8.--9. "FIN,OSPI2_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x9C 4. "ODE,OSPI2_DATA5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x9C 0.--2. "MUX,OSPI2_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA0 "PIN_MUX_CONFIG_OSPI2_DATA6,PAD OSPI2_DATA6 pin-mux config register" bitfld.long 0xA0 12. "FV,OSPI2_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA0 8.--9. "FIN,OSPI2_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA0 4. "ODE,OSPI2_DATA6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xA0 0.--2. "MUX,OSPI2_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA4 "PIN_MUX_CONFIG_OSPI2_DATA7,PAD OSPI2_DATA7 pin-mux config register" bitfld.long 0xA4 12. "FV,OSPI2_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA4 8.--9. "FIN,OSPI2_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA4 4. "ODE,OSPI2_DATA7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xA4 0.--2. "MUX,OSPI2_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA8 "PIN_MUX_CONFIG_OSPI2_DQS,PAD OSPI2_DQS pin-mux config register" bitfld.long 0xA8 12. "FV,OSPI2_DQS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA8 8.--9. "FIN,OSPI2_DQS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA8 4. "ODE,OSPI2_DQS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xA8 0.--2. "MUX,OSPI2_DQS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xAC "PIN_MUX_CONFIG_OSPI2_SS1,PAD OSPI2_SS1 pin-mux config register" bitfld.long 0xAC 12. "FV,OSPI2_SS1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xAC 8.--9. "FIN,OSPI2_SS1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xAC 4. "ODE,OSPI2_SS1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xAC 0.--2. "MUX,OSPI2_SS1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB0 "PIN_MUX_CONFIG_RGMII2_TXC,PAD RGMII2_TXC pin-mux config register" bitfld.long 0xB0 12. "FV,RGMII2_TXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB0 8.--9. "FIN,RGMII2_TXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB0 4. "ODE,RGMII2_TXC PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xB0 0.--2. "MUX,RGMII2_TXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB4 "PIN_MUX_CONFIG_RGMII2_TXD0,PAD RGMII2_TXD0 pin-mux config register" bitfld.long 0xB4 12. "FV,RGMII2_TXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB4 8.--9. "FIN,RGMII2_TXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB4 4. "ODE,RGMII2_TXD0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xB4 0.--2. "MUX,RGMII2_TXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB8 "PIN_MUX_CONFIG_RGMII2_TXD1,PAD RGMII2_TXD1 pin-mux config register" bitfld.long 0xB8 12. "FV,RGMII2_TXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB8 8.--9. "FIN,RGMII2_TXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB8 4. "ODE,RGMII2_TXD1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xB8 0.--2. "MUX,RGMII2_TXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xBC "PIN_MUX_CONFIG_RGMII2_TXD2,PAD RGMII2_TXD2 pin-mux config register" bitfld.long 0xBC 12. "FV,RGMII2_TXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xBC 8.--9. "FIN,RGMII2_TXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xBC 4. "ODE,RGMII2_TXD2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xBC 0.--2. "MUX,RGMII2_TXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC0 "PIN_MUX_CONFIG_RGMII2_TXD3,PAD RGMII2_TXD3 pin-mux config register" bitfld.long 0xC0 12. "FV,RGMII2_TXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC0 8.--9. "FIN,RGMII2_TXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC0 4. "ODE,RGMII2_TXD3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC0 0.--2. "MUX,RGMII2_TXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC4 "PIN_MUX_CONFIG_RGMII2_TX_CTL,PAD RGMII2_TX_CTL pin-mux config register" bitfld.long 0xC4 12. "FV,RGMII2_TX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC4 8.--9. "FIN,RGMII2_TX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC4 4. "ODE,RGMII2_TX_CTL PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC4 0.--2. "MUX,RGMII2_TX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC8 "PIN_MUX_CONFIG_RGMII2_RXC,PAD RGMII2_RXC pin-mux config register" bitfld.long 0xC8 12. "FV,RGMII2_RXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC8 8.--9. "FIN,RGMII2_RXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC8 4. "ODE,RGMII2_RXC PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC8 0.--2. "MUX,RGMII2_RXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xCC "PIN_MUX_CONFIG_RGMII2_RXD0,PAD RGMII2_RXD0 pin-mux config register" bitfld.long 0xCC 12. "FV,RGMII2_RXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xCC 8.--9. "FIN,RGMII2_RXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xCC 4. "ODE,RGMII2_RXD0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xCC 0.--2. "MUX,RGMII2_RXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xD0 "PIN_MUX_CONFIG_RGMII2_RXD1,PAD RGMII2_RXD1 pin-mux config register" bitfld.long 0xD0 12. "FV,RGMII2_RXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xD0 8.--9. "FIN,RGMII2_RXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xD0 4. "ODE,RGMII2_RXD1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xD0 0.--2. "MUX,RGMII2_RXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xD4 "PIN_MUX_CONFIG_RGMII2_RXD2,PAD RGMII2_RXD2 pin-mux config register" bitfld.long 0xD4 12. "FV,RGMII2_RXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xD4 8.--9. "FIN,RGMII2_RXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xD4 4. "ODE,RGMII2_RXD2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xD4 0.--2. "MUX,RGMII2_RXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xD8 "PIN_MUX_CONFIG_RGMII2_RXD3,PAD RGMII2_RXD3 pin-mux config register" bitfld.long 0xD8 12. "FV,RGMII2_RXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xD8 8.--9. "FIN,RGMII2_RXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xD8 4. "ODE,RGMII2_RXD3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xD8 0.--2. "MUX,RGMII2_RXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xDC "PIN_MUX_CONFIG_RGMII2_RX_CTL,PAD RGMII2_RX_CTL pin-mux config register" bitfld.long 0xDC 12. "FV,RGMII2_RX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xDC 8.--9. "FIN,RGMII2_RX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xDC 4. "ODE,RGMII2_RX_CTL PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xDC 0.--2. "MUX,RGMII2_RX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xE0 "PIN_MUX_CONFIG_I2S_SC3_SCK,PAD I2S_SC3_SCK pin-mux config register" bitfld.long 0xE0 12. "FV,I2S_SC3_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xE0 8.--9. "FIN,I2S_SC3_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xE0 4. "ODE,I2S_SC3_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xE0 0.--2. "MUX,I2S_SC3_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xE4 "PIN_MUX_CONFIG_I2S_SC3_WS,PAD I2S_SC3_WS pin-mux config register" bitfld.long 0xE4 12. "FV,I2S_SC3_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xE4 8.--9. "FIN,I2S_SC3_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xE4 4. "ODE,I2S_SC3_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xE4 0.--2. "MUX,I2S_SC3_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xE8 "PIN_MUX_CONFIG_I2S_SC3_SD,PAD I2S_SC3_SD pin-mux config register" bitfld.long 0xE8 12. "FV,I2S_SC3_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xE8 8.--9. "FIN,I2S_SC3_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xE8 4. "ODE,I2S_SC3_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xE8 0.--2. "MUX,I2S_SC3_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xEC "PIN_MUX_CONFIG_I2S_SC4_SCK,PAD I2S_SC4_SCK pin-mux config register" bitfld.long 0xEC 12. "FV,I2S_SC4_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xEC 8.--9. "FIN,I2S_SC4_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xEC 4. "ODE,I2S_SC4_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xEC 0.--2. "MUX,I2S_SC4_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xF0 "PIN_MUX_CONFIG_I2S_SC4_WS,PAD I2S_SC4_WS pin-mux config register" bitfld.long 0xF0 12. "FV,I2S_SC4_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xF0 8.--9. "FIN,I2S_SC4_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xF0 4. "ODE,I2S_SC4_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xF0 0.--2. "MUX,I2S_SC4_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xF4 "PIN_MUX_CONFIG_I2S_SC4_SD,PAD I2S_SC4_SD pin-mux config register" bitfld.long 0xF4 12. "FV,I2S_SC4_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xF4 8.--9. "FIN,I2S_SC4_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xF4 4. "ODE,I2S_SC4_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xF4 0.--2. "MUX,I2S_SC4_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xF8 "PIN_MUX_CONFIG_I2S_SC5_SCK,PAD I2S_SC5_SCK pin-mux config register" bitfld.long 0xF8 12. "FV,I2S_SC5_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xF8 8.--9. "FIN,I2S_SC5_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xF8 4. "ODE,I2S_SC5_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xF8 0.--2. "MUX,I2S_SC5_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xFC "PIN_MUX_CONFIG_I2S_SC5_WS,PAD I2S_SC5_WS pin-mux config register" bitfld.long 0xFC 12. "FV,I2S_SC5_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xFC 8.--9. "FIN,I2S_SC5_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xFC 4. "ODE,I2S_SC5_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xFC 0.--2. "MUX,I2S_SC5_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x100 "PIN_MUX_CONFIG_I2S_SC5_SD,PAD I2S_SC5_SD pin-mux config register" bitfld.long 0x100 12. "FV,I2S_SC5_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x100 8.--9. "FIN,I2S_SC5_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x100 4. "ODE,I2S_SC5_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x100 0.--2. "MUX,I2S_SC5_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x104 "PIN_MUX_CONFIG_I2S_SC6_SCK,PAD I2S_SC6_SCK pin-mux config register" bitfld.long 0x104 12. "FV,I2S_SC6_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x104 8.--9. "FIN,I2S_SC6_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x104 4. "ODE,I2S_SC6_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x104 0.--2. "MUX,I2S_SC6_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x108 "PIN_MUX_CONFIG_I2S_SC6_WS,PAD I2S_SC6_WS pin-mux config register" bitfld.long 0x108 12. "FV,I2S_SC6_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x108 8.--9. "FIN,I2S_SC6_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x108 4. "ODE,I2S_SC6_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x108 0.--2. "MUX,I2S_SC6_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10C "PIN_MUX_CONFIG_I2S_SC6_SD,PAD I2S_SC6_SD pin-mux config register" bitfld.long 0x10C 12. "FV,I2S_SC6_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10C 8.--9. "FIN,I2S_SC6_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10C 4. "ODE,I2S_SC6_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x10C 0.--2. "MUX,I2S_SC6_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x110 "PIN_MUX_CONFIG_I2S_SC7_SCK,PAD I2S_SC7_SCK pin-mux config register" bitfld.long 0x110 12. "FV,I2S_SC7_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x110 8.--9. "FIN,I2S_SC7_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x110 4. "ODE,I2S_SC7_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x110 0.--2. "MUX,I2S_SC7_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x114 "PIN_MUX_CONFIG_I2S_SC7_WS,PAD I2S_SC7_WS pin-mux config register" bitfld.long 0x114 12. "FV,I2S_SC7_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x114 8.--9. "FIN,I2S_SC7_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x114 4. "ODE,I2S_SC7_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x114 0.--2. "MUX,I2S_SC7_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x118 "PIN_MUX_CONFIG_I2S_SC7_SD,PAD I2S_SC7_SD pin-mux config register" bitfld.long 0x118 12. "FV,I2S_SC7_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x118 8.--9. "FIN,I2S_SC7_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x118 4. "ODE,I2S_SC7_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x118 0.--2. "MUX,I2S_SC7_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x11C "PIN_MUX_CONFIG_I2S_SC8_SCK,PAD I2S_SC8_SCK pin-mux config register" bitfld.long 0x11C 12. "FV,I2S_SC8_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x11C 8.--9. "FIN,I2S_SC8_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x11C 4. "ODE,I2S_SC8_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x11C 0.--2. "MUX,I2S_SC8_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x120 "PIN_MUX_CONFIG_I2S_SC8_WS,PAD I2S_SC8_WS pin-mux config register" bitfld.long 0x120 12. "FV,I2S_SC8_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x120 8.--9. "FIN,I2S_SC8_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x120 4. "ODE,I2S_SC8_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x120 0.--2. "MUX,I2S_SC8_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x124 "PIN_MUX_CONFIG_I2S_SC8_SD,PAD I2S_SC8_SD pin-mux config register" bitfld.long 0x124 12. "FV,I2S_SC8_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x124 8.--9. "FIN,I2S_SC8_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x124 4. "ODE,I2S_SC8_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x124 0.--2. "MUX,I2S_SC8_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x128 "PIN_MUX_CONFIG_I2S_MC_SCK,PAD I2S_MC_SCK pin-mux config register" bitfld.long 0x128 12. "FV,I2S_MC_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x128 8.--9. "FIN,I2S_MC_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x128 4. "ODE,I2S_MC_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x128 0.--2. "MUX,I2S_MC_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x12C "PIN_MUX_CONFIG_I2S_MC_WS,PAD I2S_MC_WS pin-mux config register" bitfld.long 0x12C 12. "FV,I2S_MC_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x12C 8.--9. "FIN,I2S_MC_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x12C 4. "ODE,I2S_MC_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x12C 0.--2. "MUX,I2S_MC_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x130 "PIN_MUX_CONFIG_I2S_MC_SD0,PAD I2S_MC_SD0 pin-mux config register" bitfld.long 0x130 12. "FV,I2S_MC_SD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x130 8.--9. "FIN,I2S_MC_SD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x130 4. "ODE,I2S_MC_SD0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x130 0.--2. "MUX,I2S_MC_SD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x134 "PIN_MUX_CONFIG_I2S_MC_SD1,PAD I2S_MC_SD1 pin-mux config register" bitfld.long 0x134 12. "FV,I2S_MC_SD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x134 8.--9. "FIN,I2S_MC_SD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x134 4. "ODE,I2S_MC_SD1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x134 0.--2. "MUX,I2S_MC_SD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x138 "PIN_MUX_CONFIG_I2S_MC_SD2,PAD I2S_MC_SD2 pin-mux config register" bitfld.long 0x138 12. "FV,I2S_MC_SD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x138 8.--9. "FIN,I2S_MC_SD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x138 4. "ODE,I2S_MC_SD2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x138 0.--2. "MUX,I2S_MC_SD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x13C "PIN_MUX_CONFIG_I2S_MC_SD3,PAD I2S_MC_SD3 pin-mux config register" bitfld.long 0x13C 12. "FV,I2S_MC_SD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x13C 8.--9. "FIN,I2S_MC_SD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x13C 4. "ODE,I2S_MC_SD3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x13C 0.--2. "MUX,I2S_MC_SD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x140 "PIN_MUX_CONFIG_I2S_MC_SD4,PAD I2S_MC_SD4 pin-mux config register" bitfld.long 0x140 12. "FV,I2S_MC_SD4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x140 8.--9. "FIN,I2S_MC_SD4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x140 4. "ODE,I2S_MC_SD4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x140 0.--2. "MUX,I2S_MC_SD4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x144 "PIN_MUX_CONFIG_I2S_MC_SD5,PAD I2S_MC_SD5 pin-mux config register" bitfld.long 0x144 12. "FV,I2S_MC_SD5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x144 8.--9. "FIN,I2S_MC_SD5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x144 4. "ODE,I2S_MC_SD5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x144 0.--2. "MUX,I2S_MC_SD5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x148 "PIN_MUX_CONFIG_I2S_MC_SD6,PAD I2S_MC_SD6 pin-mux config register" bitfld.long 0x148 12. "FV,I2S_MC_SD6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x148 8.--9. "FIN,I2S_MC_SD6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x148 4. "ODE,I2S_MC_SD6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x148 0.--2. "MUX,I2S_MC_SD6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14C "PIN_MUX_CONFIG_I2S_MC_SD7,PAD I2S_MC_SD7 pin-mux config register" bitfld.long 0x14C 12. "FV,I2S_MC_SD7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14C 8.--9. "FIN,I2S_MC_SD7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14C 4. "ODE,I2S_MC_SD7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x14C 0.--2. "MUX,I2S_MC_SD7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x150 "PIN_MUX_CONFIG_EMMC1_CLK,PAD EMMC1_CLK pin-mux config register" bitfld.long 0x150 12. "FV,EMMC1_CLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x150 8.--9. "FIN,EMMC1_CLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x150 4. "ODE,EMMC1_CLK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x150 0.--2. "MUX,EMMC1_CLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x154 "PIN_MUX_CONFIG_EMMC1_CMD,PAD EMMC1_CMD pin-mux config register" bitfld.long 0x154 12. "FV,EMMC1_CMD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x154 8.--9. "FIN,EMMC1_CMD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x154 4. "ODE,EMMC1_CMD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x154 0.--2. "MUX,EMMC1_CMD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x158 "PIN_MUX_CONFIG_EMMC1_DATA0,PAD EMMC1_DATA0 pin-mux config register" bitfld.long 0x158 12. "FV,EMMC1_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x158 8.--9. "FIN,EMMC1_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x158 4. "ODE,EMMC1_DATA0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x158 0.--2. "MUX,EMMC1_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x15C "PIN_MUX_CONFIG_EMMC1_DATA1,PAD EMMC1_DATA1 pin-mux config register" bitfld.long 0x15C 12. "FV,EMMC1_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x15C 8.--9. "FIN,EMMC1_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x15C 4. "ODE,EMMC1_DATA1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x15C 0.--2. "MUX,EMMC1_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x160 "PIN_MUX_CONFIG_EMMC1_DATA2,PAD EMMC1_DATA2 pin-mux config register" bitfld.long 0x160 12. "FV,EMMC1_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x160 8.--9. "FIN,EMMC1_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x160 4. "ODE,EMMC1_DATA2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x160 0.--2. "MUX,EMMC1_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x164 "PIN_MUX_CONFIG_EMMC1_DATA3,PAD EMMC1_DATA3 pin-mux config register" bitfld.long 0x164 12. "FV,EMMC1_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x164 8.--9. "FIN,EMMC1_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x164 4. "ODE,EMMC1_DATA3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x164 0.--2. "MUX,EMMC1_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x168 "PIN_MUX_CONFIG_EMMC1_DATA4,PAD EMMC1_DATA4 pin-mux config register" bitfld.long 0x168 12. "FV,EMMC1_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x168 8.--9. "FIN,EMMC1_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x168 4. "ODE,EMMC1_DATA4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x168 0.--2. "MUX,EMMC1_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x16C "PIN_MUX_CONFIG_EMMC1_DATA5,PAD EMMC1_DATA5 pin-mux config register" bitfld.long 0x16C 12. "FV,EMMC1_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x16C 8.--9. "FIN,EMMC1_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x16C 4. "ODE,EMMC1_DATA5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x16C 0.--2. "MUX,EMMC1_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x170 "PIN_MUX_CONFIG_EMMC1_DATA6,PAD EMMC1_DATA6 pin-mux config register" bitfld.long 0x170 12. "FV,EMMC1_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x170 8.--9. "FIN,EMMC1_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x170 4. "ODE,EMMC1_DATA6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x170 0.--2. "MUX,EMMC1_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x174 "PIN_MUX_CONFIG_EMMC1_DATA7,PAD EMMC1_DATA7 pin-mux config register" bitfld.long 0x174 12. "FV,EMMC1_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x174 8.--9. "FIN,EMMC1_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x174 4. "ODE,EMMC1_DATA7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x174 0.--2. "MUX,EMMC1_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x178 "PIN_MUX_CONFIG_EMMC1_STROBE,PAD EMMC1_STROBE pin-mux config register" bitfld.long 0x178 12. "FV,EMMC1_STROBE PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x178 8.--9. "FIN,EMMC1_STROBE PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x178 4. "ODE,EMMC1_STROBE PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x178 0.--2. "MUX,EMMC1_STROBE PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x17C "PIN_MUX_CONFIG_EMMC1_RESET_N,PAD EMMC1_RESET_N pin-mux config register" bitfld.long 0x17C 12. "FV,EMMC1_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x17C 8.--9. "FIN,EMMC1_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x17C 4. "ODE,EMMC1_RESET_N PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x17C 0.--2. "MUX,EMMC1_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x180 "PIN_MUX_CONFIG_EMMC2_CLK,PAD EMMC2_CLK pin-mux config register" bitfld.long 0x180 12. "FV,EMMC2_CLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x180 8.--9. "FIN,EMMC2_CLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x180 4. "ODE,EMMC2_CLK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x180 0.--2. "MUX,EMMC2_CLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x184 "PIN_MUX_CONFIG_EMMC2_CMD,PAD EMMC2_CMD pin-mux config register" bitfld.long 0x184 12. "FV,EMMC2_CMD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x184 8.--9. "FIN,EMMC2_CMD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x184 4. "ODE,EMMC2_CMD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x184 0.--2. "MUX,EMMC2_CMD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x188 "PIN_MUX_CONFIG_EMMC2_DATA0,PAD EMMC2_DATA0 pin-mux config register" bitfld.long 0x188 12. "FV,EMMC2_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x188 8.--9. "FIN,EMMC2_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x188 4. "ODE,EMMC2_DATA0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x188 0.--2. "MUX,EMMC2_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18C "PIN_MUX_CONFIG_EMMC2_DATA1,PAD EMMC2_DATA1 pin-mux config register" bitfld.long 0x18C 12. "FV,EMMC2_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18C 8.--9. "FIN,EMMC2_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18C 4. "ODE,EMMC2_DATA1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x18C 0.--2. "MUX,EMMC2_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x190 "PIN_MUX_CONFIG_EMMC2_DATA2,PAD EMMC2_DATA2 pin-mux config register" bitfld.long 0x190 12. "FV,EMMC2_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x190 8.--9. "FIN,EMMC2_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x190 4. "ODE,EMMC2_DATA2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x190 0.--2. "MUX,EMMC2_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x194 "PIN_MUX_CONFIG_EMMC2_DATA3,PAD EMMC2_DATA3 pin-mux config register" bitfld.long 0x194 12. "FV,EMMC2_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x194 8.--9. "FIN,EMMC2_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x194 4. "ODE,EMMC2_DATA3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x194 0.--2. "MUX,EMMC2_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x198 "PIN_MUX_CONFIG_EMMC2_DATA4,PAD EMMC2_DATA4 pin-mux config register" bitfld.long 0x198 12. "FV,EMMC2_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x198 8.--9. "FIN,EMMC2_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x198 4. "ODE,EMMC2_DATA4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x198 0.--2. "MUX,EMMC2_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x19C "PIN_MUX_CONFIG_EMMC2_DATA5,PAD EMMC2_DATA5 pin-mux config register" bitfld.long 0x19C 12. "FV,EMMC2_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x19C 8.--9. "FIN,EMMC2_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x19C 4. "ODE,EMMC2_DATA5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x19C 0.--2. "MUX,EMMC2_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1A0 "PIN_MUX_CONFIG_EMMC2_DATA6,PAD EMMC2_DATA6 pin-mux config register" bitfld.long 0x1A0 12. "FV,EMMC2_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1A0 8.--9. "FIN,EMMC2_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1A0 4. "ODE,EMMC2_DATA6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1A0 0.--2. "MUX,EMMC2_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1A4 "PIN_MUX_CONFIG_EMMC2_DATA7,PAD EMMC2_DATA7 pin-mux config register" bitfld.long 0x1A4 12. "FV,EMMC2_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1A4 8.--9. "FIN,EMMC2_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1A4 4. "ODE,EMMC2_DATA7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1A4 0.--2. "MUX,EMMC2_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1A8 "PIN_MUX_CONFIG_EMMC2_STROBE,PAD EMMC2_STROBE pin-mux config register" bitfld.long 0x1A8 12. "FV,EMMC2_STROBE PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1A8 8.--9. "FIN,EMMC2_STROBE PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1A8 4. "ODE,EMMC2_STROBE PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1A8 0.--2. "MUX,EMMC2_STROBE PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1AC "PIN_MUX_CONFIG_EMMC2_RESET_N,PAD EMMC2_RESET_N pin-mux config register" bitfld.long 0x1AC 12. "FV,EMMC2_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1AC 8.--9. "FIN,EMMC2_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1AC 4. "ODE,EMMC2_RESET_N PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1AC 0.--2. "MUX,EMMC2_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" group.long 0x400++0x19B line.long 0x0 "INPUT_SOURCE_SELECT_I2C5_SCL,I2C5_SCL input source select register" bitfld.long 0x0 0. "SRC_SEL,source select" "0,1" line.long 0x4 "INPUT_SOURCE_SELECT_SPI6_SCLK,SPI6_SCLK input source select register" bitfld.long 0x4 0. "SRC_SEL,source select" "0,1" line.long 0x8 "INPUT_SOURCE_SELECT_MSHC4_CARD_DET_N,MSHC4_CARD_DET_N input source select register" bitfld.long 0x8 0. "SRC_SEL,source select" "0,1" line.long 0xC "INPUT_SOURCE_SELECT_I2C5_SDA,I2C5_SDA input source select register" bitfld.long 0xC 0. "SRC_SEL,source select" "0,1" line.long 0x10 "INPUT_SOURCE_SELECT_SPI6_MISO,SPI6_MISO input source select register" bitfld.long 0x10 0. "SRC_SEL,source select" "0,1" line.long 0x14 "INPUT_SOURCE_SELECT_CANFD5_RX,CANFD5_RX input source select register" bitfld.long 0x14 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x18 "INPUT_SOURCE_SELECT_MSHC4_WP,MSHC4_WP input source select register" bitfld.long 0x18 0. "SRC_SEL,source select" "0,1" line.long 0x1C "INPUT_SOURCE_SELECT_USB_SS_USB1_OC,USB_SS_USB1_OC input source select register" bitfld.long 0x1C 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x20 "INPUT_SOURCE_SELECT_I2C6_SCL,I2C6_SCL input source select register" bitfld.long 0x20 0. "SRC_SEL,source select" "0,1" line.long 0x24 "INPUT_SOURCE_SELECT_SPI6_MOSI,SPI6_MOSI input source select register" bitfld.long 0x24 0. "SRC_SEL,source select" "0,1" line.long 0x28 "INPUT_SOURCE_SELECT_I2C6_SDA,I2C6_SDA input source select register" bitfld.long 0x28 0. "SRC_SEL,source select" "0,1" line.long 0x2C "INPUT_SOURCE_SELECT_SPI6_SS,SPI6_SS input source select register" bitfld.long 0x2C 0. "SRC_SEL,source select" "0,1" line.long 0x30 "INPUT_SOURCE_SELECT_CANFD6_RX,CANFD6_RX input source select register" bitfld.long 0x30 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x34 "INPUT_SOURCE_SELECT_USB_SS_USB2_OC,USB_SS_USB2_OC input source select register" bitfld.long 0x34 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x38 "INPUT_SOURCE_SELECT_I2C7_SCL,I2C7_SCL input source select register" bitfld.long 0x38 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x3C "INPUT_SOURCE_SELECT_MSHC3_CARD_DET_N,MSHC3_CARD_DET_N input source select register" bitfld.long 0x3C 0. "SRC_SEL,source select" "0,1" line.long 0x40 "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX2_CLKREQ_N,PCIE_SS_PCIEX2_CLKREQ_N input source select register" bitfld.long 0x40 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x44 "INPUT_SOURCE_SELECT_I2C7_SDA,I2C7_SDA input source select register" bitfld.long 0x44 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x48 "INPUT_SOURCE_SELECT_MSHC3_WP,MSHC3_WP input source select register" bitfld.long 0x48 0. "SRC_SEL,source select" "0,1" line.long 0x4C "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX1_CLKREQ_N,PCIE_SS_PCIEX1_CLKREQ_N input source select register" bitfld.long 0x4C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x50 "INPUT_SOURCE_SELECT_I2C8_SCL,I2C8_SCL input source select register" bitfld.long 0x50 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x54 "INPUT_SOURCE_SELECT_ENET2_MDIO,ENET2_MDIO input source select register" bitfld.long 0x54 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x58 "INPUT_SOURCE_SELECT_MSHC3_VOLT_SW,MSHC3_VOLT_SW input source select register" bitfld.long 0x58 0. "SRC_SEL,source select" "0,1" line.long 0x5C "INPUT_SOURCE_SELECT_I2C8_SDA,I2C8_SDA input source select register" bitfld.long 0x5C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x60 "INPUT_SOURCE_SELECT_SPI5_SCLK,SPI5_SCLK input source select register" bitfld.long 0x60 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x64 "INPUT_SOURCE_SELECT_SPI5_MISO,SPI5_MISO input source select register" bitfld.long 0x64 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x68 "INPUT_SOURCE_SELECT_UART11_RX,UART11_RX input source select register" bitfld.long 0x68 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x6C "INPUT_SOURCE_SELECT_SPI5_MOSI,SPI5_MOSI input source select register" bitfld.long 0x6C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x70 "INPUT_SOURCE_SELECT_SPI5_SS,SPI5_SS input source select register" bitfld.long 0x70 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x74 "INPUT_SOURCE_SELECT_UART12_RX,UART12_RX input source select register" bitfld.long 0x74 0. "SRC_SEL,source select" "0,1" line.long 0x78 "INPUT_SOURCE_SELECT_I2C13_SCL,I2C13_SCL input source select register" bitfld.long 0x78 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x7C "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX1_PERST_N,PCIE_SS_PCIEX1_PERST_N input source select register" bitfld.long 0x7C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x80 "INPUT_SOURCE_SELECT_I2C13_SDA,I2C13_SDA input source select register" bitfld.long 0x80 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x84 "INPUT_SOURCE_SELECT_CANFD7_RX,CANFD7_RX input source select register" bitfld.long 0x84 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x88 "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX1_WAKE_N,PCIE_SS_PCIEX1_WAKE_N input source select register" bitfld.long 0x88 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x8C "INPUT_SOURCE_SELECT_I2C14_SCL,I2C14_SCL input source select register" bitfld.long 0x8C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x90 "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX2_PERST_N,PCIE_SS_PCIEX2_PERST_N input source select register" bitfld.long 0x90 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x94 "INPUT_SOURCE_SELECT_I2C14_SDA,I2C14_SDA input source select register" bitfld.long 0x94 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x98 "INPUT_SOURCE_SELECT_CANFD8_RX,CANFD8_RX input source select register" bitfld.long 0x98 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x9C "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX2_WAKE_N,PCIE_SS_PCIEX2_WAKE_N input source select register" bitfld.long 0x9C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA0 "INPUT_SOURCE_SELECT_SPI8_SCLK,SPI8_SCLK input source select register" bitfld.long 0xA0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA4 "INPUT_SOURCE_SELECT_SPI8_MISO,SPI8_MISO input source select register" bitfld.long 0xA4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA8 "INPUT_SOURCE_SELECT_SPI8_MOSI,SPI8_MOSI input source select register" bitfld.long 0xA8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xAC "INPUT_SOURCE_SELECT_SPDIF3_IN,SPDIF3_IN input source select register" bitfld.long 0xAC 0. "SRC_SEL,source select" "0,1" line.long 0xB0 "INPUT_SOURCE_SELECT_SPI8_SS,SPI8_SS input source select register" bitfld.long 0xB0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB4 "INPUT_SOURCE_SELECT_UART13_RX,UART13_RX input source select register" bitfld.long 0xB4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB8 "INPUT_SOURCE_SELECT_UART14_CTS,UART14_CTS input source select register" bitfld.long 0xB8 0. "SRC_SEL,source select" "0,1" line.long 0xBC "INPUT_SOURCE_SELECT_I2C12_SCL,I2C12_SCL input source select register" bitfld.long 0xBC 0. "SRC_SEL,source select" "0,1" line.long 0xC0 "INPUT_SOURCE_SELECT_SPDIF4_IN,SPDIF4_IN input source select register" bitfld.long 0xC0 0. "SRC_SEL,source select" "0,1" line.long 0xC4 "INPUT_SOURCE_SELECT_UART14_RX,UART14_RX input source select register" bitfld.long 0xC4 0. "SRC_SEL,source select" "0,1" line.long 0xC8 "INPUT_SOURCE_SELECT_I2C12_SDA,I2C12_SDA input source select register" bitfld.long 0xC8 0. "SRC_SEL,source select" "0,1" line.long 0xCC "INPUT_SOURCE_SELECT_SPI7_SCLK,SPI7_SCLK input source select register" bitfld.long 0xCC 0. "SRC_SEL,source select" "0,1" line.long 0xD0 "INPUT_SOURCE_SELECT_SPI7_MISO,SPI7_MISO input source select register" bitfld.long 0xD0 0. "SRC_SEL,source select" "0,1" line.long 0xD4 "INPUT_SOURCE_SELECT_UART15_RX,UART15_RX input source select register" bitfld.long 0xD4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xD8 "INPUT_SOURCE_SELECT_UART16_CTS,UART16_CTS input source select register" bitfld.long 0xD8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xDC "INPUT_SOURCE_SELECT_SPI7_MOSI,SPI7_MOSI input source select register" bitfld.long 0xDC 0. "SRC_SEL,source select" "0,1" line.long 0xE0 "INPUT_SOURCE_SELECT_TIMER6_CH2,TIMER6_CH2 input source select register" bitfld.long 0xE0 0. "SRC_SEL,source select" "0,1" line.long 0xE4 "INPUT_SOURCE_SELECT_SPI7_SS,SPI7_SS input source select register" bitfld.long 0xE4 0. "SRC_SEL,source select" "0,1" line.long 0xE8 "INPUT_SOURCE_SELECT_UART16_RX,UART16_RX input source select register" bitfld.long 0xE8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xEC "INPUT_SOURCE_SELECT_TIMER6_CH3,TIMER6_CH3 input source select register" bitfld.long 0xEC 0. "SRC_SEL,source select" "0,1" line.long 0xF0 "INPUT_SOURCE_SELECT_I2C15_SCL,I2C15_SCL input source select register" bitfld.long 0xF0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xF4 "INPUT_SOURCE_SELECT_I2C15_SDA,I2C15_SDA input source select register" bitfld.long 0xF4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xF8 "INPUT_SOURCE_SELECT_I2C16_SCL,I2C16_SCL input source select register" bitfld.long 0xF8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xFC "INPUT_SOURCE_SELECT_I2C16_SDA,I2C16_SDA input source select register" bitfld.long 0xFC 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x100 "INPUT_SOURCE_SELECT_I2S_MC2_SCKO,I2S_MC2_SCKO input source select register" bitfld.long 0x100 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x104 "INPUT_SOURCE_SELECT_I2S_MC2_WSO,I2S_MC2_WSO input source select register" bitfld.long 0x104 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x108 "INPUT_SOURCE_SELECT_I2S_MC2_SDI0_SDO0,I2S_MC2_SDI0_SDO0 input source select register" bitfld.long 0x108 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x10C "INPUT_SOURCE_SELECT_I2S_MC2_SDI1_SDO1,I2S_MC2_SDI1_SDO1 input source select register" bitfld.long 0x10C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x110 "INPUT_SOURCE_SELECT_I2S_MC2_SDI2_SDO2,I2S_MC2_SDI2_SDO2 input source select register" bitfld.long 0x110 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x114 "INPUT_SOURCE_SELECT_I2S_MC2_SDI3_SDO3,I2S_MC2_SDI3_SDO3 input source select register" bitfld.long 0x114 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x118 "INPUT_SOURCE_SELECT_I2S_MC2_SDI4_SDO4,I2S_MC2_SDI4_SDO4 input source select register" bitfld.long 0x118 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x11C "INPUT_SOURCE_SELECT_I2S_MC2_SDI5_SDO5,I2S_MC2_SDI5_SDO5 input source select register" bitfld.long 0x11C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x120 "INPUT_SOURCE_SELECT_I2S_MC2_SDI6_SDO6,I2S_MC2_SDI6_SDO6 input source select register" bitfld.long 0x120 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x124 "INPUT_SOURCE_SELECT_I2S_MC2_SDI7_SDO7,I2S_MC2_SDI7_SDO7 input source select register" bitfld.long 0x124 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x128 "INPUT_SOURCE_SELECT_OSPI2_DQS,OSPI2_DQS input source select register" bitfld.long 0x128 0. "SRC_SEL,source select" "0,1" line.long 0x12C "INPUT_SOURCE_SELECT_I2S_MC2_WSI,I2S_MC2_WSI input source select register" bitfld.long 0x12C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x130 "INPUT_SOURCE_SELECT_I2S_MC2_SCKI,I2S_MC2_SCKI input source select register" bitfld.long 0x130 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x134 "INPUT_SOURCE_SELECT_I2S_SC3_SCK,I2S_SC3_SCK input source select register" bitfld.long 0x134 0. "SRC_SEL,source select" "0,1" line.long 0x138 "INPUT_SOURCE_SELECT_I2S_SC3_WS,I2S_SC3_WS input source select register" bitfld.long 0x138 0. "SRC_SEL,source select" "0,1" line.long 0x13C "INPUT_SOURCE_SELECT_I2S_SC3_SDI_SDO,I2S_SC3_SDI_SDO input source select register" bitfld.long 0x13C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x140 "INPUT_SOURCE_SELECT_I2S_SC4_SCK,I2S_SC4_SCK input source select register" bitfld.long 0x140 0. "SRC_SEL,source select" "0,1" line.long 0x144 "INPUT_SOURCE_SELECT_I2S_SC4_WS,I2S_SC4_WS input source select register" bitfld.long 0x144 0. "SRC_SEL,source select" "0,1" line.long 0x148 "INPUT_SOURCE_SELECT_I2S_SC4_SDI_SDO,I2S_SC4_SDI_SDO input source select register" bitfld.long 0x148 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x14C "INPUT_SOURCE_SELECT_I2S_SC6_SDI_SDO,I2S_SC6_SDI_SDO input source select register" bitfld.long 0x14C 0. "SRC_SEL,source select" "0,1" line.long 0x150 "INPUT_SOURCE_SELECT_I2S_SC5_SDI_SDO,I2S_SC5_SDI_SDO input source select register" bitfld.long 0x150 0. "SRC_SEL,source select" "0,1" line.long 0x154 "INPUT_SOURCE_SELECT_I2S_SC7_SCK,I2S_SC7_SCK input source select register" bitfld.long 0x154 0. "SRC_SEL,source select" "0,1" line.long 0x158 "INPUT_SOURCE_SELECT_I2S_MC1_SCKO,I2S_MC1_SCKO input source select register" bitfld.long 0x158 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x15C "INPUT_SOURCE_SELECT_I2S_SC7_WS,I2S_SC7_WS input source select register" bitfld.long 0x15C 0. "SRC_SEL,source select" "0,1" line.long 0x160 "INPUT_SOURCE_SELECT_I2S_MC1_WSO,I2S_MC1_WSO input source select register" bitfld.long 0x160 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x164 "INPUT_SOURCE_SELECT_I2S_SC8_SDI_SDO,I2S_SC8_SDI_SDO input source select register" bitfld.long 0x164 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x168 "INPUT_SOURCE_SELECT_I2S_MC1_SDI4_SDO4,I2S_MC1_SDI4_SDO4 input source select register" bitfld.long 0x168 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x16C "INPUT_SOURCE_SELECT_I2S_SC8_SCK,I2S_SC8_SCK input source select register" bitfld.long 0x16C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x170 "INPUT_SOURCE_SELECT_I2S_MC1_SDI5_SDO5,I2S_MC1_SDI5_SDO5 input source select register" bitfld.long 0x170 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x174 "INPUT_SOURCE_SELECT_I2S_SC8_WS,I2S_SC8_WS input source select register" bitfld.long 0x174 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x178 "INPUT_SOURCE_SELECT_I2S_MC1_SDI6_SDO6,I2S_MC1_SDI6_SDO6 input source select register" bitfld.long 0x178 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x17C "INPUT_SOURCE_SELECT_I2S_SC7_SDI_SDO,I2S_SC7_SDI_SDO input source select register" bitfld.long 0x17C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x180 "INPUT_SOURCE_SELECT_I2S_MC1_SDI7_SDO7,I2S_MC1_SDI7_SDO7 input source select register" bitfld.long 0x180 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x184 "INPUT_SOURCE_SELECT_I2S_MC1_SCKI,I2S_MC1_SCKI input source select register" bitfld.long 0x184 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x188 "INPUT_SOURCE_SELECT_I2S_MC1_WSI,I2S_MC1_WSI input source select register" bitfld.long 0x188 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x18C "INPUT_SOURCE_SELECT_I2S_MC1_SDI0_SDO0,I2S_MC1_SDI0_SDO0 input source select register" bitfld.long 0x18C 0. "SRC_SEL,source select" "0,1" line.long 0x190 "INPUT_SOURCE_SELECT_I2S_MC1_SDI1_SDO1,I2S_MC1_SDI1_SDO1 input source select register" bitfld.long 0x190 0. "SRC_SEL,source select" "0,1" line.long 0x194 "INPUT_SOURCE_SELECT_I2S_MC1_SDI2_SDO2,I2S_MC1_SDI2_SDO2 input source select register" bitfld.long 0x194 0. "SRC_SEL,source select" "0,1" line.long 0x198 "INPUT_SOURCE_SELECT_I2S_MC1_SDI3_SDO3,I2S_MC1_SDI3_SDO3 input source select register" bitfld.long 0x198 0. "SRC_SEL,source select" "0,1" tree.end tree "PINCTRL_RTC" base ad:0xF1860000 group.long 0x0++0x2F line.long 0x0 "IO_PAD_CONFIG_SYS_MODE0,PAD SYS_MODE0 config register" bitfld.long 0x0 16. "POE,SYS_MODE0 PAD Parametric output enable" "0,1" bitfld.long 0x0 12. "IS,SYS_MODE0 PAD Input select" "0,1" line.long 0x4 "IO_PAD_CONFIG_SYS_MODE1,PAD SYS_MODE1 config register" bitfld.long 0x4 16. "POE,SYS_MODE1 PAD Parametric output enable" "0,1" bitfld.long 0x4 12. "IS,SYS_MODE1 PAD Input select" "0,1" line.long 0x8 "IO_PAD_CONFIG_SYS_RESET_N,PAD SYS_RESET_N config register" bitfld.long 0x8 16. "POE,SYS_RESET_N PAD Parametric output enable" "0,1" bitfld.long 0x8 12. "IS,SYS_RESET_N PAD Input select" "0,1" line.long 0xC "IO_PAD_CONFIG_AP_RESET_N,PAD AP_RESET_N config register" bitfld.long 0xC 16. "POE,AP_RESET_N PAD Parametric output enable" "0,1" bitfld.long 0xC 12. "IS,AP_RESET_N PAD Input select" "0,1" line.long 0x10 "IO_PAD_CONFIG_RTC_RESET_N,PAD RTC_RESET_N config register" bitfld.long 0x10 16. "POE,RTC_RESET_N PAD Parametric output enable" "0,1" bitfld.long 0x10 12. "IS,RTC_RESET_N PAD Input select" "0,1" line.long 0x14 "IO_PAD_CONFIG_SYS_PWR_ON,PAD SYS_PWR_ON config register" bitfld.long 0x14 16. "POE,SYS_PWR_ON PAD Parametric output enable" "0,1" bitfld.long 0x14 12. "IS,SYS_PWR_ON PAD Input select" "0,1" bitfld.long 0x14 8. "SR,SYS_PWR_ON PAD Slew rate" "0,1" bitfld.long 0x14 4.--5. "DS,SYS_PWR_ON PAD Driver select" "0,1,2,3" newline bitfld.long 0x14 1. "PS,SYS_PWR_ON PAD Pull select" "0,1" bitfld.long 0x14 0. "PE,SYS_PWR_ON PAD Pull enable" "0,1" line.long 0x18 "IO_PAD_CONFIG_SYS_WAKEUP0,PAD SYS_WAKEUP0 config register" bitfld.long 0x18 16. "POE,SYS_WAKEUP0 PAD Parametric output enable" "0,1" bitfld.long 0x18 12. "IS,SYS_WAKEUP0 PAD Input select" "0,1" bitfld.long 0x18 8. "SR,SYS_WAKEUP0 PAD Slew rate" "0,1" bitfld.long 0x18 4.--5. "DS,SYS_WAKEUP0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x18 1. "PS,SYS_WAKEUP0 PAD Pull select" "0,1" bitfld.long 0x18 0. "PE,SYS_WAKEUP0 PAD Pull enable" "0,1" line.long 0x1C "IO_PAD_CONFIG_SYS_WAKEUP1,PAD SYS_WAKEUP1 config register" bitfld.long 0x1C 16. "POE,SYS_WAKEUP1 PAD Parametric output enable" "0,1" bitfld.long 0x1C 12. "IS,SYS_WAKEUP1 PAD Input select" "0,1" bitfld.long 0x1C 8. "SR,SYS_WAKEUP1 PAD Slew rate" "0,1" bitfld.long 0x1C 4.--5. "DS,SYS_WAKEUP1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x1C 1. "PS,SYS_WAKEUP1 PAD Pull select" "0,1" bitfld.long 0x1C 0. "PE,SYS_WAKEUP1 PAD Pull enable" "0,1" line.long 0x20 "IO_PAD_CONFIG_SYS_CTRL0,PAD SYS_CTRL0 config register" bitfld.long 0x20 16. "POE,SYS_CTRL0 PAD Parametric output enable" "0,1" bitfld.long 0x20 12. "IS,SYS_CTRL0 PAD Input select" "0,1" bitfld.long 0x20 8. "SR,SYS_CTRL0 PAD Slew rate" "0,1" bitfld.long 0x20 4.--5. "DS,SYS_CTRL0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x20 1. "PS,SYS_CTRL0 PAD Pull select" "0,1" bitfld.long 0x20 0. "PE,SYS_CTRL0 PAD Pull enable" "0,1" line.long 0x24 "IO_PAD_CONFIG_SYS_CTRL1,PAD SYS_CTRL1 config register" bitfld.long 0x24 16. "POE,SYS_CTRL1 PAD Parametric output enable" "0,1" bitfld.long 0x24 12. "IS,SYS_CTRL1 PAD Input select" "0,1" bitfld.long 0x24 8. "SR,SYS_CTRL1 PAD Slew rate" "0,1" bitfld.long 0x24 4.--5. "DS,SYS_CTRL1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x24 1. "PS,SYS_CTRL1 PAD Pull select" "0,1" bitfld.long 0x24 0. "PE,SYS_CTRL1 PAD Pull enable" "0,1" line.long 0x28 "IO_PAD_CONFIG_SYS_CTRL2,PAD SYS_CTRL2 config register" bitfld.long 0x28 16. "POE,SYS_CTRL2 PAD Parametric output enable" "0,1" bitfld.long 0x28 12. "IS,SYS_CTRL2 PAD Input select" "0,1" bitfld.long 0x28 8. "SR,SYS_CTRL2 PAD Slew rate" "0,1" bitfld.long 0x28 4.--5. "DS,SYS_CTRL2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x28 1. "PS,SYS_CTRL2 PAD Pull select" "0,1" bitfld.long 0x28 0. "PE,SYS_CTRL2 PAD Pull enable" "0,1" line.long 0x2C "IO_PAD_CONFIG_SYS_CTRL3,PAD SYS_CTRL3 config register" bitfld.long 0x2C 16. "POE,SYS_CTRL3 PAD Parametric output enable" "0,1" bitfld.long 0x2C 12. "IS,SYS_CTRL3 PAD Input select" "0,1" bitfld.long 0x2C 8. "SR,SYS_CTRL3 PAD Slew rate" "0,1" bitfld.long 0x2C 4.--5. "DS,SYS_CTRL3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x2C 1. "PS,SYS_CTRL3 PAD Pull select" "0,1" bitfld.long 0x2C 0. "PE,SYS_CTRL3 PAD Pull enable" "0,1" group.long 0x200++0x2B line.long 0x0 "PIN_MUX_CONFIG_SYS_MODE0,PAD SYS_MODE0 pin-mux config register" bitfld.long 0x0 12. "FV,SYS_MODE0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x0 8.--9. "FIN,SYS_MODE0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x0 4. "ODE,SYS_MODE0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x0 0.--2. "MUX,SYS_MODE0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4 "PIN_MUX_CONFIG_SYS_MODE1,PAD SYS_MODE1 pin-mux config register" bitfld.long 0x4 12. "FV,SYS_MODE1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4 8.--9. "FIN,SYS_MODE1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4 4. "ODE,SYS_MODE1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x4 0.--2. "MUX,SYS_MODE1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8 "PIN_MUX_CONFIG_SYS_RESET_N,PAD SYS_RESET_N pin-mux config register" bitfld.long 0x8 12. "FV,SYS_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8 8.--9. "FIN,SYS_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8 4. "ODE,SYS_RESET_N PAD Opn Drain Mode enable" "0,1" bitfld.long 0x8 0.--2. "MUX,SYS_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC "PIN_MUX_CONFIG_AP_RESET_N,PAD AP_RESET_N pin-mux config register" bitfld.long 0xC 12. "FV,AP_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC 8.--9. "FIN,AP_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC 4. "ODE,AP_RESET_N PAD Opn Drain Mode enable" "0,1" bitfld.long 0xC 0.--2. "MUX,AP_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10 "PIN_MUX_CONFIG_RTC_RESET_N,PAD RTC_RESET_N pin-mux config register" bitfld.long 0x10 12. "FV,RTC_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10 8.--9. "FIN,RTC_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10 4. "ODE,RTC_RESET_N PAD Opn Drain Mode enable" "0,1" bitfld.long 0x10 0.--2. "MUX,RTC_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14 "PIN_MUX_CONFIG_SYS_WAKEUP0,PAD SYS_WAKEUP0 pin-mux config register" bitfld.long 0x14 12. "FV,SYS_WAKEUP0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14 8.--9. "FIN,SYS_WAKEUP0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14 4. "ODE,SYS_WAKEUP0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x14 0.--2. "MUX,SYS_WAKEUP0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18 "PIN_MUX_CONFIG_SYS_WAKEUP1,PAD SYS_WAKEUP1 pin-mux config register" bitfld.long 0x18 12. "FV,SYS_WAKEUP1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18 8.--9. "FIN,SYS_WAKEUP1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18 4. "ODE,SYS_WAKEUP1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x18 0.--2. "MUX,SYS_WAKEUP1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1C "PIN_MUX_CONFIG_SYS_CTRL0,PAD SYS_CTRL0 pin-mux config register" bitfld.long 0x1C 12. "FV,SYS_CTRL0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1C 8.--9. "FIN,SYS_CTRL0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1C 4. "ODE,SYS_CTRL0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x1C 0.--2. "MUX,SYS_CTRL0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x20 "PIN_MUX_CONFIG_SYS_CTRL1,PAD SYS_CTRL1 pin-mux config register" bitfld.long 0x20 12. "FV,SYS_CTRL1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x20 8.--9. "FIN,SYS_CTRL1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x20 4. "ODE,SYS_CTRL1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x20 0.--2. "MUX,SYS_CTRL1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x24 "PIN_MUX_CONFIG_SYS_CTRL2,PAD SYS_CTRL2 pin-mux config register" bitfld.long 0x24 12. "FV,SYS_CTRL2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x24 8.--9. "FIN,SYS_CTRL2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x24 4. "ODE,SYS_CTRL2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x24 0.--2. "MUX,SYS_CTRL2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x28 "PIN_MUX_CONFIG_SYS_CTRL3,PAD SYS_CTRL3 pin-mux config register" bitfld.long 0x28 12. "FV,SYS_CTRL3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x28 8.--9. "FIN,SYS_CTRL3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x28 4. "ODE,SYS_CTRL3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x28 0.--2. "MUX,SYS_CTRL3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" tree.end tree "PINCTRL_SAFETY" base ad:0xFC500000 group.long 0x0++0xDB line.long 0x0 "IO_PAD_CONFIG_JTAG_TMS,PAD JTAG_TMS config register" bitfld.long 0x0 16. "POE,JTAG_TMS PAD Parametric output enable" "0,1" bitfld.long 0x0 12. "IS,JTAG_TMS PAD Input select" "0,1" bitfld.long 0x0 8. "SR,JTAG_TMS PAD Slew rate" "0,1" bitfld.long 0x0 4.--5. "DS,JTAG_TMS PAD Driver select" "0,1,2,3" newline bitfld.long 0x0 1. "PS,JTAG_TMS PAD Pull select" "0,1" bitfld.long 0x0 0. "PE,JTAG_TMS PAD Pull enable" "0,1" line.long 0x4 "IO_PAD_CONFIG_JTAG_TCK,PAD JTAG_TCK config register" bitfld.long 0x4 16. "POE,JTAG_TCK PAD Parametric output enable" "0,1" bitfld.long 0x4 12. "IS,JTAG_TCK PAD Input select" "0,1" bitfld.long 0x4 8. "SR,JTAG_TCK PAD Slew rate" "0,1" bitfld.long 0x4 4.--5. "DS,JTAG_TCK PAD Driver select" "0,1,2,3" newline bitfld.long 0x4 1. "PS,JTAG_TCK PAD Pull select" "0,1" bitfld.long 0x4 0. "PE,JTAG_TCK PAD Pull enable" "0,1" line.long 0x8 "IO_PAD_CONFIG_JTAG_TDI,PAD JTAG_TDI config register" bitfld.long 0x8 16. "POE,JTAG_TDI PAD Parametric output enable" "0,1" bitfld.long 0x8 12. "IS,JTAG_TDI PAD Input select" "0,1" bitfld.long 0x8 8. "SR,JTAG_TDI PAD Slew rate" "0,1" bitfld.long 0x8 4.--5. "DS,JTAG_TDI PAD Driver select" "0,1,2,3" newline bitfld.long 0x8 1. "PS,JTAG_TDI PAD Pull select" "0,1" bitfld.long 0x8 0. "PE,JTAG_TDI PAD Pull enable" "0,1" line.long 0xC "IO_PAD_CONFIG_JTAG_TDO,PAD JTAG_TDO config register" bitfld.long 0xC 16. "POE,JTAG_TDO PAD Parametric output enable" "0,1" bitfld.long 0xC 12. "IS,JTAG_TDO PAD Input select" "0,1" bitfld.long 0xC 8. "SR,JTAG_TDO PAD Slew rate" "0,1" bitfld.long 0xC 4.--5. "DS,JTAG_TDO PAD Driver select" "0,1,2,3" newline bitfld.long 0xC 1. "PS,JTAG_TDO PAD Pull select" "0,1" bitfld.long 0xC 0. "PE,JTAG_TDO PAD Pull enable" "0,1" line.long 0x10 "IO_PAD_CONFIG_JTAG_TRST_N,PAD JTAG_TRST_N config register" bitfld.long 0x10 16. "POE,JTAG_TRST_N PAD Parametric output enable" "0,1" bitfld.long 0x10 12. "IS,JTAG_TRST_N PAD Input select" "0,1" bitfld.long 0x10 8. "SR,JTAG_TRST_N PAD Slew rate" "0,1" bitfld.long 0x10 4.--5. "DS,JTAG_TRST_N PAD Driver select" "0,1,2,3" newline bitfld.long 0x10 1. "PS,JTAG_TRST_N PAD Pull select" "0,1" bitfld.long 0x10 0. "PE,JTAG_TRST_N PAD Pull enable" "0,1" line.long 0x14 "IO_PAD_CONFIG_OSPI1_SCLK,PAD OSPI1_SCLK config register" bitfld.long 0x14 16. "POE,OSPI1_SCLK PAD Parametric output enable" "0,1" bitfld.long 0x14 12. "IS,OSPI1_SCLK PAD Input select" "0,1" bitfld.long 0x14 8. "SR,OSPI1_SCLK PAD Slew rate" "0,1" bitfld.long 0x14 4.--5. "DS,OSPI1_SCLK PAD Driver select" "0,1,2,3" newline bitfld.long 0x14 1. "PS,OSPI1_SCLK PAD Pull select" "0,1" bitfld.long 0x14 0. "PE,OSPI1_SCLK PAD Pull enable" "0,1" line.long 0x18 "IO_PAD_CONFIG_OSPI1_SS0,PAD OSPI1_SS0 config register" bitfld.long 0x18 16. "POE,OSPI1_SS0 PAD Parametric output enable" "0,1" bitfld.long 0x18 12. "IS,OSPI1_SS0 PAD Input select" "0,1" bitfld.long 0x18 8. "SR,OSPI1_SS0 PAD Slew rate" "0,1" bitfld.long 0x18 4.--5. "DS,OSPI1_SS0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x18 1. "PS,OSPI1_SS0 PAD Pull select" "0,1" bitfld.long 0x18 0. "PE,OSPI1_SS0 PAD Pull enable" "0,1" line.long 0x1C "IO_PAD_CONFIG_OSPI1_DATA0,PAD OSPI1_DATA0 config register" bitfld.long 0x1C 16. "POE,OSPI1_DATA0 PAD Parametric output enable" "0,1" bitfld.long 0x1C 12. "IS,OSPI1_DATA0 PAD Input select" "0,1" bitfld.long 0x1C 8. "SR,OSPI1_DATA0 PAD Slew rate" "0,1" bitfld.long 0x1C 4.--5. "DS,OSPI1_DATA0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x1C 1. "PS,OSPI1_DATA0 PAD Pull select" "0,1" bitfld.long 0x1C 0. "PE,OSPI1_DATA0 PAD Pull enable" "0,1" line.long 0x20 "IO_PAD_CONFIG_OSPI1_DATA1,PAD OSPI1_DATA1 config register" bitfld.long 0x20 16. "POE,OSPI1_DATA1 PAD Parametric output enable" "0,1" bitfld.long 0x20 12. "IS,OSPI1_DATA1 PAD Input select" "0,1" bitfld.long 0x20 8. "SR,OSPI1_DATA1 PAD Slew rate" "0,1" bitfld.long 0x20 4.--5. "DS,OSPI1_DATA1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x20 1. "PS,OSPI1_DATA1 PAD Pull select" "0,1" bitfld.long 0x20 0. "PE,OSPI1_DATA1 PAD Pull enable" "0,1" line.long 0x24 "IO_PAD_CONFIG_OSPI1_DATA2,PAD OSPI1_DATA2 config register" bitfld.long 0x24 16. "POE,OSPI1_DATA2 PAD Parametric output enable" "0,1" bitfld.long 0x24 12. "IS,OSPI1_DATA2 PAD Input select" "0,1" bitfld.long 0x24 8. "SR,OSPI1_DATA2 PAD Slew rate" "0,1" bitfld.long 0x24 4.--5. "DS,OSPI1_DATA2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x24 1. "PS,OSPI1_DATA2 PAD Pull select" "0,1" bitfld.long 0x24 0. "PE,OSPI1_DATA2 PAD Pull enable" "0,1" line.long 0x28 "IO_PAD_CONFIG_OSPI1_DATA3,PAD OSPI1_DATA3 config register" bitfld.long 0x28 16. "POE,OSPI1_DATA3 PAD Parametric output enable" "0,1" bitfld.long 0x28 12. "IS,OSPI1_DATA3 PAD Input select" "0,1" bitfld.long 0x28 8. "SR,OSPI1_DATA3 PAD Slew rate" "0,1" bitfld.long 0x28 4.--5. "DS,OSPI1_DATA3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x28 1. "PS,OSPI1_DATA3 PAD Pull select" "0,1" bitfld.long 0x28 0. "PE,OSPI1_DATA3 PAD Pull enable" "0,1" line.long 0x2C "IO_PAD_CONFIG_OSPI1_DATA4,PAD OSPI1_DATA4 config register" bitfld.long 0x2C 16. "POE,OSPI1_DATA4 PAD Parametric output enable" "0,1" bitfld.long 0x2C 12. "IS,OSPI1_DATA4 PAD Input select" "0,1" bitfld.long 0x2C 8. "SR,OSPI1_DATA4 PAD Slew rate" "0,1" bitfld.long 0x2C 4.--5. "DS,OSPI1_DATA4 PAD Driver select" "0,1,2,3" newline bitfld.long 0x2C 1. "PS,OSPI1_DATA4 PAD Pull select" "0,1" bitfld.long 0x2C 0. "PE,OSPI1_DATA4 PAD Pull enable" "0,1" line.long 0x30 "IO_PAD_CONFIG_OSPI1_DATA5,PAD OSPI1_DATA5 config register" bitfld.long 0x30 16. "POE,OSPI1_DATA5 PAD Parametric output enable" "0,1" bitfld.long 0x30 12. "IS,OSPI1_DATA5 PAD Input select" "0,1" bitfld.long 0x30 8. "SR,OSPI1_DATA5 PAD Slew rate" "0,1" bitfld.long 0x30 4.--5. "DS,OSPI1_DATA5 PAD Driver select" "0,1,2,3" newline bitfld.long 0x30 1. "PS,OSPI1_DATA5 PAD Pull select" "0,1" bitfld.long 0x30 0. "PE,OSPI1_DATA5 PAD Pull enable" "0,1" line.long 0x34 "IO_PAD_CONFIG_OSPI1_DATA6,PAD OSPI1_DATA6 config register" bitfld.long 0x34 16. "POE,OSPI1_DATA6 PAD Parametric output enable" "0,1" bitfld.long 0x34 12. "IS,OSPI1_DATA6 PAD Input select" "0,1" bitfld.long 0x34 8. "SR,OSPI1_DATA6 PAD Slew rate" "0,1" bitfld.long 0x34 4.--5. "DS,OSPI1_DATA6 PAD Driver select" "0,1,2,3" newline bitfld.long 0x34 1. "PS,OSPI1_DATA6 PAD Pull select" "0,1" bitfld.long 0x34 0. "PE,OSPI1_DATA6 PAD Pull enable" "0,1" line.long 0x38 "IO_PAD_CONFIG_OSPI1_DATA7,PAD OSPI1_DATA7 config register" bitfld.long 0x38 16. "POE,OSPI1_DATA7 PAD Parametric output enable" "0,1" bitfld.long 0x38 12. "IS,OSPI1_DATA7 PAD Input select" "0,1" bitfld.long 0x38 8. "SR,OSPI1_DATA7 PAD Slew rate" "0,1" bitfld.long 0x38 4.--5. "DS,OSPI1_DATA7 PAD Driver select" "0,1,2,3" newline bitfld.long 0x38 1. "PS,OSPI1_DATA7 PAD Pull select" "0,1" bitfld.long 0x38 0. "PE,OSPI1_DATA7 PAD Pull enable" "0,1" line.long 0x3C "IO_PAD_CONFIG_OSPI1_DQS,PAD OSPI1_DQS config register" bitfld.long 0x3C 16. "POE,OSPI1_DQS PAD Parametric output enable" "0,1" bitfld.long 0x3C 12. "IS,OSPI1_DQS PAD Input select" "0,1" bitfld.long 0x3C 8. "SR,OSPI1_DQS PAD Slew rate" "0,1" bitfld.long 0x3C 4.--5. "DS,OSPI1_DQS PAD Driver select" "0,1,2,3" newline bitfld.long 0x3C 1. "PS,OSPI1_DQS PAD Pull select" "0,1" bitfld.long 0x3C 0. "PE,OSPI1_DQS PAD Pull enable" "0,1" line.long 0x40 "IO_PAD_CONFIG_OSPI1_SS1,PAD OSPI1_SS1 config register" bitfld.long 0x40 16. "POE,OSPI1_SS1 PAD Parametric output enable" "0,1" bitfld.long 0x40 12. "IS,OSPI1_SS1 PAD Input select" "0,1" bitfld.long 0x40 8. "SR,OSPI1_SS1 PAD Slew rate" "0,1" bitfld.long 0x40 4.--5. "DS,OSPI1_SS1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x40 1. "PS,OSPI1_SS1 PAD Pull select" "0,1" bitfld.long 0x40 0. "PE,OSPI1_SS1 PAD Pull enable" "0,1" line.long 0x44 "IO_PAD_CONFIG_RGMII1_TXC,PAD RGMII1_TXC config register" bitfld.long 0x44 16. "POE,RGMII1_TXC PAD Parametric output enable" "0,1" bitfld.long 0x44 12. "IS,RGMII1_TXC PAD Input select" "0,1" bitfld.long 0x44 8. "SR,RGMII1_TXC PAD Slew rate" "0,1" bitfld.long 0x44 4.--5. "DS,RGMII1_TXC PAD Driver select" "0,1,2,3" newline bitfld.long 0x44 1. "PS,RGMII1_TXC PAD Pull select" "0,1" bitfld.long 0x44 0. "PE,RGMII1_TXC PAD Pull enable" "0,1" line.long 0x48 "IO_PAD_CONFIG_RGMII1_TXD0,PAD RGMII1_TXD0 config register" bitfld.long 0x48 16. "POE,RGMII1_TXD0 PAD Parametric output enable" "0,1" bitfld.long 0x48 12. "IS,RGMII1_TXD0 PAD Input select" "0,1" bitfld.long 0x48 8. "SR,RGMII1_TXD0 PAD Slew rate" "0,1" bitfld.long 0x48 4.--5. "DS,RGMII1_TXD0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x48 1. "PS,RGMII1_TXD0 PAD Pull select" "0,1" bitfld.long 0x48 0. "PE,RGMII1_TXD0 PAD Pull enable" "0,1" line.long 0x4C "IO_PAD_CONFIG_RGMII1_TXD1,PAD RGMII1_TXD1 config register" bitfld.long 0x4C 16. "POE,RGMII1_TXD1 PAD Parametric output enable" "0,1" bitfld.long 0x4C 12. "IS,RGMII1_TXD1 PAD Input select" "0,1" bitfld.long 0x4C 8. "SR,RGMII1_TXD1 PAD Slew rate" "0,1" bitfld.long 0x4C 4.--5. "DS,RGMII1_TXD1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x4C 1. "PS,RGMII1_TXD1 PAD Pull select" "0,1" bitfld.long 0x4C 0. "PE,RGMII1_TXD1 PAD Pull enable" "0,1" line.long 0x50 "IO_PAD_CONFIG_RGMII1_TXD2,PAD RGMII1_TXD2 config register" bitfld.long 0x50 16. "POE,RGMII1_TXD2 PAD Parametric output enable" "0,1" bitfld.long 0x50 12. "IS,RGMII1_TXD2 PAD Input select" "0,1" bitfld.long 0x50 8. "SR,RGMII1_TXD2 PAD Slew rate" "0,1" bitfld.long 0x50 4.--5. "DS,RGMII1_TXD2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x50 1. "PS,RGMII1_TXD2 PAD Pull select" "0,1" bitfld.long 0x50 0. "PE,RGMII1_TXD2 PAD Pull enable" "0,1" line.long 0x54 "IO_PAD_CONFIG_RGMII1_TXD3,PAD RGMII1_TXD3 config register" bitfld.long 0x54 16. "POE,RGMII1_TXD3 PAD Parametric output enable" "0,1" bitfld.long 0x54 12. "IS,RGMII1_TXD3 PAD Input select" "0,1" bitfld.long 0x54 8. "SR,RGMII1_TXD3 PAD Slew rate" "0,1" bitfld.long 0x54 4.--5. "DS,RGMII1_TXD3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x54 1. "PS,RGMII1_TXD3 PAD Pull select" "0,1" bitfld.long 0x54 0. "PE,RGMII1_TXD3 PAD Pull enable" "0,1" line.long 0x58 "IO_PAD_CONFIG_RGMII1_TX_CTL,PAD RGMII1_TX_CTL config register" bitfld.long 0x58 16. "POE,RGMII1_TX_CTL PAD Parametric output enable" "0,1" bitfld.long 0x58 12. "IS,RGMII1_TX_CTL PAD Input select" "0,1" bitfld.long 0x58 8. "SR,RGMII1_TX_CTL PAD Slew rate" "0,1" bitfld.long 0x58 4.--5. "DS,RGMII1_TX_CTL PAD Driver select" "0,1,2,3" newline bitfld.long 0x58 1. "PS,RGMII1_TX_CTL PAD Pull select" "0,1" bitfld.long 0x58 0. "PE,RGMII1_TX_CTL PAD Pull enable" "0,1" line.long 0x5C "IO_PAD_CONFIG_RGMII1_RXC,PAD RGMII1_RXC config register" bitfld.long 0x5C 16. "POE,RGMII1_RXC PAD Parametric output enable" "0,1" bitfld.long 0x5C 12. "IS,RGMII1_RXC PAD Input select" "0,1" bitfld.long 0x5C 8. "SR,RGMII1_RXC PAD Slew rate" "0,1" bitfld.long 0x5C 4.--5. "DS,RGMII1_RXC PAD Driver select" "0,1,2,3" newline bitfld.long 0x5C 1. "PS,RGMII1_RXC PAD Pull select" "0,1" bitfld.long 0x5C 0. "PE,RGMII1_RXC PAD Pull enable" "0,1" line.long 0x60 "IO_PAD_CONFIG_RGMII1_RXD0,PAD RGMII1_RXD0 config register" bitfld.long 0x60 16. "POE,RGMII1_RXD0 PAD Parametric output enable" "0,1" bitfld.long 0x60 12. "IS,RGMII1_RXD0 PAD Input select" "0,1" bitfld.long 0x60 8. "SR,RGMII1_RXD0 PAD Slew rate" "0,1" bitfld.long 0x60 4.--5. "DS,RGMII1_RXD0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x60 1. "PS,RGMII1_RXD0 PAD Pull select" "0,1" bitfld.long 0x60 0. "PE,RGMII1_RXD0 PAD Pull enable" "0,1" line.long 0x64 "IO_PAD_CONFIG_RGMII1_RXD1,PAD RGMII1_RXD1 config register" bitfld.long 0x64 16. "POE,RGMII1_RXD1 PAD Parametric output enable" "0,1" bitfld.long 0x64 12. "IS,RGMII1_RXD1 PAD Input select" "0,1" bitfld.long 0x64 8. "SR,RGMII1_RXD1 PAD Slew rate" "0,1" bitfld.long 0x64 4.--5. "DS,RGMII1_RXD1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x64 1. "PS,RGMII1_RXD1 PAD Pull select" "0,1" bitfld.long 0x64 0. "PE,RGMII1_RXD1 PAD Pull enable" "0,1" line.long 0x68 "IO_PAD_CONFIG_RGMII1_RXD2,PAD RGMII1_RXD2 config register" bitfld.long 0x68 16. "POE,RGMII1_RXD2 PAD Parametric output enable" "0,1" bitfld.long 0x68 12. "IS,RGMII1_RXD2 PAD Input select" "0,1" bitfld.long 0x68 8. "SR,RGMII1_RXD2 PAD Slew rate" "0,1" bitfld.long 0x68 4.--5. "DS,RGMII1_RXD2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x68 1. "PS,RGMII1_RXD2 PAD Pull select" "0,1" bitfld.long 0x68 0. "PE,RGMII1_RXD2 PAD Pull enable" "0,1" line.long 0x6C "IO_PAD_CONFIG_RGMII1_RXD3,PAD RGMII1_RXD3 config register" bitfld.long 0x6C 16. "POE,RGMII1_RXD3 PAD Parametric output enable" "0,1" bitfld.long 0x6C 12. "IS,RGMII1_RXD3 PAD Input select" "0,1" bitfld.long 0x6C 8. "SR,RGMII1_RXD3 PAD Slew rate" "0,1" bitfld.long 0x6C 4.--5. "DS,RGMII1_RXD3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x6C 1. "PS,RGMII1_RXD3 PAD Pull select" "0,1" bitfld.long 0x6C 0. "PE,RGMII1_RXD3 PAD Pull enable" "0,1" line.long 0x70 "IO_PAD_CONFIG_RGMII1_RX_CTL,PAD RGMII1_RX_CTL config register" bitfld.long 0x70 16. "POE,RGMII1_RX_CTL PAD Parametric output enable" "0,1" bitfld.long 0x70 12. "IS,RGMII1_RX_CTL PAD Input select" "0,1" bitfld.long 0x70 8. "SR,RGMII1_RX_CTL PAD Slew rate" "0,1" bitfld.long 0x70 4.--5. "DS,RGMII1_RX_CTL PAD Driver select" "0,1,2,3" newline bitfld.long 0x70 1. "PS,RGMII1_RX_CTL PAD Pull select" "0,1" bitfld.long 0x70 0. "PE,RGMII1_RX_CTL PAD Pull enable" "0,1" line.long 0x74 "IO_PAD_CONFIG_GPIO_A0,PAD GPIO_A0 config register" bitfld.long 0x74 16. "POE,GPIO_A0 PAD Parametric output enable" "0,1" bitfld.long 0x74 12. "IS,GPIO_A0 PAD Input select" "0,1" bitfld.long 0x74 8. "SR,GPIO_A0 PAD Slew rate" "0,1" bitfld.long 0x74 4.--5. "DS,GPIO_A0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x74 1. "PS,GPIO_A0 PAD Pull select" "0,1" bitfld.long 0x74 0. "PE,GPIO_A0 PAD Pull enable" "0,1" line.long 0x78 "IO_PAD_CONFIG_GPIO_A1,PAD GPIO_A1 config register" bitfld.long 0x78 16. "POE,GPIO_A1 PAD Parametric output enable" "0,1" bitfld.long 0x78 12. "IS,GPIO_A1 PAD Input select" "0,1" bitfld.long 0x78 8. "SR,GPIO_A1 PAD Slew rate" "0,1" bitfld.long 0x78 4.--5. "DS,GPIO_A1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x78 1. "PS,GPIO_A1 PAD Pull select" "0,1" bitfld.long 0x78 0. "PE,GPIO_A1 PAD Pull enable" "0,1" line.long 0x7C "IO_PAD_CONFIG_GPIO_A2,PAD GPIO_A2 config register" bitfld.long 0x7C 16. "POE,GPIO_A2 PAD Parametric output enable" "0,1" bitfld.long 0x7C 12. "IS,GPIO_A2 PAD Input select" "0,1" bitfld.long 0x7C 8. "SR,GPIO_A2 PAD Slew rate" "0,1" bitfld.long 0x7C 4.--5. "DS,GPIO_A2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x7C 1. "PS,GPIO_A2 PAD Pull select" "0,1" bitfld.long 0x7C 0. "PE,GPIO_A2 PAD Pull enable" "0,1" line.long 0x80 "IO_PAD_CONFIG_GPIO_A3,PAD GPIO_A3 config register" bitfld.long 0x80 16. "POE,GPIO_A3 PAD Parametric output enable" "0,1" bitfld.long 0x80 12. "IS,GPIO_A3 PAD Input select" "0,1" bitfld.long 0x80 8. "SR,GPIO_A3 PAD Slew rate" "0,1" bitfld.long 0x80 4.--5. "DS,GPIO_A3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x80 1. "PS,GPIO_A3 PAD Pull select" "0,1" bitfld.long 0x80 0. "PE,GPIO_A3 PAD Pull enable" "0,1" line.long 0x84 "IO_PAD_CONFIG_GPIO_A4,PAD GPIO_A4 config register" bitfld.long 0x84 16. "POE,GPIO_A4 PAD Parametric output enable" "0,1" bitfld.long 0x84 12. "IS,GPIO_A4 PAD Input select" "0,1" bitfld.long 0x84 8. "SR,GPIO_A4 PAD Slew rate" "0,1" bitfld.long 0x84 4.--5. "DS,GPIO_A4 PAD Driver select" "0,1,2,3" newline bitfld.long 0x84 1. "PS,GPIO_A4 PAD Pull select" "0,1" bitfld.long 0x84 0. "PE,GPIO_A4 PAD Pull enable" "0,1" line.long 0x88 "IO_PAD_CONFIG_GPIO_A5,PAD GPIO_A5 config register" bitfld.long 0x88 16. "POE,GPIO_A5 PAD Parametric output enable" "0,1" bitfld.long 0x88 12. "IS,GPIO_A5 PAD Input select" "0,1" bitfld.long 0x88 8. "SR,GPIO_A5 PAD Slew rate" "0,1" bitfld.long 0x88 4.--5. "DS,GPIO_A5 PAD Driver select" "0,1,2,3" newline bitfld.long 0x88 1. "PS,GPIO_A5 PAD Pull select" "0,1" bitfld.long 0x88 0. "PE,GPIO_A5 PAD Pull enable" "0,1" line.long 0x8C "IO_PAD_CONFIG_GPIO_A6,PAD GPIO_A6 config register" bitfld.long 0x8C 16. "POE,GPIO_A6 PAD Parametric output enable" "0,1" bitfld.long 0x8C 12. "IS,GPIO_A6 PAD Input select" "0,1" bitfld.long 0x8C 8. "SR,GPIO_A6 PAD Slew rate" "0,1" bitfld.long 0x8C 4.--5. "DS,GPIO_A6 PAD Driver select" "0,1,2,3" newline bitfld.long 0x8C 1. "PS,GPIO_A6 PAD Pull select" "0,1" bitfld.long 0x8C 0. "PE,GPIO_A6 PAD Pull enable" "0,1" line.long 0x90 "IO_PAD_CONFIG_GPIO_A7,PAD GPIO_A7 config register" bitfld.long 0x90 16. "POE,GPIO_A7 PAD Parametric output enable" "0,1" bitfld.long 0x90 12. "IS,GPIO_A7 PAD Input select" "0,1" bitfld.long 0x90 8. "SR,GPIO_A7 PAD Slew rate" "0,1" bitfld.long 0x90 4.--5. "DS,GPIO_A7 PAD Driver select" "0,1,2,3" newline bitfld.long 0x90 1. "PS,GPIO_A7 PAD Pull select" "0,1" bitfld.long 0x90 0. "PE,GPIO_A7 PAD Pull enable" "0,1" line.long 0x94 "IO_PAD_CONFIG_GPIO_A8,PAD GPIO_A8 config register" bitfld.long 0x94 16. "POE,GPIO_A8 PAD Parametric output enable" "0,1" bitfld.long 0x94 12. "IS,GPIO_A8 PAD Input select" "0,1" bitfld.long 0x94 8. "SR,GPIO_A8 PAD Slew rate" "0,1" bitfld.long 0x94 4.--5. "DS,GPIO_A8 PAD Driver select" "0,1,2,3" newline bitfld.long 0x94 1. "PS,GPIO_A8 PAD Pull select" "0,1" bitfld.long 0x94 0. "PE,GPIO_A8 PAD Pull enable" "0,1" line.long 0x98 "IO_PAD_CONFIG_GPIO_A9,PAD GPIO_A9 config register" bitfld.long 0x98 16. "POE,GPIO_A9 PAD Parametric output enable" "0,1" bitfld.long 0x98 12. "IS,GPIO_A9 PAD Input select" "0,1" bitfld.long 0x98 8. "SR,GPIO_A9 PAD Slew rate" "0,1" bitfld.long 0x98 4.--5. "DS,GPIO_A9 PAD Driver select" "0,1,2,3" newline bitfld.long 0x98 1. "PS,GPIO_A9 PAD Pull select" "0,1" bitfld.long 0x98 0. "PE,GPIO_A9 PAD Pull enable" "0,1" line.long 0x9C "IO_PAD_CONFIG_GPIO_A10,PAD GPIO_A10 config register" bitfld.long 0x9C 16. "POE,GPIO_A10 PAD Parametric output enable" "0,1" bitfld.long 0x9C 12. "IS,GPIO_A10 PAD Input select" "0,1" bitfld.long 0x9C 8. "SR,GPIO_A10 PAD Slew rate" "0,1" bitfld.long 0x9C 4.--5. "DS,GPIO_A10 PAD Driver select" "0,1,2,3" newline bitfld.long 0x9C 1. "PS,GPIO_A10 PAD Pull select" "0,1" bitfld.long 0x9C 0. "PE,GPIO_A10 PAD Pull enable" "0,1" line.long 0xA0 "IO_PAD_CONFIG_GPIO_A11,PAD GPIO_A11 config register" bitfld.long 0xA0 16. "POE,GPIO_A11 PAD Parametric output enable" "0,1" bitfld.long 0xA0 12. "IS,GPIO_A11 PAD Input select" "0,1" bitfld.long 0xA0 8. "SR,GPIO_A11 PAD Slew rate" "0,1" bitfld.long 0xA0 4.--5. "DS,GPIO_A11 PAD Driver select" "0,1,2,3" newline bitfld.long 0xA0 1. "PS,GPIO_A11 PAD Pull select" "0,1" bitfld.long 0xA0 0. "PE,GPIO_A11 PAD Pull enable" "0,1" line.long 0xA4 "IO_PAD_CONFIG_GPIO_B0,PAD GPIO_B0 config register" bitfld.long 0xA4 16. "POE,GPIO_B0 PAD Parametric output enable" "0,1" bitfld.long 0xA4 12. "IS,GPIO_B0 PAD Input select" "0,1" bitfld.long 0xA4 8. "SR,GPIO_B0 PAD Slew rate" "0,1" bitfld.long 0xA4 4.--5. "DS,GPIO_B0 PAD Driver select" "0,1,2,3" newline bitfld.long 0xA4 1. "PS,GPIO_B0 PAD Pull select" "0,1" bitfld.long 0xA4 0. "PE,GPIO_B0 PAD Pull enable" "0,1" line.long 0xA8 "IO_PAD_CONFIG_GPIO_B1,PAD GPIO_B1 config register" bitfld.long 0xA8 16. "POE,GPIO_B1 PAD Parametric output enable" "0,1" bitfld.long 0xA8 12. "IS,GPIO_B1 PAD Input select" "0,1" bitfld.long 0xA8 8. "SR,GPIO_B1 PAD Slew rate" "0,1" bitfld.long 0xA8 4.--5. "DS,GPIO_B1 PAD Driver select" "0,1,2,3" newline bitfld.long 0xA8 1. "PS,GPIO_B1 PAD Pull select" "0,1" bitfld.long 0xA8 0. "PE,GPIO_B1 PAD Pull enable" "0,1" line.long 0xAC "IO_PAD_CONFIG_GPIO_B2,PAD GPIO_B2 config register" bitfld.long 0xAC 16. "POE,GPIO_B2 PAD Parametric output enable" "0,1" bitfld.long 0xAC 12. "IS,GPIO_B2 PAD Input select" "0,1" bitfld.long 0xAC 8. "SR,GPIO_B2 PAD Slew rate" "0,1" bitfld.long 0xAC 4.--5. "DS,GPIO_B2 PAD Driver select" "0,1,2,3" newline bitfld.long 0xAC 1. "PS,GPIO_B2 PAD Pull select" "0,1" bitfld.long 0xAC 0. "PE,GPIO_B2 PAD Pull enable" "0,1" line.long 0xB0 "IO_PAD_CONFIG_GPIO_B3,PAD GPIO_B3 config register" bitfld.long 0xB0 16. "POE,GPIO_B3 PAD Parametric output enable" "0,1" bitfld.long 0xB0 12. "IS,GPIO_B3 PAD Input select" "0,1" bitfld.long 0xB0 8. "SR,GPIO_B3 PAD Slew rate" "0,1" bitfld.long 0xB0 4.--5. "DS,GPIO_B3 PAD Driver select" "0,1,2,3" newline bitfld.long 0xB0 1. "PS,GPIO_B3 PAD Pull select" "0,1" bitfld.long 0xB0 0. "PE,GPIO_B3 PAD Pull enable" "0,1" line.long 0xB4 "IO_PAD_CONFIG_GPIO_B4,PAD GPIO_B4 config register" bitfld.long 0xB4 16. "POE,GPIO_B4 PAD Parametric output enable" "0,1" bitfld.long 0xB4 12. "IS,GPIO_B4 PAD Input select" "0,1" bitfld.long 0xB4 8. "SR,GPIO_B4 PAD Slew rate" "0,1" bitfld.long 0xB4 4.--5. "DS,GPIO_B4 PAD Driver select" "0,1,2,3" newline bitfld.long 0xB4 1. "PS,GPIO_B4 PAD Pull select" "0,1" bitfld.long 0xB4 0. "PE,GPIO_B4 PAD Pull enable" "0,1" line.long 0xB8 "IO_PAD_CONFIG_GPIO_B5,PAD GPIO_B5 config register" bitfld.long 0xB8 16. "POE,GPIO_B5 PAD Parametric output enable" "0,1" bitfld.long 0xB8 12. "IS,GPIO_B5 PAD Input select" "0,1" bitfld.long 0xB8 8. "SR,GPIO_B5 PAD Slew rate" "0,1" bitfld.long 0xB8 4.--5. "DS,GPIO_B5 PAD Driver select" "0,1,2,3" newline bitfld.long 0xB8 1. "PS,GPIO_B5 PAD Pull select" "0,1" bitfld.long 0xB8 0. "PE,GPIO_B5 PAD Pull enable" "0,1" line.long 0xBC "IO_PAD_CONFIG_GPIO_B6,PAD GPIO_B6 config register" bitfld.long 0xBC 16. "POE,GPIO_B6 PAD Parametric output enable" "0,1" bitfld.long 0xBC 12. "IS,GPIO_B6 PAD Input select" "0,1" bitfld.long 0xBC 8. "SR,GPIO_B6 PAD Slew rate" "0,1" bitfld.long 0xBC 4.--5. "DS,GPIO_B6 PAD Driver select" "0,1,2,3" newline bitfld.long 0xBC 1. "PS,GPIO_B6 PAD Pull select" "0,1" bitfld.long 0xBC 0. "PE,GPIO_B6 PAD Pull enable" "0,1" line.long 0xC0 "IO_PAD_CONFIG_GPIO_B7,PAD GPIO_B7 config register" bitfld.long 0xC0 16. "POE,GPIO_B7 PAD Parametric output enable" "0,1" bitfld.long 0xC0 12. "IS,GPIO_B7 PAD Input select" "0,1" bitfld.long 0xC0 8. "SR,GPIO_B7 PAD Slew rate" "0,1" bitfld.long 0xC0 4.--5. "DS,GPIO_B7 PAD Driver select" "0,1,2,3" newline bitfld.long 0xC0 1. "PS,GPIO_B7 PAD Pull select" "0,1" bitfld.long 0xC0 0. "PE,GPIO_B7 PAD Pull enable" "0,1" line.long 0xC4 "IO_PAD_CONFIG_GPIO_B8,PAD GPIO_B8 config register" bitfld.long 0xC4 16. "POE,GPIO_B8 PAD Parametric output enable" "0,1" bitfld.long 0xC4 12. "IS,GPIO_B8 PAD Input select" "0,1" bitfld.long 0xC4 8. "SR,GPIO_B8 PAD Slew rate" "0,1" bitfld.long 0xC4 4.--5. "DS,GPIO_B8 PAD Driver select" "0,1,2,3" newline bitfld.long 0xC4 1. "PS,GPIO_B8 PAD Pull select" "0,1" bitfld.long 0xC4 0. "PE,GPIO_B8 PAD Pull enable" "0,1" line.long 0xC8 "IO_PAD_CONFIG_GPIO_B9,PAD GPIO_B9 config register" bitfld.long 0xC8 16. "POE,GPIO_B9 PAD Parametric output enable" "0,1" bitfld.long 0xC8 12. "IS,GPIO_B9 PAD Input select" "0,1" bitfld.long 0xC8 8. "SR,GPIO_B9 PAD Slew rate" "0,1" bitfld.long 0xC8 4.--5. "DS,GPIO_B9 PAD Driver select" "0,1,2,3" newline bitfld.long 0xC8 1. "PS,GPIO_B9 PAD Pull select" "0,1" bitfld.long 0xC8 0. "PE,GPIO_B9 PAD Pull enable" "0,1" line.long 0xCC "IO_PAD_CONFIG_GPIO_B10,PAD GPIO_B10 config register" bitfld.long 0xCC 16. "POE,GPIO_B10 PAD Parametric output enable" "0,1" bitfld.long 0xCC 12. "IS,GPIO_B10 PAD Input select" "0,1" bitfld.long 0xCC 8. "SR,GPIO_B10 PAD Slew rate" "0,1" bitfld.long 0xCC 4.--5. "DS,GPIO_B10 PAD Driver select" "0,1,2,3" newline bitfld.long 0xCC 1. "PS,GPIO_B10 PAD Pull select" "0,1" bitfld.long 0xCC 0. "PE,GPIO_B10 PAD Pull enable" "0,1" line.long 0xD0 "IO_PAD_CONFIG_GPIO_B11,PAD GPIO_B11 config register" bitfld.long 0xD0 16. "POE,GPIO_B11 PAD Parametric output enable" "0,1" bitfld.long 0xD0 12. "IS,GPIO_B11 PAD Input select" "0,1" bitfld.long 0xD0 8. "SR,GPIO_B11 PAD Slew rate" "0,1" bitfld.long 0xD0 4.--5. "DS,GPIO_B11 PAD Driver select" "0,1,2,3" newline bitfld.long 0xD0 1. "PS,GPIO_B11 PAD Pull select" "0,1" bitfld.long 0xD0 0. "PE,GPIO_B11 PAD Pull enable" "0,1" line.long 0xD4 "IO_PAD_CONFIG_SEM_FAULT,PAD SEM_FAULT config register" bitfld.long 0xD4 16. "POE,SEM_FAULT PAD Parametric output enable" "0,1" bitfld.long 0xD4 12. "IS,SEM_FAULT PAD Input select" "0,1" bitfld.long 0xD4 8. "SR,SEM_FAULT PAD Slew rate" "0,1" bitfld.long 0xD4 4.--5. "DS,SEM_FAULT PAD Driver select" "0,1,2,3" newline bitfld.long 0xD4 1. "PS,SEM_FAULT PAD Pull select" "0,1" bitfld.long 0xD4 0. "PE,SEM_FAULT PAD Pull enable" "0,1" line.long 0xD8 "IO_PAD_CONFIG_GPIO_SAF,PAD GPIO_SAF config register" bitfld.long 0xD8 16. "POE,GPIO_SAF PAD Parametric output enable" "0,1" bitfld.long 0xD8 12. "IS,GPIO_SAF PAD Input select" "0,1" bitfld.long 0xD8 8. "SR,GPIO_SAF PAD Slew rate" "0,1" bitfld.long 0xD8 4.--5. "DS,GPIO_SAF PAD Driver select" "0,1,2,3" newline bitfld.long 0xD8 1. "PS,GPIO_SAF PAD Pull select" "0,1" bitfld.long 0xD8 0. "PE,GPIO_SAF PAD Pull enable" "0,1" group.long 0x200++0xBF line.long 0x0 "PIN_MUX_CONFIG_OSPI1_SCLK,PAD OSPI1_SCLK pin-mux config register" bitfld.long 0x0 12. "FV,OSPI1_SCLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x0 8.--9. "FIN,OSPI1_SCLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x0 4. "ODE,OSPI1_SCLK PAD Opn Drain Mode enable" "0,1" bitfld.long 0x0 0.--2. "MUX,OSPI1_SCLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4 "PIN_MUX_CONFIG_OSPI1_SS0,PAD OSPI1_SS0 pin-mux config register" bitfld.long 0x4 12. "FV,OSPI1_SS0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4 8.--9. "FIN,OSPI1_SS0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4 4. "ODE,OSPI1_SS0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x4 0.--2. "MUX,OSPI1_SS0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8 "PIN_MUX_CONFIG_OSPI1_DATA0,PAD OSPI1_DATA0 pin-mux config register" bitfld.long 0x8 12. "FV,OSPI1_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8 8.--9. "FIN,OSPI1_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8 4. "ODE,OSPI1_DATA0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x8 0.--2. "MUX,OSPI1_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC "PIN_MUX_CONFIG_OSPI1_DATA1,PAD OSPI1_DATA1 pin-mux config register" bitfld.long 0xC 12. "FV,OSPI1_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC 8.--9. "FIN,OSPI1_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC 4. "ODE,OSPI1_DATA1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xC 0.--2. "MUX,OSPI1_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10 "PIN_MUX_CONFIG_OSPI1_DATA2,PAD OSPI1_DATA2 pin-mux config register" bitfld.long 0x10 12. "FV,OSPI1_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10 8.--9. "FIN,OSPI1_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10 4. "ODE,OSPI1_DATA2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x10 0.--2. "MUX,OSPI1_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14 "PIN_MUX_CONFIG_OSPI1_DATA3,PAD OSPI1_DATA3 pin-mux config register" bitfld.long 0x14 12. "FV,OSPI1_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14 8.--9. "FIN,OSPI1_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14 4. "ODE,OSPI1_DATA3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x14 0.--2. "MUX,OSPI1_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18 "PIN_MUX_CONFIG_OSPI1_DATA4,PAD OSPI1_DATA4 pin-mux config register" bitfld.long 0x18 12. "FV,OSPI1_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18 8.--9. "FIN,OSPI1_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18 4. "ODE,OSPI1_DATA4 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x18 0.--2. "MUX,OSPI1_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1C "PIN_MUX_CONFIG_OSPI1_DATA5,PAD OSPI1_DATA5 pin-mux config register" bitfld.long 0x1C 12. "FV,OSPI1_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1C 8.--9. "FIN,OSPI1_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1C 4. "ODE,OSPI1_DATA5 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x1C 0.--2. "MUX,OSPI1_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x20 "PIN_MUX_CONFIG_OSPI1_DATA6,PAD OSPI1_DATA6 pin-mux config register" bitfld.long 0x20 12. "FV,OSPI1_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x20 8.--9. "FIN,OSPI1_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x20 4. "ODE,OSPI1_DATA6 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x20 0.--2. "MUX,OSPI1_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x24 "PIN_MUX_CONFIG_OSPI1_DATA7,PAD OSPI1_DATA7 pin-mux config register" bitfld.long 0x24 12. "FV,OSPI1_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x24 8.--9. "FIN,OSPI1_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x24 4. "ODE,OSPI1_DATA7 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x24 0.--2. "MUX,OSPI1_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x28 "PIN_MUX_CONFIG_OSPI1_DQS,PAD OSPI1_DQS pin-mux config register" bitfld.long 0x28 12. "FV,OSPI1_DQS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x28 8.--9. "FIN,OSPI1_DQS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x28 4. "ODE,OSPI1_DQS PAD Opn Drain Mode enable" "0,1" bitfld.long 0x28 0.--2. "MUX,OSPI1_DQS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x2C "PIN_MUX_CONFIG_OSPI1_SS1,PAD OSPI1_SS1 pin-mux config register" bitfld.long 0x2C 12. "FV,OSPI1_SS1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x2C 8.--9. "FIN,OSPI1_SS1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x2C 4. "ODE,OSPI1_SS1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x2C 0.--2. "MUX,OSPI1_SS1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x30 "PIN_MUX_CONFIG_RGMII1_TXC,PAD RGMII1_TXC pin-mux config register" bitfld.long 0x30 12. "FV,RGMII1_TXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x30 8.--9. "FIN,RGMII1_TXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x30 4. "ODE,RGMII1_TXC PAD Opn Drain Mode enable" "0,1" bitfld.long 0x30 0.--2. "MUX,RGMII1_TXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x34 "PIN_MUX_CONFIG_RGMII1_TXD0,PAD RGMII1_TXD0 pin-mux config register" bitfld.long 0x34 12. "FV,RGMII1_TXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x34 8.--9. "FIN,RGMII1_TXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x34 4. "ODE,RGMII1_TXD0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x34 0.--2. "MUX,RGMII1_TXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x38 "PIN_MUX_CONFIG_RGMII1_TXD1,PAD RGMII1_TXD1 pin-mux config register" bitfld.long 0x38 12. "FV,RGMII1_TXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x38 8.--9. "FIN,RGMII1_TXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x38 4. "ODE,RGMII1_TXD1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x38 0.--2. "MUX,RGMII1_TXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x3C "PIN_MUX_CONFIG_RGMII1_TXD2,PAD RGMII1_TXD2 pin-mux config register" bitfld.long 0x3C 12. "FV,RGMII1_TXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x3C 8.--9. "FIN,RGMII1_TXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x3C 4. "ODE,RGMII1_TXD2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x3C 0.--2. "MUX,RGMII1_TXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x40 "PIN_MUX_CONFIG_RGMII1_TXD3,PAD RGMII1_TXD3 pin-mux config register" bitfld.long 0x40 12. "FV,RGMII1_TXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x40 8.--9. "FIN,RGMII1_TXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x40 4. "ODE,RGMII1_TXD3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x40 0.--2. "MUX,RGMII1_TXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x44 "PIN_MUX_CONFIG_RGMII1_TX_CTL,PAD RGMII1_TX_CTL pin-mux config register" bitfld.long 0x44 12. "FV,RGMII1_TX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x44 8.--9. "FIN,RGMII1_TX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x44 4. "ODE,RGMII1_TX_CTL PAD Opn Drain Mode enable" "0,1" bitfld.long 0x44 0.--2. "MUX,RGMII1_TX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x48 "PIN_MUX_CONFIG_RGMII1_RXC,PAD RGMII1_RXC pin-mux config register" bitfld.long 0x48 12. "FV,RGMII1_RXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x48 8.--9. "FIN,RGMII1_RXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x48 4. "ODE,RGMII1_RXC PAD Opn Drain Mode enable" "0,1" bitfld.long 0x48 0.--2. "MUX,RGMII1_RXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4C "PIN_MUX_CONFIG_RGMII1_RXD0,PAD RGMII1_RXD0 pin-mux config register" bitfld.long 0x4C 12. "FV,RGMII1_RXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4C 8.--9. "FIN,RGMII1_RXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4C 4. "ODE,RGMII1_RXD0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x4C 0.--2. "MUX,RGMII1_RXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x50 "PIN_MUX_CONFIG_RGMII1_RXD1,PAD RGMII1_RXD1 pin-mux config register" bitfld.long 0x50 12. "FV,RGMII1_RXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x50 8.--9. "FIN,RGMII1_RXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x50 4. "ODE,RGMII1_RXD1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x50 0.--2. "MUX,RGMII1_RXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x54 "PIN_MUX_CONFIG_RGMII1_RXD2,PAD RGMII1_RXD2 pin-mux config register" bitfld.long 0x54 12. "FV,RGMII1_RXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x54 8.--9. "FIN,RGMII1_RXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x54 4. "ODE,RGMII1_RXD2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x54 0.--2. "MUX,RGMII1_RXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x58 "PIN_MUX_CONFIG_RGMII1_RXD3,PAD RGMII1_RXD3 pin-mux config register" bitfld.long 0x58 12. "FV,RGMII1_RXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x58 8.--9. "FIN,RGMII1_RXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x58 4. "ODE,RGMII1_RXD3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x58 0.--2. "MUX,RGMII1_RXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x5C "PIN_MUX_CONFIG_RGMII1_RX_CTL,PAD RGMII1_RX_CTL pin-mux config register" bitfld.long 0x5C 12. "FV,RGMII1_RX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x5C 8.--9. "FIN,RGMII1_RX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x5C 4. "ODE,RGMII1_RX_CTL PAD Opn Drain Mode enable" "0,1" bitfld.long 0x5C 0.--2. "MUX,RGMII1_RX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x60 "PIN_MUX_CONFIG_GPIO_A0,PAD GPIO_A0 pin-mux config register" bitfld.long 0x60 12. "FV,GPIO_A0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x60 8.--9. "FIN,GPIO_A0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x60 4. "ODE,GPIO_A0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x60 0.--2. "MUX,GPIO_A0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x64 "PIN_MUX_CONFIG_GPIO_A1,PAD GPIO_A1 pin-mux config register" bitfld.long 0x64 12. "FV,GPIO_A1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x64 8.--9. "FIN,GPIO_A1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x64 4. "ODE,GPIO_A1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x64 0.--2. "MUX,GPIO_A1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x68 "PIN_MUX_CONFIG_GPIO_A2,PAD GPIO_A2 pin-mux config register" bitfld.long 0x68 12. "FV,GPIO_A2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x68 8.--9. "FIN,GPIO_A2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x68 4. "ODE,GPIO_A2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x68 0.--2. "MUX,GPIO_A2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x6C "PIN_MUX_CONFIG_GPIO_A3,PAD GPIO_A3 pin-mux config register" bitfld.long 0x6C 12. "FV,GPIO_A3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x6C 8.--9. "FIN,GPIO_A3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x6C 4. "ODE,GPIO_A3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x6C 0.--2. "MUX,GPIO_A3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x70 "PIN_MUX_CONFIG_GPIO_A4,PAD GPIO_A4 pin-mux config register" bitfld.long 0x70 12. "FV,GPIO_A4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x70 8.--9. "FIN,GPIO_A4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x70 4. "ODE,GPIO_A4 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x70 0.--2. "MUX,GPIO_A4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x74 "PIN_MUX_CONFIG_GPIO_A5,PAD GPIO_A5 pin-mux config register" bitfld.long 0x74 12. "FV,GPIO_A5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x74 8.--9. "FIN,GPIO_A5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x74 4. "ODE,GPIO_A5 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x74 0.--2. "MUX,GPIO_A5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x78 "PIN_MUX_CONFIG_GPIO_A6,PAD GPIO_A6 pin-mux config register" bitfld.long 0x78 12. "FV,GPIO_A6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x78 8.--9. "FIN,GPIO_A6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x78 4. "ODE,GPIO_A6 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x78 0.--2. "MUX,GPIO_A6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x7C "PIN_MUX_CONFIG_GPIO_A7,PAD GPIO_A7 pin-mux config register" bitfld.long 0x7C 12. "FV,GPIO_A7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x7C 8.--9. "FIN,GPIO_A7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x7C 4. "ODE,GPIO_A7 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x7C 0.--2. "MUX,GPIO_A7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x80 "PIN_MUX_CONFIG_GPIO_A8,PAD GPIO_A8 pin-mux config register" bitfld.long 0x80 12. "FV,GPIO_A8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x80 8.--9. "FIN,GPIO_A8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x80 4. "ODE,GPIO_A8 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x80 0.--2. "MUX,GPIO_A8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x84 "PIN_MUX_CONFIG_GPIO_A9,PAD GPIO_A9 pin-mux config register" bitfld.long 0x84 12. "FV,GPIO_A9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x84 8.--9. "FIN,GPIO_A9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x84 4. "ODE,GPIO_A9 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x84 0.--2. "MUX,GPIO_A9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x88 "PIN_MUX_CONFIG_GPIO_A10,PAD GPIO_A10 pin-mux config register" bitfld.long 0x88 12. "FV,GPIO_A10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x88 8.--9. "FIN,GPIO_A10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x88 4. "ODE,GPIO_A10 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x88 0.--2. "MUX,GPIO_A10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8C "PIN_MUX_CONFIG_GPIO_A11,PAD GPIO_A11 pin-mux config register" bitfld.long 0x8C 12. "FV,GPIO_A11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8C 8.--9. "FIN,GPIO_A11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8C 4. "ODE,GPIO_A11 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x8C 0.--2. "MUX,GPIO_A11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x90 "PIN_MUX_CONFIG_GPIO_B0,PAD GPIO_B0 pin-mux config register" bitfld.long 0x90 12. "FV,GPIO_B0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x90 8.--9. "FIN,GPIO_B0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x90 4. "ODE,GPIO_B0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x90 0.--2. "MUX,GPIO_B0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x94 "PIN_MUX_CONFIG_GPIO_B1,PAD GPIO_B1 pin-mux config register" bitfld.long 0x94 12. "FV,GPIO_B1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x94 8.--9. "FIN,GPIO_B1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x94 4. "ODE,GPIO_B1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x94 0.--2. "MUX,GPIO_B1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x98 "PIN_MUX_CONFIG_GPIO_B2,PAD GPIO_B2 pin-mux config register" bitfld.long 0x98 12. "FV,GPIO_B2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x98 8.--9. "FIN,GPIO_B2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x98 4. "ODE,GPIO_B2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x98 0.--2. "MUX,GPIO_B2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x9C "PIN_MUX_CONFIG_GPIO_B3,PAD GPIO_B3 pin-mux config register" bitfld.long 0x9C 12. "FV,GPIO_B3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x9C 8.--9. "FIN,GPIO_B3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x9C 4. "ODE,GPIO_B3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x9C 0.--2. "MUX,GPIO_B3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA0 "PIN_MUX_CONFIG_GPIO_B4,PAD GPIO_B4 pin-mux config register" bitfld.long 0xA0 12. "FV,GPIO_B4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA0 8.--9. "FIN,GPIO_B4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA0 4. "ODE,GPIO_B4 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xA0 0.--2. "MUX,GPIO_B4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA4 "PIN_MUX_CONFIG_GPIO_B5,PAD GPIO_B5 pin-mux config register" bitfld.long 0xA4 12. "FV,GPIO_B5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA4 8.--9. "FIN,GPIO_B5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA4 4. "ODE,GPIO_B5 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xA4 0.--2. "MUX,GPIO_B5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA8 "PIN_MUX_CONFIG_GPIO_B6,PAD GPIO_B6 pin-mux config register" bitfld.long 0xA8 12. "FV,GPIO_B6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA8 8.--9. "FIN,GPIO_B6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA8 4. "ODE,GPIO_B6 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xA8 0.--2. "MUX,GPIO_B6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xAC "PIN_MUX_CONFIG_GPIO_B7,PAD GPIO_B7 pin-mux config register" bitfld.long 0xAC 12. "FV,GPIO_B7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xAC 8.--9. "FIN,GPIO_B7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xAC 4. "ODE,GPIO_B7 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xAC 0.--2. "MUX,GPIO_B7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB0 "PIN_MUX_CONFIG_GPIO_B8,PAD GPIO_B8 pin-mux config register" bitfld.long 0xB0 12. "FV,GPIO_B8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB0 8.--9. "FIN,GPIO_B8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB0 4. "ODE,GPIO_B8 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xB0 0.--2. "MUX,GPIO_B8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB4 "PIN_MUX_CONFIG_GPIO_B9,PAD GPIO_B9 pin-mux config register" bitfld.long 0xB4 12. "FV,GPIO_B9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB4 8.--9. "FIN,GPIO_B9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB4 4. "ODE,GPIO_B9 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xB4 0.--2. "MUX,GPIO_B9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB8 "PIN_MUX_CONFIG_GPIO_B10,PAD GPIO_B10 pin-mux config register" bitfld.long 0xB8 12. "FV,GPIO_B10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB8 8.--9. "FIN,GPIO_B10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB8 4. "ODE,GPIO_B10 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xB8 0.--2. "MUX,GPIO_B10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xBC "PIN_MUX_CONFIG_GPIO_B11,PAD GPIO_B11 pin-mux config register" bitfld.long 0xBC 12. "FV,GPIO_B11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xBC 8.--9. "FIN,GPIO_B11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xBC 4. "ODE,GPIO_B11 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xBC 0.--2. "MUX,GPIO_B11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" group.long 0x400++0xCF line.long 0x0 "INPUT_SOURCE_SELECT_CANFD1_RX,CANFD1_RX input source select register" bitfld.long 0x0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x4 "INPUT_SOURCE_SELECT_CANFD2_RX,CANFD2_RX input source select register" bitfld.long 0x4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x8 "INPUT_SOURCE_SELECT_SPI4_SCLK,SPI4_SCLK input source select register" bitfld.long 0x8 0. "SRC_SEL,source select" "0,1" line.long 0xC "INPUT_SOURCE_SELECT_SPI4_SS,SPI4_SS input source select register" bitfld.long 0xC 0. "SRC_SEL,source select" "0,1" line.long 0x10 "INPUT_SOURCE_SELECT_SPI4_MISO,SPI4_MISO input source select register" bitfld.long 0x10 0. "SRC_SEL,source select" "0,1" line.long 0x14 "INPUT_SOURCE_SELECT_SPI4_MOSI,SPI4_MOSI input source select register" bitfld.long 0x14 0. "SRC_SEL,source select" "0,1" line.long 0x18 "INPUT_SOURCE_SELECT_UART5_RX,UART5_RX input source select register" bitfld.long 0x18 0. "SRC_SEL,source select" "0,1" line.long 0x1C "INPUT_SOURCE_SELECT_ENET1_MDIO,ENET1_MDIO input source select register" bitfld.long 0x1C 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x20 "INPUT_SOURCE_SELECT_I2C2_SCL,I2C2_SCL input source select register" bitfld.long 0x20 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x24 "INPUT_SOURCE_SELECT_ENET1_AUS_IN_1,ENET1_AUS_IN_1 input source select register" bitfld.long 0x24 0. "SRC_SEL,source select" "0,1" line.long 0x28 "INPUT_SOURCE_SELECT_I2C2_SDA,I2C2_SDA input source select register" bitfld.long 0x28 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x2C "INPUT_SOURCE_SELECT_ENET1_AUS_IN_2,ENET1_AUS_IN_2 input source select register" bitfld.long 0x2C 0. "SRC_SEL,source select" "0,1" line.long 0x30 "INPUT_SOURCE_SELECT_SPI3_SCLK,SPI3_SCLK input source select register" bitfld.long 0x30 0. "SRC_SEL,source select" "0,1" line.long 0x34 "INPUT_SOURCE_SELECT_TIMER1_CH0,TIMER1_CH0 input source select register" bitfld.long 0x34 0. "SRC_SEL,source select" "0,1" line.long 0x38 "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_0,ENET1_CAP_COMP_0 input source select register" bitfld.long 0x38 0. "SRC_SEL,source select" "0,1" line.long 0x3C "INPUT_SOURCE_SELECT_SPI3_MISO,SPI3_MISO input source select register" bitfld.long 0x3C 0. "SRC_SEL,source select" "0,1" line.long 0x40 "INPUT_SOURCE_SELECT_UART7_RX,UART7_RX input source select register" bitfld.long 0x40 0. "SRC_SEL,source select" "0,1" line.long 0x44 "INPUT_SOURCE_SELECT_CANFD3_RX,CANFD3_RX input source select register" bitfld.long 0x44 0. "SRC_SEL,source select" "0,1" line.long 0x48 "INPUT_SOURCE_SELECT_TIMER1_CH1,TIMER1_CH1 input source select register" bitfld.long 0x48 0. "SRC_SEL,source select" "0,1" line.long 0x4C "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_1,ENET1_CAP_COMP_1 input source select register" bitfld.long 0x4C 0. "SRC_SEL,source select" "0,1" line.long 0x50 "INPUT_SOURCE_SELECT_SPI3_MOSI,SPI3_MOSI input source select register" bitfld.long 0x50 0. "SRC_SEL,source select" "0,1" line.long 0x54 "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_2,ENET1_CAP_COMP_2 input source select register" bitfld.long 0x54 0. "SRC_SEL,source select" "0,1" line.long 0x58 "INPUT_SOURCE_SELECT_SPI3_SS,SPI3_SS input source select register" bitfld.long 0x58 0. "SRC_SEL,source select" "0,1" line.long 0x5C "INPUT_SOURCE_SELECT_CANFD4_RX,CANFD4_RX input source select register" bitfld.long 0x5C 0. "SRC_SEL,source select" "0,1" line.long 0x60 "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_3,ENET1_CAP_COMP_3 input source select register" bitfld.long 0x60 0. "SRC_SEL,source select" "0,1" line.long 0x64 "INPUT_SOURCE_SELECT_I2C1_SCL,I2C1_SCL input source select register" bitfld.long 0x64 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x68 "INPUT_SOURCE_SELECT_ENET1_AUS_IN_0,ENET1_AUS_IN_0 input source select register" bitfld.long 0x68 0. "SRC_SEL,source select" "0,1" line.long 0x6C "INPUT_SOURCE_SELECT_I2C1_SDA,I2C1_SDA input source select register" bitfld.long 0x6C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x70 "INPUT_SOURCE_SELECT_SPI1_SCLK,SPI1_SCLK input source select register" bitfld.long 0x70 0. "SRC_SEL,source select" "0,1" line.long 0x74 "INPUT_SOURCE_SELECT_I2S_SC1_SCK,I2S_SC1_SCK input source select register" bitfld.long 0x74 0. "SRC_SEL,source select" "0,1" line.long 0x78 "INPUT_SOURCE_SELECT_TIMER1_CH2,TIMER1_CH2 input source select register" bitfld.long 0x78 0. "SRC_SEL,source select" "0,1" line.long 0x7C "INPUT_SOURCE_SELECT_SPI1_MISO,SPI1_MISO input source select register" bitfld.long 0x7C 0. "SRC_SEL,source select" "0,1" line.long 0x80 "INPUT_SOURCE_SELECT_TIMER1_CH3,TIMER1_CH3 input source select register" bitfld.long 0x80 0. "SRC_SEL,source select" "0,1" line.long 0x84 "INPUT_SOURCE_SELECT_SPI1_MOSI,SPI1_MOSI input source select register" bitfld.long 0x84 0. "SRC_SEL,source select" "0,1" line.long 0x88 "INPUT_SOURCE_SELECT_I2S_SC1_SDI_SDO,I2S_SC1_SDI_SDO input source select register" bitfld.long 0x88 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x8C "INPUT_SOURCE_SELECT_SPI1_SS,SPI1_SS input source select register" bitfld.long 0x8C 0. "SRC_SEL,source select" "0,1" line.long 0x90 "INPUT_SOURCE_SELECT_I2S_SC1_WS,I2S_SC1_WS input source select register" bitfld.long 0x90 0. "SRC_SEL,source select" "0,1" line.long 0x94 "INPUT_SOURCE_SELECT_SPI2_SCLK,SPI2_SCLK input source select register" bitfld.long 0x94 0. "SRC_SEL,source select" "0,1" line.long 0x98 "INPUT_SOURCE_SELECT_I2S_SC2_SCK,I2S_SC2_SCK input source select register" bitfld.long 0x98 0. "SRC_SEL,source select" "0,1" line.long 0x9C "INPUT_SOURCE_SELECT_SPI2_MISO,SPI2_MISO input source select register" bitfld.long 0x9C 0. "SRC_SEL,source select" "0,1" line.long 0xA0 "INPUT_SOURCE_SELECT_SPI2_MOSI,SPI2_MOSI input source select register" bitfld.long 0xA0 0. "SRC_SEL,source select" "0,1" line.long 0xA4 "INPUT_SOURCE_SELECT_I2S_SC2_SDI_SDO,I2S_SC2_SDI_SDO input source select register" bitfld.long 0xA4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA8 "INPUT_SOURCE_SELECT_SPI2_SS,SPI2_SS input source select register" bitfld.long 0xA8 0. "SRC_SEL,source select" "0,1" line.long 0xAC "INPUT_SOURCE_SELECT_I2S_SC2_WS,I2S_SC2_WS input source select register" bitfld.long 0xAC 0. "SRC_SEL,source select" "0,1" line.long 0xB0 "INPUT_SOURCE_SELECT_I2C3_SCL,I2C3_SCL input source select register" bitfld.long 0xB0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB4 "INPUT_SOURCE_SELECT_I2C3_SDA,I2C3_SDA input source select register" bitfld.long 0xB4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB8 "INPUT_SOURCE_SELECT_TIMER2_CH0,TIMER2_CH0 input source select register" bitfld.long 0xB8 0. "SRC_SEL,source select" "0,1" line.long 0xBC "INPUT_SOURCE_SELECT_I2C4_SCL,I2C4_SCL input source select register" bitfld.long 0xBC 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xC0 "INPUT_SOURCE_SELECT_TIMER2_CH1,TIMER2_CH1 input source select register" bitfld.long 0xC0 0. "SRC_SEL,source select" "0,1" line.long 0xC4 "INPUT_SOURCE_SELECT_I2C4_SDA,I2C4_SDA input source select register" bitfld.long 0xC4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xC8 "INPUT_SOURCE_SELECT_TIMER2_CH2,TIMER2_CH2 input source select register" bitfld.long 0xC8 0. "SRC_SEL,source select" "0,1" line.long 0xCC "INPUT_SOURCE_SELECT_TIMER2_CH3,TIMER2_CH3 input source select register" bitfld.long 0xCC 0. "SRC_SEL,source select" "0,1" tree.end elif (CORENAME()=="CORTEXA55") tree "PINCTRL_AP" base ad:0x38500000 group.long 0x0++0x1AF line.long 0x0 "IO_PAD_CONFIG_GPIO_C0,PAD GPIO_C0 config register" bitfld.long 0x0 16. "POE,GPIO_C0 PAD Parametric output enable" "0,1" bitfld.long 0x0 12. "IS,GPIO_C0 PAD Input select" "0,1" bitfld.long 0x0 8. "SR,GPIO_C0 PAD Slew rate" "0,1" newline bitfld.long 0x0 4.--5. "DS,GPIO_C0 PAD Driver select" "0,1,2,3" bitfld.long 0x0 1. "PS,GPIO_C0 PAD Pull select" "0,1" bitfld.long 0x0 0. "PE,GPIO_C0 PAD Pull enable" "0,1" line.long 0x4 "IO_PAD_CONFIG_GPIO_C1,PAD GPIO_C1 config register" bitfld.long 0x4 16. "POE,GPIO_C1 PAD Parametric output enable" "0,1" bitfld.long 0x4 12. "IS,GPIO_C1 PAD Input select" "0,1" bitfld.long 0x4 8. "SR,GPIO_C1 PAD Slew rate" "0,1" newline bitfld.long 0x4 4.--5. "DS,GPIO_C1 PAD Driver select" "0,1,2,3" bitfld.long 0x4 1. "PS,GPIO_C1 PAD Pull select" "0,1" bitfld.long 0x4 0. "PE,GPIO_C1 PAD Pull enable" "0,1" line.long 0x8 "IO_PAD_CONFIG_GPIO_C2,PAD GPIO_C2 config register" bitfld.long 0x8 16. "POE,GPIO_C2 PAD Parametric output enable" "0,1" bitfld.long 0x8 12. "IS,GPIO_C2 PAD Input select" "0,1" bitfld.long 0x8 8. "SR,GPIO_C2 PAD Slew rate" "0,1" newline bitfld.long 0x8 4.--5. "DS,GPIO_C2 PAD Driver select" "0,1,2,3" bitfld.long 0x8 1. "PS,GPIO_C2 PAD Pull select" "0,1" bitfld.long 0x8 0. "PE,GPIO_C2 PAD Pull enable" "0,1" line.long 0xC "IO_PAD_CONFIG_GPIO_C3,PAD GPIO_C3 config register" bitfld.long 0xC 16. "POE,GPIO_C3 PAD Parametric output enable" "0,1" bitfld.long 0xC 12. "IS,GPIO_C3 PAD Input select" "0,1" bitfld.long 0xC 8. "SR,GPIO_C3 PAD Slew rate" "0,1" newline bitfld.long 0xC 4.--5. "DS,GPIO_C3 PAD Driver select" "0,1,2,3" bitfld.long 0xC 1. "PS,GPIO_C3 PAD Pull select" "0,1" bitfld.long 0xC 0. "PE,GPIO_C3 PAD Pull enable" "0,1" line.long 0x10 "IO_PAD_CONFIG_GPIO_C4,PAD GPIO_C4 config register" bitfld.long 0x10 16. "POE,GPIO_C4 PAD Parametric output enable" "0,1" bitfld.long 0x10 12. "IS,GPIO_C4 PAD Input select" "0,1" bitfld.long 0x10 8. "SR,GPIO_C4 PAD Slew rate" "0,1" newline bitfld.long 0x10 4.--5. "DS,GPIO_C4 PAD Driver select" "0,1,2,3" bitfld.long 0x10 1. "PS,GPIO_C4 PAD Pull select" "0,1" bitfld.long 0x10 0. "PE,GPIO_C4 PAD Pull enable" "0,1" line.long 0x14 "IO_PAD_CONFIG_GPIO_C5,PAD GPIO_C5 config register" bitfld.long 0x14 16. "POE,GPIO_C5 PAD Parametric output enable" "0,1" bitfld.long 0x14 12. "IS,GPIO_C5 PAD Input select" "0,1" bitfld.long 0x14 8. "SR,GPIO_C5 PAD Slew rate" "0,1" newline bitfld.long 0x14 4.--5. "DS,GPIO_C5 PAD Driver select" "0,1,2,3" bitfld.long 0x14 1. "PS,GPIO_C5 PAD Pull select" "0,1" bitfld.long 0x14 0. "PE,GPIO_C5 PAD Pull enable" "0,1" line.long 0x18 "IO_PAD_CONFIG_GPIO_C6,PAD GPIO_C6 config register" bitfld.long 0x18 16. "POE,GPIO_C6 PAD Parametric output enable" "0,1" bitfld.long 0x18 12. "IS,GPIO_C6 PAD Input select" "0,1" bitfld.long 0x18 8. "SR,GPIO_C6 PAD Slew rate" "0,1" newline bitfld.long 0x18 4.--5. "DS,GPIO_C6 PAD Driver select" "0,1,2,3" bitfld.long 0x18 1. "PS,GPIO_C6 PAD Pull select" "0,1" bitfld.long 0x18 0. "PE,GPIO_C6 PAD Pull enable" "0,1" line.long 0x1C "IO_PAD_CONFIG_GPIO_C7,PAD GPIO_C7 config register" bitfld.long 0x1C 16. "POE,GPIO_C7 PAD Parametric output enable" "0,1" bitfld.long 0x1C 12. "IS,GPIO_C7 PAD Input select" "0,1" bitfld.long 0x1C 8. "SR,GPIO_C7 PAD Slew rate" "0,1" newline bitfld.long 0x1C 4.--5. "DS,GPIO_C7 PAD Driver select" "0,1,2,3" bitfld.long 0x1C 1. "PS,GPIO_C7 PAD Pull select" "0,1" bitfld.long 0x1C 0. "PE,GPIO_C7 PAD Pull enable" "0,1" line.long 0x20 "IO_PAD_CONFIG_GPIO_C8,PAD GPIO_C8 config register" bitfld.long 0x20 16. "POE,GPIO_C8 PAD Parametric output enable" "0,1" bitfld.long 0x20 12. "IS,GPIO_C8 PAD Input select" "0,1" bitfld.long 0x20 8. "SR,GPIO_C8 PAD Slew rate" "0,1" newline bitfld.long 0x20 4.--5. "DS,GPIO_C8 PAD Driver select" "0,1,2,3" bitfld.long 0x20 1. "PS,GPIO_C8 PAD Pull select" "0,1" bitfld.long 0x20 0. "PE,GPIO_C8 PAD Pull enable" "0,1" line.long 0x24 "IO_PAD_CONFIG_GPIO_C9,PAD GPIO_C9 config register" bitfld.long 0x24 16. "POE,GPIO_C9 PAD Parametric output enable" "0,1" bitfld.long 0x24 12. "IS,GPIO_C9 PAD Input select" "0,1" bitfld.long 0x24 8. "SR,GPIO_C9 PAD Slew rate" "0,1" newline bitfld.long 0x24 4.--5. "DS,GPIO_C9 PAD Driver select" "0,1,2,3" bitfld.long 0x24 1. "PS,GPIO_C9 PAD Pull select" "0,1" bitfld.long 0x24 0. "PE,GPIO_C9 PAD Pull enable" "0,1" line.long 0x28 "IO_PAD_CONFIG_GPIO_C10,PAD GPIO_C10 config register" bitfld.long 0x28 16. "POE,GPIO_C10 PAD Parametric output enable" "0,1" bitfld.long 0x28 12. "IS,GPIO_C10 PAD Input select" "0,1" bitfld.long 0x28 8. "SR,GPIO_C10 PAD Slew rate" "0,1" newline bitfld.long 0x28 4.--5. "DS,GPIO_C10 PAD Driver select" "0,1,2,3" bitfld.long 0x28 1. "PS,GPIO_C10 PAD Pull select" "0,1" bitfld.long 0x28 0. "PE,GPIO_C10 PAD Pull enable" "0,1" line.long 0x2C "IO_PAD_CONFIG_GPIO_C11,PAD GPIO_C11 config register" bitfld.long 0x2C 16. "POE,GPIO_C11 PAD Parametric output enable" "0,1" bitfld.long 0x2C 12. "IS,GPIO_C11 PAD Input select" "0,1" bitfld.long 0x2C 8. "SR,GPIO_C11 PAD Slew rate" "0,1" newline bitfld.long 0x2C 4.--5. "DS,GPIO_C11 PAD Driver select" "0,1,2,3" bitfld.long 0x2C 1. "PS,GPIO_C11 PAD Pull select" "0,1" bitfld.long 0x2C 0. "PE,GPIO_C11 PAD Pull enable" "0,1" line.long 0x30 "IO_PAD_CONFIG_GPIO_C12,PAD GPIO_C12 config register" bitfld.long 0x30 16. "POE,GPIO_C12 PAD Parametric output enable" "0,1" bitfld.long 0x30 12. "IS,GPIO_C12 PAD Input select" "0,1" bitfld.long 0x30 8. "SR,GPIO_C12 PAD Slew rate" "0,1" newline bitfld.long 0x30 4.--5. "DS,GPIO_C12 PAD Driver select" "0,1,2,3" bitfld.long 0x30 1. "PS,GPIO_C12 PAD Pull select" "0,1" bitfld.long 0x30 0. "PE,GPIO_C12 PAD Pull enable" "0,1" line.long 0x34 "IO_PAD_CONFIG_GPIO_C13,PAD GPIO_C13 config register" bitfld.long 0x34 16. "POE,GPIO_C13 PAD Parametric output enable" "0,1" bitfld.long 0x34 12. "IS,GPIO_C13 PAD Input select" "0,1" bitfld.long 0x34 8. "SR,GPIO_C13 PAD Slew rate" "0,1" newline bitfld.long 0x34 4.--5. "DS,GPIO_C13 PAD Driver select" "0,1,2,3" bitfld.long 0x34 1. "PS,GPIO_C13 PAD Pull select" "0,1" bitfld.long 0x34 0. "PE,GPIO_C13 PAD Pull enable" "0,1" line.long 0x38 "IO_PAD_CONFIG_GPIO_C14,PAD GPIO_C14 config register" bitfld.long 0x38 16. "POE,GPIO_C14 PAD Parametric output enable" "0,1" bitfld.long 0x38 12. "IS,GPIO_C14 PAD Input select" "0,1" bitfld.long 0x38 8. "SR,GPIO_C14 PAD Slew rate" "0,1" newline bitfld.long 0x38 4.--5. "DS,GPIO_C14 PAD Driver select" "0,1,2,3" bitfld.long 0x38 1. "PS,GPIO_C14 PAD Pull select" "0,1" bitfld.long 0x38 0. "PE,GPIO_C14 PAD Pull enable" "0,1" line.long 0x3C "IO_PAD_CONFIG_GPIO_C15,PAD GPIO_C15 config register" bitfld.long 0x3C 16. "POE,GPIO_C15 PAD Parametric output enable" "0,1" bitfld.long 0x3C 12. "IS,GPIO_C15 PAD Input select" "0,1" bitfld.long 0x3C 8. "SR,GPIO_C15 PAD Slew rate" "0,1" newline bitfld.long 0x3C 4.--5. "DS,GPIO_C15 PAD Driver select" "0,1,2,3" bitfld.long 0x3C 1. "PS,GPIO_C15 PAD Pull select" "0,1" bitfld.long 0x3C 0. "PE,GPIO_C15 PAD Pull enable" "0,1" line.long 0x40 "IO_PAD_CONFIG_GPIO_D0,PAD GPIO_D0 config register" bitfld.long 0x40 16. "POE,GPIO_D0 PAD Parametric output enable" "0,1" bitfld.long 0x40 12. "IS,GPIO_D0 PAD Input select" "0,1" bitfld.long 0x40 8. "SR,GPIO_D0 PAD Slew rate" "0,1" newline bitfld.long 0x40 4.--5. "DS,GPIO_D0 PAD Driver select" "0,1,2,3" bitfld.long 0x40 1. "PS,GPIO_D0 PAD Pull select" "0,1" bitfld.long 0x40 0. "PE,GPIO_D0 PAD Pull enable" "0,1" line.long 0x44 "IO_PAD_CONFIG_GPIO_D1,PAD GPIO_D1 config register" bitfld.long 0x44 16. "POE,GPIO_D1 PAD Parametric output enable" "0,1" bitfld.long 0x44 12. "IS,GPIO_D1 PAD Input select" "0,1" bitfld.long 0x44 8. "SR,GPIO_D1 PAD Slew rate" "0,1" newline bitfld.long 0x44 4.--5. "DS,GPIO_D1 PAD Driver select" "0,1,2,3" bitfld.long 0x44 1. "PS,GPIO_D1 PAD Pull select" "0,1" bitfld.long 0x44 0. "PE,GPIO_D1 PAD Pull enable" "0,1" line.long 0x48 "IO_PAD_CONFIG_GPIO_D2,PAD GPIO_D2 config register" bitfld.long 0x48 16. "POE,GPIO_D2 PAD Parametric output enable" "0,1" bitfld.long 0x48 12. "IS,GPIO_D2 PAD Input select" "0,1" bitfld.long 0x48 8. "SR,GPIO_D2 PAD Slew rate" "0,1" newline bitfld.long 0x48 4.--5. "DS,GPIO_D2 PAD Driver select" "0,1,2,3" bitfld.long 0x48 1. "PS,GPIO_D2 PAD Pull select" "0,1" bitfld.long 0x48 0. "PE,GPIO_D2 PAD Pull enable" "0,1" line.long 0x4C "IO_PAD_CONFIG_GPIO_D3,PAD GPIO_D3 config register" bitfld.long 0x4C 16. "POE,GPIO_D3 PAD Parametric output enable" "0,1" bitfld.long 0x4C 12. "IS,GPIO_D3 PAD Input select" "0,1" bitfld.long 0x4C 8. "SR,GPIO_D3 PAD Slew rate" "0,1" newline bitfld.long 0x4C 4.--5. "DS,GPIO_D3 PAD Driver select" "0,1,2,3" bitfld.long 0x4C 1. "PS,GPIO_D3 PAD Pull select" "0,1" bitfld.long 0x4C 0. "PE,GPIO_D3 PAD Pull enable" "0,1" line.long 0x50 "IO_PAD_CONFIG_GPIO_D4,PAD GPIO_D4 config register" bitfld.long 0x50 16. "POE,GPIO_D4 PAD Parametric output enable" "0,1" bitfld.long 0x50 12. "IS,GPIO_D4 PAD Input select" "0,1" bitfld.long 0x50 8. "SR,GPIO_D4 PAD Slew rate" "0,1" newline bitfld.long 0x50 4.--5. "DS,GPIO_D4 PAD Driver select" "0,1,2,3" bitfld.long 0x50 1. "PS,GPIO_D4 PAD Pull select" "0,1" bitfld.long 0x50 0. "PE,GPIO_D4 PAD Pull enable" "0,1" line.long 0x54 "IO_PAD_CONFIG_GPIO_D5,PAD GPIO_D5 config register" bitfld.long 0x54 16. "POE,GPIO_D5 PAD Parametric output enable" "0,1" bitfld.long 0x54 12. "IS,GPIO_D5 PAD Input select" "0,1" bitfld.long 0x54 8. "SR,GPIO_D5 PAD Slew rate" "0,1" newline bitfld.long 0x54 4.--5. "DS,GPIO_D5 PAD Driver select" "0,1,2,3" bitfld.long 0x54 1. "PS,GPIO_D5 PAD Pull select" "0,1" bitfld.long 0x54 0. "PE,GPIO_D5 PAD Pull enable" "0,1" line.long 0x58 "IO_PAD_CONFIG_GPIO_D6,PAD GPIO_D6 config register" bitfld.long 0x58 16. "POE,GPIO_D6 PAD Parametric output enable" "0,1" bitfld.long 0x58 12. "IS,GPIO_D6 PAD Input select" "0,1" bitfld.long 0x58 8. "SR,GPIO_D6 PAD Slew rate" "0,1" newline bitfld.long 0x58 4.--5. "DS,GPIO_D6 PAD Driver select" "0,1,2,3" bitfld.long 0x58 1. "PS,GPIO_D6 PAD Pull select" "0,1" bitfld.long 0x58 0. "PE,GPIO_D6 PAD Pull enable" "0,1" line.long 0x5C "IO_PAD_CONFIG_GPIO_D7,PAD GPIO_D7 config register" bitfld.long 0x5C 16. "POE,GPIO_D7 PAD Parametric output enable" "0,1" bitfld.long 0x5C 12. "IS,GPIO_D7 PAD Input select" "0,1" bitfld.long 0x5C 8. "SR,GPIO_D7 PAD Slew rate" "0,1" newline bitfld.long 0x5C 4.--5. "DS,GPIO_D7 PAD Driver select" "0,1,2,3" bitfld.long 0x5C 1. "PS,GPIO_D7 PAD Pull select" "0,1" bitfld.long 0x5C 0. "PE,GPIO_D7 PAD Pull enable" "0,1" line.long 0x60 "IO_PAD_CONFIG_GPIO_D8,PAD GPIO_D8 config register" bitfld.long 0x60 16. "POE,GPIO_D8 PAD Parametric output enable" "0,1" bitfld.long 0x60 12. "IS,GPIO_D8 PAD Input select" "0,1" bitfld.long 0x60 8. "SR,GPIO_D8 PAD Slew rate" "0,1" newline bitfld.long 0x60 4.--5. "DS,GPIO_D8 PAD Driver select" "0,1,2,3" bitfld.long 0x60 1. "PS,GPIO_D8 PAD Pull select" "0,1" bitfld.long 0x60 0. "PE,GPIO_D8 PAD Pull enable" "0,1" line.long 0x64 "IO_PAD_CONFIG_GPIO_D9,PAD GPIO_D9 config register" bitfld.long 0x64 16. "POE,GPIO_D9 PAD Parametric output enable" "0,1" bitfld.long 0x64 12. "IS,GPIO_D9 PAD Input select" "0,1" bitfld.long 0x64 8. "SR,GPIO_D9 PAD Slew rate" "0,1" newline bitfld.long 0x64 4.--5. "DS,GPIO_D9 PAD Driver select" "0,1,2,3" bitfld.long 0x64 1. "PS,GPIO_D9 PAD Pull select" "0,1" bitfld.long 0x64 0. "PE,GPIO_D9 PAD Pull enable" "0,1" line.long 0x68 "IO_PAD_CONFIG_GPIO_D10,PAD GPIO_D10 config register" bitfld.long 0x68 16. "POE,GPIO_D10 PAD Parametric output enable" "0,1" bitfld.long 0x68 12. "IS,GPIO_D10 PAD Input select" "0,1" bitfld.long 0x68 8. "SR,GPIO_D10 PAD Slew rate" "0,1" newline bitfld.long 0x68 4.--5. "DS,GPIO_D10 PAD Driver select" "0,1,2,3" bitfld.long 0x68 1. "PS,GPIO_D10 PAD Pull select" "0,1" bitfld.long 0x68 0. "PE,GPIO_D10 PAD Pull enable" "0,1" line.long 0x6C "IO_PAD_CONFIG_GPIO_D11,PAD GPIO_D11 config register" bitfld.long 0x6C 16. "POE,GPIO_D11 PAD Parametric output enable" "0,1" bitfld.long 0x6C 12. "IS,GPIO_D11 PAD Input select" "0,1" bitfld.long 0x6C 8. "SR,GPIO_D11 PAD Slew rate" "0,1" newline bitfld.long 0x6C 4.--5. "DS,GPIO_D11 PAD Driver select" "0,1,2,3" bitfld.long 0x6C 1. "PS,GPIO_D11 PAD Pull select" "0,1" bitfld.long 0x6C 0. "PE,GPIO_D11 PAD Pull enable" "0,1" line.long 0x70 "IO_PAD_CONFIG_GPIO_D12,PAD GPIO_D12 config register" bitfld.long 0x70 16. "POE,GPIO_D12 PAD Parametric output enable" "0,1" bitfld.long 0x70 12. "IS,GPIO_D12 PAD Input select" "0,1" bitfld.long 0x70 8. "SR,GPIO_D12 PAD Slew rate" "0,1" newline bitfld.long 0x70 4.--5. "DS,GPIO_D12 PAD Driver select" "0,1,2,3" bitfld.long 0x70 1. "PS,GPIO_D12 PAD Pull select" "0,1" bitfld.long 0x70 0. "PE,GPIO_D12 PAD Pull enable" "0,1" line.long 0x74 "IO_PAD_CONFIG_GPIO_D13,PAD GPIO_D13 config register" bitfld.long 0x74 16. "POE,GPIO_D13 PAD Parametric output enable" "0,1" bitfld.long 0x74 12. "IS,GPIO_D13 PAD Input select" "0,1" bitfld.long 0x74 8. "SR,GPIO_D13 PAD Slew rate" "0,1" newline bitfld.long 0x74 4.--5. "DS,GPIO_D13 PAD Driver select" "0,1,2,3" bitfld.long 0x74 1. "PS,GPIO_D13 PAD Pull select" "0,1" bitfld.long 0x74 0. "PE,GPIO_D13 PAD Pull enable" "0,1" line.long 0x78 "IO_PAD_CONFIG_GPIO_D14,PAD GPIO_D14 config register" bitfld.long 0x78 16. "POE,GPIO_D14 PAD Parametric output enable" "0,1" bitfld.long 0x78 12. "IS,GPIO_D14 PAD Input select" "0,1" bitfld.long 0x78 8. "SR,GPIO_D14 PAD Slew rate" "0,1" newline bitfld.long 0x78 4.--5. "DS,GPIO_D14 PAD Driver select" "0,1,2,3" bitfld.long 0x78 1. "PS,GPIO_D14 PAD Pull select" "0,1" bitfld.long 0x78 0. "PE,GPIO_D14 PAD Pull enable" "0,1" line.long 0x7C "IO_PAD_CONFIG_GPIO_D15,PAD GPIO_D15 config register" bitfld.long 0x7C 16. "POE,GPIO_D15 PAD Parametric output enable" "0,1" bitfld.long 0x7C 12. "IS,GPIO_D15 PAD Input select" "0,1" bitfld.long 0x7C 8. "SR,GPIO_D15 PAD Slew rate" "0,1" newline bitfld.long 0x7C 4.--5. "DS,GPIO_D15 PAD Driver select" "0,1,2,3" bitfld.long 0x7C 1. "PS,GPIO_D15 PAD Pull select" "0,1" bitfld.long 0x7C 0. "PE,GPIO_D15 PAD Pull enable" "0,1" line.long 0x80 "IO_PAD_CONFIG_OSPI2_SCLK,PAD OSPI2_SCLK config register" bitfld.long 0x80 16. "POE,OSPI2_SCLK PAD Parametric output enable" "0,1" bitfld.long 0x80 12. "IS,OSPI2_SCLK PAD Input select" "0,1" bitfld.long 0x80 8. "SR,OSPI2_SCLK PAD Slew rate" "0,1" newline bitfld.long 0x80 4.--5. "DS,OSPI2_SCLK PAD Driver select" "0,1,2,3" bitfld.long 0x80 1. "PS,OSPI2_SCLK PAD Pull select" "0,1" bitfld.long 0x80 0. "PE,OSPI2_SCLK PAD Pull enable" "0,1" line.long 0x84 "IO_PAD_CONFIG_OSPI2_SS0,PAD OSPI2_SS0 config register" bitfld.long 0x84 16. "POE,OSPI2_SS0 PAD Parametric output enable" "0,1" bitfld.long 0x84 12. "IS,OSPI2_SS0 PAD Input select" "0,1" bitfld.long 0x84 8. "SR,OSPI2_SS0 PAD Slew rate" "0,1" newline bitfld.long 0x84 4.--5. "DS,OSPI2_SS0 PAD Driver select" "0,1,2,3" bitfld.long 0x84 1. "PS,OSPI2_SS0 PAD Pull select" "0,1" bitfld.long 0x84 0. "PE,OSPI2_SS0 PAD Pull enable" "0,1" line.long 0x88 "IO_PAD_CONFIG_OSPI2_DATA0,PAD OSPI2_DATA0 config register" bitfld.long 0x88 16. "POE,OSPI2_DATA0 PAD Parametric output enable" "0,1" bitfld.long 0x88 12. "IS,OSPI2_DATA0 PAD Input select" "0,1" bitfld.long 0x88 8. "SR,OSPI2_DATA0 PAD Slew rate" "0,1" newline bitfld.long 0x88 4.--5. "DS,OSPI2_DATA0 PAD Driver select" "0,1,2,3" bitfld.long 0x88 1. "PS,OSPI2_DATA0 PAD Pull select" "0,1" bitfld.long 0x88 0. "PE,OSPI2_DATA0 PAD Pull enable" "0,1" line.long 0x8C "IO_PAD_CONFIG_OSPI2_DATA1,PAD OSPI2_DATA1 config register" bitfld.long 0x8C 16. "POE,OSPI2_DATA1 PAD Parametric output enable" "0,1" bitfld.long 0x8C 12. "IS,OSPI2_DATA1 PAD Input select" "0,1" bitfld.long 0x8C 8. "SR,OSPI2_DATA1 PAD Slew rate" "0,1" newline bitfld.long 0x8C 4.--5. "DS,OSPI2_DATA1 PAD Driver select" "0,1,2,3" bitfld.long 0x8C 1. "PS,OSPI2_DATA1 PAD Pull select" "0,1" bitfld.long 0x8C 0. "PE,OSPI2_DATA1 PAD Pull enable" "0,1" line.long 0x90 "IO_PAD_CONFIG_OSPI2_DATA2,PAD OSPI2_DATA2 config register" bitfld.long 0x90 16. "POE,OSPI2_DATA2 PAD Parametric output enable" "0,1" bitfld.long 0x90 12. "IS,OSPI2_DATA2 PAD Input select" "0,1" bitfld.long 0x90 8. "SR,OSPI2_DATA2 PAD Slew rate" "0,1" newline bitfld.long 0x90 4.--5. "DS,OSPI2_DATA2 PAD Driver select" "0,1,2,3" bitfld.long 0x90 1. "PS,OSPI2_DATA2 PAD Pull select" "0,1" bitfld.long 0x90 0. "PE,OSPI2_DATA2 PAD Pull enable" "0,1" line.long 0x94 "IO_PAD_CONFIG_OSPI2_DATA3,PAD OSPI2_DATA3 config register" bitfld.long 0x94 16. "POE,OSPI2_DATA3 PAD Parametric output enable" "0,1" bitfld.long 0x94 12. "IS,OSPI2_DATA3 PAD Input select" "0,1" bitfld.long 0x94 8. "SR,OSPI2_DATA3 PAD Slew rate" "0,1" newline bitfld.long 0x94 4.--5. "DS,OSPI2_DATA3 PAD Driver select" "0,1,2,3" bitfld.long 0x94 1. "PS,OSPI2_DATA3 PAD Pull select" "0,1" bitfld.long 0x94 0. "PE,OSPI2_DATA3 PAD Pull enable" "0,1" line.long 0x98 "IO_PAD_CONFIG_OSPI2_DATA4,PAD OSPI2_DATA4 config register" bitfld.long 0x98 16. "POE,OSPI2_DATA4 PAD Parametric output enable" "0,1" bitfld.long 0x98 12. "IS,OSPI2_DATA4 PAD Input select" "0,1" bitfld.long 0x98 8. "SR,OSPI2_DATA4 PAD Slew rate" "0,1" newline bitfld.long 0x98 4.--5. "DS,OSPI2_DATA4 PAD Driver select" "0,1,2,3" bitfld.long 0x98 1. "PS,OSPI2_DATA4 PAD Pull select" "0,1" bitfld.long 0x98 0. "PE,OSPI2_DATA4 PAD Pull enable" "0,1" line.long 0x9C "IO_PAD_CONFIG_OSPI2_DATA5,PAD OSPI2_DATA5 config register" bitfld.long 0x9C 16. "POE,OSPI2_DATA5 PAD Parametric output enable" "0,1" bitfld.long 0x9C 12. "IS,OSPI2_DATA5 PAD Input select" "0,1" bitfld.long 0x9C 8. "SR,OSPI2_DATA5 PAD Slew rate" "0,1" newline bitfld.long 0x9C 4.--5. "DS,OSPI2_DATA5 PAD Driver select" "0,1,2,3" bitfld.long 0x9C 1. "PS,OSPI2_DATA5 PAD Pull select" "0,1" bitfld.long 0x9C 0. "PE,OSPI2_DATA5 PAD Pull enable" "0,1" line.long 0xA0 "IO_PAD_CONFIG_OSPI2_DATA6,PAD OSPI2_DATA6 config register" bitfld.long 0xA0 16. "POE,OSPI2_DATA6 PAD Parametric output enable" "0,1" bitfld.long 0xA0 12. "IS,OSPI2_DATA6 PAD Input select" "0,1" bitfld.long 0xA0 8. "SR,OSPI2_DATA6 PAD Slew rate" "0,1" newline bitfld.long 0xA0 4.--5. "DS,OSPI2_DATA6 PAD Driver select" "0,1,2,3" bitfld.long 0xA0 1. "PS,OSPI2_DATA6 PAD Pull select" "0,1" bitfld.long 0xA0 0. "PE,OSPI2_DATA6 PAD Pull enable" "0,1" line.long 0xA4 "IO_PAD_CONFIG_OSPI2_DATA7,PAD OSPI2_DATA7 config register" bitfld.long 0xA4 16. "POE,OSPI2_DATA7 PAD Parametric output enable" "0,1" bitfld.long 0xA4 12. "IS,OSPI2_DATA7 PAD Input select" "0,1" bitfld.long 0xA4 8. "SR,OSPI2_DATA7 PAD Slew rate" "0,1" newline bitfld.long 0xA4 4.--5. "DS,OSPI2_DATA7 PAD Driver select" "0,1,2,3" bitfld.long 0xA4 1. "PS,OSPI2_DATA7 PAD Pull select" "0,1" bitfld.long 0xA4 0. "PE,OSPI2_DATA7 PAD Pull enable" "0,1" line.long 0xA8 "IO_PAD_CONFIG_OSPI2_DQS,PAD OSPI2_DQS config register" bitfld.long 0xA8 16. "POE,OSPI2_DQS PAD Parametric output enable" "0,1" bitfld.long 0xA8 12. "IS,OSPI2_DQS PAD Input select" "0,1" bitfld.long 0xA8 8. "SR,OSPI2_DQS PAD Slew rate" "0,1" newline bitfld.long 0xA8 4.--5. "DS,OSPI2_DQS PAD Driver select" "0,1,2,3" bitfld.long 0xA8 1. "PS,OSPI2_DQS PAD Pull select" "0,1" bitfld.long 0xA8 0. "PE,OSPI2_DQS PAD Pull enable" "0,1" line.long 0xAC "IO_PAD_CONFIG_OSPI2_SS1,PAD OSPI2_SS1 config register" bitfld.long 0xAC 16. "POE,OSPI2_SS1 PAD Parametric output enable" "0,1" bitfld.long 0xAC 12. "IS,OSPI2_SS1 PAD Input select" "0,1" bitfld.long 0xAC 8. "SR,OSPI2_SS1 PAD Slew rate" "0,1" newline bitfld.long 0xAC 4.--5. "DS,OSPI2_SS1 PAD Driver select" "0,1,2,3" bitfld.long 0xAC 1. "PS,OSPI2_SS1 PAD Pull select" "0,1" bitfld.long 0xAC 0. "PE,OSPI2_SS1 PAD Pull enable" "0,1" line.long 0xB0 "IO_PAD_CONFIG_RGMII2_TXC,PAD RGMII2_TXC config register" bitfld.long 0xB0 16. "POE,RGMII2_TXC PAD Parametric output enable" "0,1" bitfld.long 0xB0 12. "IS,RGMII2_TXC PAD Input select" "0,1" bitfld.long 0xB0 8. "SR,RGMII2_TXC PAD Slew rate" "0,1" newline bitfld.long 0xB0 4.--5. "DS,RGMII2_TXC PAD Driver select" "0,1,2,3" bitfld.long 0xB0 1. "PS,RGMII2_TXC PAD Pull select" "0,1" bitfld.long 0xB0 0. "PE,RGMII2_TXC PAD Pull enable" "0,1" line.long 0xB4 "IO_PAD_CONFIG_RGMII2_TXD0,PAD RGMII2_TXD0 config register" bitfld.long 0xB4 16. "POE,RGMII2_TXD0 PAD Parametric output enable" "0,1" bitfld.long 0xB4 12. "IS,RGMII2_TXD0 PAD Input select" "0,1" bitfld.long 0xB4 8. "SR,RGMII2_TXD0 PAD Slew rate" "0,1" newline bitfld.long 0xB4 4.--5. "DS,RGMII2_TXD0 PAD Driver select" "0,1,2,3" bitfld.long 0xB4 1. "PS,RGMII2_TXD0 PAD Pull select" "0,1" bitfld.long 0xB4 0. "PE,RGMII2_TXD0 PAD Pull enable" "0,1" line.long 0xB8 "IO_PAD_CONFIG_RGMII2_TXD1,PAD RGMII2_TXD1 config register" bitfld.long 0xB8 16. "POE,RGMII2_TXD1 PAD Parametric output enable" "0,1" bitfld.long 0xB8 12. "IS,RGMII2_TXD1 PAD Input select" "0,1" bitfld.long 0xB8 8. "SR,RGMII2_TXD1 PAD Slew rate" "0,1" newline bitfld.long 0xB8 4.--5. "DS,RGMII2_TXD1 PAD Driver select" "0,1,2,3" bitfld.long 0xB8 1. "PS,RGMII2_TXD1 PAD Pull select" "0,1" bitfld.long 0xB8 0. "PE,RGMII2_TXD1 PAD Pull enable" "0,1" line.long 0xBC "IO_PAD_CONFIG_RGMII2_TXD2,PAD RGMII2_TXD2 config register" bitfld.long 0xBC 16. "POE,RGMII2_TXD2 PAD Parametric output enable" "0,1" bitfld.long 0xBC 12. "IS,RGMII2_TXD2 PAD Input select" "0,1" bitfld.long 0xBC 8. "SR,RGMII2_TXD2 PAD Slew rate" "0,1" newline bitfld.long 0xBC 4.--5. "DS,RGMII2_TXD2 PAD Driver select" "0,1,2,3" bitfld.long 0xBC 1. "PS,RGMII2_TXD2 PAD Pull select" "0,1" bitfld.long 0xBC 0. "PE,RGMII2_TXD2 PAD Pull enable" "0,1" line.long 0xC0 "IO_PAD_CONFIG_RGMII2_TXD3,PAD RGMII2_TXD3 config register" bitfld.long 0xC0 16. "POE,RGMII2_TXD3 PAD Parametric output enable" "0,1" bitfld.long 0xC0 12. "IS,RGMII2_TXD3 PAD Input select" "0,1" bitfld.long 0xC0 8. "SR,RGMII2_TXD3 PAD Slew rate" "0,1" newline bitfld.long 0xC0 4.--5. "DS,RGMII2_TXD3 PAD Driver select" "0,1,2,3" bitfld.long 0xC0 1. "PS,RGMII2_TXD3 PAD Pull select" "0,1" bitfld.long 0xC0 0. "PE,RGMII2_TXD3 PAD Pull enable" "0,1" line.long 0xC4 "IO_PAD_CONFIG_RGMII2_TX_CTL,PAD RGMII2_TX_CTL config register" bitfld.long 0xC4 16. "POE,RGMII2_TX_CTL PAD Parametric output enable" "0,1" bitfld.long 0xC4 12. "IS,RGMII2_TX_CTL PAD Input select" "0,1" bitfld.long 0xC4 8. "SR,RGMII2_TX_CTL PAD Slew rate" "0,1" newline bitfld.long 0xC4 4.--5. "DS,RGMII2_TX_CTL PAD Driver select" "0,1,2,3" bitfld.long 0xC4 1. "PS,RGMII2_TX_CTL PAD Pull select" "0,1" bitfld.long 0xC4 0. "PE,RGMII2_TX_CTL PAD Pull enable" "0,1" line.long 0xC8 "IO_PAD_CONFIG_RGMII2_RXC,PAD RGMII2_RXC config register" bitfld.long 0xC8 16. "POE,RGMII2_RXC PAD Parametric output enable" "0,1" bitfld.long 0xC8 12. "IS,RGMII2_RXC PAD Input select" "0,1" bitfld.long 0xC8 8. "SR,RGMII2_RXC PAD Slew rate" "0,1" newline bitfld.long 0xC8 4.--5. "DS,RGMII2_RXC PAD Driver select" "0,1,2,3" bitfld.long 0xC8 1. "PS,RGMII2_RXC PAD Pull select" "0,1" bitfld.long 0xC8 0. "PE,RGMII2_RXC PAD Pull enable" "0,1" line.long 0xCC "IO_PAD_CONFIG_RGMII2_RXD0,PAD RGMII2_RXD0 config register" bitfld.long 0xCC 16. "POE,RGMII2_RXD0 PAD Parametric output enable" "0,1" bitfld.long 0xCC 12. "IS,RGMII2_RXD0 PAD Input select" "0,1" bitfld.long 0xCC 8. "SR,RGMII2_RXD0 PAD Slew rate" "0,1" newline bitfld.long 0xCC 4.--5. "DS,RGMII2_RXD0 PAD Driver select" "0,1,2,3" bitfld.long 0xCC 1. "PS,RGMII2_RXD0 PAD Pull select" "0,1" bitfld.long 0xCC 0. "PE,RGMII2_RXD0 PAD Pull enable" "0,1" line.long 0xD0 "IO_PAD_CONFIG_RGMII2_RXD1,PAD RGMII2_RXD1 config register" bitfld.long 0xD0 16. "POE,RGMII2_RXD1 PAD Parametric output enable" "0,1" bitfld.long 0xD0 12. "IS,RGMII2_RXD1 PAD Input select" "0,1" bitfld.long 0xD0 8. "SR,RGMII2_RXD1 PAD Slew rate" "0,1" newline bitfld.long 0xD0 4.--5. "DS,RGMII2_RXD1 PAD Driver select" "0,1,2,3" bitfld.long 0xD0 1. "PS,RGMII2_RXD1 PAD Pull select" "0,1" bitfld.long 0xD0 0. "PE,RGMII2_RXD1 PAD Pull enable" "0,1" line.long 0xD4 "IO_PAD_CONFIG_RGMII2_RXD2,PAD RGMII2_RXD2 config register" bitfld.long 0xD4 16. "POE,RGMII2_RXD2 PAD Parametric output enable" "0,1" bitfld.long 0xD4 12. "IS,RGMII2_RXD2 PAD Input select" "0,1" bitfld.long 0xD4 8. "SR,RGMII2_RXD2 PAD Slew rate" "0,1" newline bitfld.long 0xD4 4.--5. "DS,RGMII2_RXD2 PAD Driver select" "0,1,2,3" bitfld.long 0xD4 1. "PS,RGMII2_RXD2 PAD Pull select" "0,1" bitfld.long 0xD4 0. "PE,RGMII2_RXD2 PAD Pull enable" "0,1" line.long 0xD8 "IO_PAD_CONFIG_RGMII2_RXD3,PAD RGMII2_RXD3 config register" bitfld.long 0xD8 16. "POE,RGMII2_RXD3 PAD Parametric output enable" "0,1" bitfld.long 0xD8 12. "IS,RGMII2_RXD3 PAD Input select" "0,1" bitfld.long 0xD8 8. "SR,RGMII2_RXD3 PAD Slew rate" "0,1" newline bitfld.long 0xD8 4.--5. "DS,RGMII2_RXD3 PAD Driver select" "0,1,2,3" bitfld.long 0xD8 1. "PS,RGMII2_RXD3 PAD Pull select" "0,1" bitfld.long 0xD8 0. "PE,RGMII2_RXD3 PAD Pull enable" "0,1" line.long 0xDC "IO_PAD_CONFIG_RGMII2_RX_CTL,PAD RGMII2_RX_CTL config register" bitfld.long 0xDC 16. "POE,RGMII2_RX_CTL PAD Parametric output enable" "0,1" bitfld.long 0xDC 12. "IS,RGMII2_RX_CTL PAD Input select" "0,1" bitfld.long 0xDC 8. "SR,RGMII2_RX_CTL PAD Slew rate" "0,1" newline bitfld.long 0xDC 4.--5. "DS,RGMII2_RX_CTL PAD Driver select" "0,1,2,3" bitfld.long 0xDC 1. "PS,RGMII2_RX_CTL PAD Pull select" "0,1" bitfld.long 0xDC 0. "PE,RGMII2_RX_CTL PAD Pull enable" "0,1" line.long 0xE0 "IO_PAD_CONFIG_I2S_SC3_SCK,PAD I2S_SC3_SCK config register" bitfld.long 0xE0 16. "POE,I2S_SC3_SCK PAD Parametric output enable" "0,1" bitfld.long 0xE0 12. "IS,I2S_SC3_SCK PAD Input select" "0,1" bitfld.long 0xE0 8. "SR,I2S_SC3_SCK PAD Slew rate" "0,1" newline bitfld.long 0xE0 4.--5. "DS,I2S_SC3_SCK PAD Driver select" "0,1,2,3" bitfld.long 0xE0 1. "PS,I2S_SC3_SCK PAD Pull select" "0,1" bitfld.long 0xE0 0. "PE,I2S_SC3_SCK PAD Pull enable" "0,1" line.long 0xE4 "IO_PAD_CONFIG_I2S_SC3_WS,PAD I2S_SC3_WS config register" bitfld.long 0xE4 16. "POE,I2S_SC3_WS PAD Parametric output enable" "0,1" bitfld.long 0xE4 12. "IS,I2S_SC3_WS PAD Input select" "0,1" bitfld.long 0xE4 8. "SR,I2S_SC3_WS PAD Slew rate" "0,1" newline bitfld.long 0xE4 4.--5. "DS,I2S_SC3_WS PAD Driver select" "0,1,2,3" bitfld.long 0xE4 1. "PS,I2S_SC3_WS PAD Pull select" "0,1" bitfld.long 0xE4 0. "PE,I2S_SC3_WS PAD Pull enable" "0,1" line.long 0xE8 "IO_PAD_CONFIG_I2S_SC3_SD,PAD I2S_SC3_SD config register" bitfld.long 0xE8 16. "POE,I2S_SC3_SD PAD Parametric output enable" "0,1" bitfld.long 0xE8 12. "IS,I2S_SC3_SD PAD Input select" "0,1" bitfld.long 0xE8 8. "SR,I2S_SC3_SD PAD Slew rate" "0,1" newline bitfld.long 0xE8 4.--5. "DS,I2S_SC3_SD PAD Driver select" "0,1,2,3" bitfld.long 0xE8 1. "PS,I2S_SC3_SD PAD Pull select" "0,1" bitfld.long 0xE8 0. "PE,I2S_SC3_SD PAD Pull enable" "0,1" line.long 0xEC "IO_PAD_CONFIG_I2S_SC4_SCK,PAD I2S_SC4_SCK config register" bitfld.long 0xEC 16. "POE,I2S_SC4_SCK PAD Parametric output enable" "0,1" bitfld.long 0xEC 12. "IS,I2S_SC4_SCK PAD Input select" "0,1" bitfld.long 0xEC 8. "SR,I2S_SC4_SCK PAD Slew rate" "0,1" newline bitfld.long 0xEC 4.--5. "DS,I2S_SC4_SCK PAD Driver select" "0,1,2,3" bitfld.long 0xEC 1. "PS,I2S_SC4_SCK PAD Pull select" "0,1" bitfld.long 0xEC 0. "PE,I2S_SC4_SCK PAD Pull enable" "0,1" line.long 0xF0 "IO_PAD_CONFIG_I2S_SC4_WS,PAD I2S_SC4_WS config register" bitfld.long 0xF0 16. "POE,I2S_SC4_WS PAD Parametric output enable" "0,1" bitfld.long 0xF0 12. "IS,I2S_SC4_WS PAD Input select" "0,1" bitfld.long 0xF0 8. "SR,I2S_SC4_WS PAD Slew rate" "0,1" newline bitfld.long 0xF0 4.--5. "DS,I2S_SC4_WS PAD Driver select" "0,1,2,3" bitfld.long 0xF0 1. "PS,I2S_SC4_WS PAD Pull select" "0,1" bitfld.long 0xF0 0. "PE,I2S_SC4_WS PAD Pull enable" "0,1" line.long 0xF4 "IO_PAD_CONFIG_I2S_SC4_SD,PAD I2S_SC4_SD config register" bitfld.long 0xF4 16. "POE,I2S_SC4_SD PAD Parametric output enable" "0,1" bitfld.long 0xF4 12. "IS,I2S_SC4_SD PAD Input select" "0,1" bitfld.long 0xF4 8. "SR,I2S_SC4_SD PAD Slew rate" "0,1" newline bitfld.long 0xF4 4.--5. "DS,I2S_SC4_SD PAD Driver select" "0,1,2,3" bitfld.long 0xF4 1. "PS,I2S_SC4_SD PAD Pull select" "0,1" bitfld.long 0xF4 0. "PE,I2S_SC4_SD PAD Pull enable" "0,1" line.long 0xF8 "IO_PAD_CONFIG_I2S_SC5_SCK,PAD I2S_SC5_SCK config register" bitfld.long 0xF8 16. "POE,I2S_SC5_SCK PAD Parametric output enable" "0,1" bitfld.long 0xF8 12. "IS,I2S_SC5_SCK PAD Input select" "0,1" bitfld.long 0xF8 8. "SR,I2S_SC5_SCK PAD Slew rate" "0,1" newline bitfld.long 0xF8 4.--5. "DS,I2S_SC5_SCK PAD Driver select" "0,1,2,3" bitfld.long 0xF8 1. "PS,I2S_SC5_SCK PAD Pull select" "0,1" bitfld.long 0xF8 0. "PE,I2S_SC5_SCK PAD Pull enable" "0,1" line.long 0xFC "IO_PAD_CONFIG_I2S_SC5_WS,PAD I2S_SC5_WS config register" bitfld.long 0xFC 16. "POE,I2S_SC5_WS PAD Parametric output enable" "0,1" bitfld.long 0xFC 12. "IS,I2S_SC5_WS PAD Input select" "0,1" bitfld.long 0xFC 8. "SR,I2S_SC5_WS PAD Slew rate" "0,1" newline bitfld.long 0xFC 4.--5. "DS,I2S_SC5_WS PAD Driver select" "0,1,2,3" bitfld.long 0xFC 1. "PS,I2S_SC5_WS PAD Pull select" "0,1" bitfld.long 0xFC 0. "PE,I2S_SC5_WS PAD Pull enable" "0,1" line.long 0x100 "IO_PAD_CONFIG_I2S_SC5_SD,PAD I2S_SC5_SD config register" bitfld.long 0x100 16. "POE,I2S_SC5_SD PAD Parametric output enable" "0,1" bitfld.long 0x100 12. "IS,I2S_SC5_SD PAD Input select" "0,1" bitfld.long 0x100 8. "SR,I2S_SC5_SD PAD Slew rate" "0,1" newline bitfld.long 0x100 4.--5. "DS,I2S_SC5_SD PAD Driver select" "0,1,2,3" bitfld.long 0x100 1. "PS,I2S_SC5_SD PAD Pull select" "0,1" bitfld.long 0x100 0. "PE,I2S_SC5_SD PAD Pull enable" "0,1" line.long 0x104 "IO_PAD_CONFIG_I2S_SC6_SCK,PAD I2S_SC6_SCK config register" bitfld.long 0x104 16. "POE,I2S_SC6_SCK PAD Parametric output enable" "0,1" bitfld.long 0x104 12. "IS,I2S_SC6_SCK PAD Input select" "0,1" bitfld.long 0x104 8. "SR,I2S_SC6_SCK PAD Slew rate" "0,1" newline bitfld.long 0x104 4.--5. "DS,I2S_SC6_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x104 1. "PS,I2S_SC6_SCK PAD Pull select" "0,1" bitfld.long 0x104 0. "PE,I2S_SC6_SCK PAD Pull enable" "0,1" line.long 0x108 "IO_PAD_CONFIG_I2S_SC6_WS,PAD I2S_SC6_WS config register" bitfld.long 0x108 16. "POE,I2S_SC6_WS PAD Parametric output enable" "0,1" bitfld.long 0x108 12. "IS,I2S_SC6_WS PAD Input select" "0,1" bitfld.long 0x108 8. "SR,I2S_SC6_WS PAD Slew rate" "0,1" newline bitfld.long 0x108 4.--5. "DS,I2S_SC6_WS PAD Driver select" "0,1,2,3" bitfld.long 0x108 1. "PS,I2S_SC6_WS PAD Pull select" "0,1" bitfld.long 0x108 0. "PE,I2S_SC6_WS PAD Pull enable" "0,1" line.long 0x10C "IO_PAD_CONFIG_I2S_SC6_SD,PAD I2S_SC6_SD config register" bitfld.long 0x10C 16. "POE,I2S_SC6_SD PAD Parametric output enable" "0,1" bitfld.long 0x10C 12. "IS,I2S_SC6_SD PAD Input select" "0,1" bitfld.long 0x10C 8. "SR,I2S_SC6_SD PAD Slew rate" "0,1" newline bitfld.long 0x10C 4.--5. "DS,I2S_SC6_SD PAD Driver select" "0,1,2,3" bitfld.long 0x10C 1. "PS,I2S_SC6_SD PAD Pull select" "0,1" bitfld.long 0x10C 0. "PE,I2S_SC6_SD PAD Pull enable" "0,1" line.long 0x110 "IO_PAD_CONFIG_I2S_SC7_SCK,PAD I2S_SC7_SCK config register" bitfld.long 0x110 16. "POE,I2S_SC7_SCK PAD Parametric output enable" "0,1" bitfld.long 0x110 12. "IS,I2S_SC7_SCK PAD Input select" "0,1" bitfld.long 0x110 8. "SR,I2S_SC7_SCK PAD Slew rate" "0,1" newline bitfld.long 0x110 4.--5. "DS,I2S_SC7_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x110 1. "PS,I2S_SC7_SCK PAD Pull select" "0,1" bitfld.long 0x110 0. "PE,I2S_SC7_SCK PAD Pull enable" "0,1" line.long 0x114 "IO_PAD_CONFIG_I2S_SC7_WS,PAD I2S_SC7_WS config register" bitfld.long 0x114 16. "POE,I2S_SC7_WS PAD Parametric output enable" "0,1" bitfld.long 0x114 12. "IS,I2S_SC7_WS PAD Input select" "0,1" bitfld.long 0x114 8. "SR,I2S_SC7_WS PAD Slew rate" "0,1" newline bitfld.long 0x114 4.--5. "DS,I2S_SC7_WS PAD Driver select" "0,1,2,3" bitfld.long 0x114 1. "PS,I2S_SC7_WS PAD Pull select" "0,1" bitfld.long 0x114 0. "PE,I2S_SC7_WS PAD Pull enable" "0,1" line.long 0x118 "IO_PAD_CONFIG_I2S_SC7_SD,PAD I2S_SC7_SD config register" bitfld.long 0x118 16. "POE,I2S_SC7_SD PAD Parametric output enable" "0,1" bitfld.long 0x118 12. "IS,I2S_SC7_SD PAD Input select" "0,1" bitfld.long 0x118 8. "SR,I2S_SC7_SD PAD Slew rate" "0,1" newline bitfld.long 0x118 4.--5. "DS,I2S_SC7_SD PAD Driver select" "0,1,2,3" bitfld.long 0x118 1. "PS,I2S_SC7_SD PAD Pull select" "0,1" bitfld.long 0x118 0. "PE,I2S_SC7_SD PAD Pull enable" "0,1" line.long 0x11C "IO_PAD_CONFIG_I2S_SC8_SCK,PAD I2S_SC8_SCK config register" bitfld.long 0x11C 16. "POE,I2S_SC8_SCK PAD Parametric output enable" "0,1" bitfld.long 0x11C 12. "IS,I2S_SC8_SCK PAD Input select" "0,1" bitfld.long 0x11C 8. "SR,I2S_SC8_SCK PAD Slew rate" "0,1" newline bitfld.long 0x11C 4.--5. "DS,I2S_SC8_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x11C 1. "PS,I2S_SC8_SCK PAD Pull select" "0,1" bitfld.long 0x11C 0. "PE,I2S_SC8_SCK PAD Pull enable" "0,1" line.long 0x120 "IO_PAD_CONFIG_I2S_SC8_WS,PAD I2S_SC8_WS config register" bitfld.long 0x120 16. "POE,I2S_SC8_WS PAD Parametric output enable" "0,1" bitfld.long 0x120 12. "IS,I2S_SC8_WS PAD Input select" "0,1" bitfld.long 0x120 8. "SR,I2S_SC8_WS PAD Slew rate" "0,1" newline bitfld.long 0x120 4.--5. "DS,I2S_SC8_WS PAD Driver select" "0,1,2,3" bitfld.long 0x120 1. "PS,I2S_SC8_WS PAD Pull select" "0,1" bitfld.long 0x120 0. "PE,I2S_SC8_WS PAD Pull enable" "0,1" line.long 0x124 "IO_PAD_CONFIG_I2S_SC8_SD,PAD I2S_SC8_SD config register" bitfld.long 0x124 16. "POE,I2S_SC8_SD PAD Parametric output enable" "0,1" bitfld.long 0x124 12. "IS,I2S_SC8_SD PAD Input select" "0,1" bitfld.long 0x124 8. "SR,I2S_SC8_SD PAD Slew rate" "0,1" newline bitfld.long 0x124 4.--5. "DS,I2S_SC8_SD PAD Driver select" "0,1,2,3" bitfld.long 0x124 1. "PS,I2S_SC8_SD PAD Pull select" "0,1" bitfld.long 0x124 0. "PE,I2S_SC8_SD PAD Pull enable" "0,1" line.long 0x128 "IO_PAD_CONFIG_I2S_MC_SCK,PAD I2S_MC_SCK config register" bitfld.long 0x128 16. "POE,I2S_MC_SCK PAD Parametric output enable" "0,1" bitfld.long 0x128 12. "IS,I2S_MC_SCK PAD Input select" "0,1" bitfld.long 0x128 8. "SR,I2S_MC_SCK PAD Slew rate" "0,1" newline bitfld.long 0x128 4.--5. "DS,I2S_MC_SCK PAD Driver select" "0,1,2,3" bitfld.long 0x128 1. "PS,I2S_MC_SCK PAD Pull select" "0,1" bitfld.long 0x128 0. "PE,I2S_MC_SCK PAD Pull enable" "0,1" line.long 0x12C "IO_PAD_CONFIG_I2S_MC_WS,PAD I2S_MC_WS config register" bitfld.long 0x12C 16. "POE,I2S_MC_WS PAD Parametric output enable" "0,1" bitfld.long 0x12C 12. "IS,I2S_MC_WS PAD Input select" "0,1" bitfld.long 0x12C 8. "SR,I2S_MC_WS PAD Slew rate" "0,1" newline bitfld.long 0x12C 4.--5. "DS,I2S_MC_WS PAD Driver select" "0,1,2,3" bitfld.long 0x12C 1. "PS,I2S_MC_WS PAD Pull select" "0,1" bitfld.long 0x12C 0. "PE,I2S_MC_WS PAD Pull enable" "0,1" line.long 0x130 "IO_PAD_CONFIG_I2S_MC_SD0,PAD I2S_MC_SD0 config register" bitfld.long 0x130 16. "POE,I2S_MC_SD0 PAD Parametric output enable" "0,1" bitfld.long 0x130 12. "IS,I2S_MC_SD0 PAD Input select" "0,1" bitfld.long 0x130 8. "SR,I2S_MC_SD0 PAD Slew rate" "0,1" newline bitfld.long 0x130 4.--5. "DS,I2S_MC_SD0 PAD Driver select" "0,1,2,3" bitfld.long 0x130 1. "PS,I2S_MC_SD0 PAD Pull select" "0,1" bitfld.long 0x130 0. "PE,I2S_MC_SD0 PAD Pull enable" "0,1" line.long 0x134 "IO_PAD_CONFIG_I2S_MC_SD1,PAD I2S_MC_SD1 config register" bitfld.long 0x134 16. "POE,I2S_MC_SD1 PAD Parametric output enable" "0,1" bitfld.long 0x134 12. "IS,I2S_MC_SD1 PAD Input select" "0,1" bitfld.long 0x134 8. "SR,I2S_MC_SD1 PAD Slew rate" "0,1" newline bitfld.long 0x134 4.--5. "DS,I2S_MC_SD1 PAD Driver select" "0,1,2,3" bitfld.long 0x134 1. "PS,I2S_MC_SD1 PAD Pull select" "0,1" bitfld.long 0x134 0. "PE,I2S_MC_SD1 PAD Pull enable" "0,1" line.long 0x138 "IO_PAD_CONFIG_I2S_MC_SD2,PAD I2S_MC_SD2 config register" bitfld.long 0x138 16. "POE,I2S_MC_SD2 PAD Parametric output enable" "0,1" bitfld.long 0x138 12. "IS,I2S_MC_SD2 PAD Input select" "0,1" bitfld.long 0x138 8. "SR,I2S_MC_SD2 PAD Slew rate" "0,1" newline bitfld.long 0x138 4.--5. "DS,I2S_MC_SD2 PAD Driver select" "0,1,2,3" bitfld.long 0x138 1. "PS,I2S_MC_SD2 PAD Pull select" "0,1" bitfld.long 0x138 0. "PE,I2S_MC_SD2 PAD Pull enable" "0,1" line.long 0x13C "IO_PAD_CONFIG_I2S_MC_SD3,PAD I2S_MC_SD3 config register" bitfld.long 0x13C 16. "POE,I2S_MC_SD3 PAD Parametric output enable" "0,1" bitfld.long 0x13C 12. "IS,I2S_MC_SD3 PAD Input select" "0,1" bitfld.long 0x13C 8. "SR,I2S_MC_SD3 PAD Slew rate" "0,1" newline bitfld.long 0x13C 4.--5. "DS,I2S_MC_SD3 PAD Driver select" "0,1,2,3" bitfld.long 0x13C 1. "PS,I2S_MC_SD3 PAD Pull select" "0,1" bitfld.long 0x13C 0. "PE,I2S_MC_SD3 PAD Pull enable" "0,1" line.long 0x140 "IO_PAD_CONFIG_I2S_MC_SD4,PAD I2S_MC_SD4 config register" bitfld.long 0x140 16. "POE,I2S_MC_SD4 PAD Parametric output enable" "0,1" bitfld.long 0x140 12. "IS,I2S_MC_SD4 PAD Input select" "0,1" bitfld.long 0x140 8. "SR,I2S_MC_SD4 PAD Slew rate" "0,1" newline bitfld.long 0x140 4.--5. "DS,I2S_MC_SD4 PAD Driver select" "0,1,2,3" bitfld.long 0x140 1. "PS,I2S_MC_SD4 PAD Pull select" "0,1" bitfld.long 0x140 0. "PE,I2S_MC_SD4 PAD Pull enable" "0,1" line.long 0x144 "IO_PAD_CONFIG_I2S_MC_SD5,PAD I2S_MC_SD5 config register" bitfld.long 0x144 16. "POE,I2S_MC_SD5 PAD Parametric output enable" "0,1" bitfld.long 0x144 12. "IS,I2S_MC_SD5 PAD Input select" "0,1" bitfld.long 0x144 8. "SR,I2S_MC_SD5 PAD Slew rate" "0,1" newline bitfld.long 0x144 4.--5. "DS,I2S_MC_SD5 PAD Driver select" "0,1,2,3" bitfld.long 0x144 1. "PS,I2S_MC_SD5 PAD Pull select" "0,1" bitfld.long 0x144 0. "PE,I2S_MC_SD5 PAD Pull enable" "0,1" line.long 0x148 "IO_PAD_CONFIG_I2S_MC_SD6,PAD I2S_MC_SD6 config register" bitfld.long 0x148 16. "POE,I2S_MC_SD6 PAD Parametric output enable" "0,1" bitfld.long 0x148 12. "IS,I2S_MC_SD6 PAD Input select" "0,1" bitfld.long 0x148 8. "SR,I2S_MC_SD6 PAD Slew rate" "0,1" newline bitfld.long 0x148 4.--5. "DS,I2S_MC_SD6 PAD Driver select" "0,1,2,3" bitfld.long 0x148 1. "PS,I2S_MC_SD6 PAD Pull select" "0,1" bitfld.long 0x148 0. "PE,I2S_MC_SD6 PAD Pull enable" "0,1" line.long 0x14C "IO_PAD_CONFIG_I2S_MC_SD7,PAD I2S_MC_SD7 config register" bitfld.long 0x14C 16. "POE,I2S_MC_SD7 PAD Parametric output enable" "0,1" bitfld.long 0x14C 12. "IS,I2S_MC_SD7 PAD Input select" "0,1" bitfld.long 0x14C 8. "SR,I2S_MC_SD7 PAD Slew rate" "0,1" newline bitfld.long 0x14C 4.--5. "DS,I2S_MC_SD7 PAD Driver select" "0,1,2,3" bitfld.long 0x14C 1. "PS,I2S_MC_SD7 PAD Pull select" "0,1" bitfld.long 0x14C 0. "PE,I2S_MC_SD7 PAD Pull enable" "0,1" line.long 0x150 "IO_PAD_CONFIG_EMMC1_CLK,PAD EMMC1_CLK config register" hexmask.long.byte 0x150 20.--23. 1. "SP,EMMC1_CLK PAD Drive Strength for P" hexmask.long.byte 0x150 16.--19. 1. "SN,EMMC1_CLK PAD Drive Strength for N" bitfld.long 0x150 12.--14. "RXSEL,EMMC1_CLK PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x150 8.--11. 1. "TXPREP,EMMC1_CLK PAD Slew-Rate control for P" newline hexmask.long.byte 0x150 4.--7. 1. "TXPREN,EMMC1_CLK PAD Slew-Rate control for N" bitfld.long 0x150 0.--1. "PULL_EN,EMMC1_CLK PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x154 "IO_PAD_CONFIG_EMMC1_CMD,PAD EMMC1_CMD config register" hexmask.long.byte 0x154 20.--23. 1. "SP,EMMC1_CMD PAD Drive Strength for P" hexmask.long.byte 0x154 16.--19. 1. "SN,EMMC1_CMD PAD Drive Strength for N" bitfld.long 0x154 12.--14. "RXSEL,EMMC1_CMD PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x154 8.--11. 1. "TXPREP,EMMC1_CMD PAD Slew-Rate control for P" newline hexmask.long.byte 0x154 4.--7. 1. "TXPREN,EMMC1_CMD PAD Slew-Rate control for N" bitfld.long 0x154 0.--1. "PULL_EN,EMMC1_CMD PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x158 "IO_PAD_CONFIG_EMMC1_DATA0,PAD EMMC1_DATA0 config register" hexmask.long.byte 0x158 20.--23. 1. "SP,EMMC1_DATA0 PAD Drive Strength for P" hexmask.long.byte 0x158 16.--19. 1. "SN,EMMC1_DATA0 PAD Drive Strength for N" bitfld.long 0x158 12.--14. "RXSEL,EMMC1_DATA0 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x158 8.--11. 1. "TXPREP,EMMC1_DATA0 PAD Slew-Rate control for P" newline hexmask.long.byte 0x158 4.--7. 1. "TXPREN,EMMC1_DATA0 PAD Slew-Rate control for N" bitfld.long 0x158 0.--1. "PULL_EN,EMMC1_DATA0 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x15C "IO_PAD_CONFIG_EMMC1_DATA1,PAD EMMC1_DATA1 config register" hexmask.long.byte 0x15C 20.--23. 1. "SP,EMMC1_DATA1 PAD Drive Strength for P" hexmask.long.byte 0x15C 16.--19. 1. "SN,EMMC1_DATA1 PAD Drive Strength for N" bitfld.long 0x15C 12.--14. "RXSEL,EMMC1_DATA1 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x15C 8.--11. 1. "TXPREP,EMMC1_DATA1 PAD Slew-Rate control for P" newline hexmask.long.byte 0x15C 4.--7. 1. "TXPREN,EMMC1_DATA1 PAD Slew-Rate control for N" bitfld.long 0x15C 0.--1. "PULL_EN,EMMC1_DATA1 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x160 "IO_PAD_CONFIG_EMMC1_DATA2,PAD EMMC1_DATA2 config register" hexmask.long.byte 0x160 20.--23. 1. "SP,EMMC1_DATA2 PAD Drive Strength for P" hexmask.long.byte 0x160 16.--19. 1. "SN,EMMC1_DATA2 PAD Drive Strength for N" bitfld.long 0x160 12.--14. "RXSEL,EMMC1_DATA2 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x160 8.--11. 1. "TXPREP,EMMC1_DATA2 PAD Slew-Rate control for P" newline hexmask.long.byte 0x160 4.--7. 1. "TXPREN,EMMC1_DATA2 PAD Slew-Rate control for N" bitfld.long 0x160 0.--1. "PULL_EN,EMMC1_DATA2 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x164 "IO_PAD_CONFIG_EMMC1_DATA3,PAD EMMC1_DATA3 config register" hexmask.long.byte 0x164 20.--23. 1. "SP,EMMC1_DATA3 PAD Drive Strength for P" hexmask.long.byte 0x164 16.--19. 1. "SN,EMMC1_DATA3 PAD Drive Strength for N" bitfld.long 0x164 12.--14. "RXSEL,EMMC1_DATA3 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x164 8.--11. 1. "TXPREP,EMMC1_DATA3 PAD Slew-Rate control for P" newline hexmask.long.byte 0x164 4.--7. 1. "TXPREN,EMMC1_DATA3 PAD Slew-Rate control for N" bitfld.long 0x164 0.--1. "PULL_EN,EMMC1_DATA3 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x168 "IO_PAD_CONFIG_EMMC1_DATA4,PAD EMMC1_DATA4 config register" hexmask.long.byte 0x168 20.--23. 1. "SP,EMMC1_DATA4 PAD Drive Strength for P" hexmask.long.byte 0x168 16.--19. 1. "SN,EMMC1_DATA4 PAD Drive Strength for N" bitfld.long 0x168 12.--14. "RXSEL,EMMC1_DATA4 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x168 8.--11. 1. "TXPREP,EMMC1_DATA4 PAD Slew-Rate control for P" newline hexmask.long.byte 0x168 4.--7. 1. "TXPREN,EMMC1_DATA4 PAD Slew-Rate control for N" bitfld.long 0x168 0.--1. "PULL_EN,EMMC1_DATA4 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x16C "IO_PAD_CONFIG_EMMC1_DATA5,PAD EMMC1_DATA5 config register" hexmask.long.byte 0x16C 20.--23. 1. "SP,EMMC1_DATA5 PAD Drive Strength for P" hexmask.long.byte 0x16C 16.--19. 1. "SN,EMMC1_DATA5 PAD Drive Strength for N" bitfld.long 0x16C 12.--14. "RXSEL,EMMC1_DATA5 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x16C 8.--11. 1. "TXPREP,EMMC1_DATA5 PAD Slew-Rate control for P" newline hexmask.long.byte 0x16C 4.--7. 1. "TXPREN,EMMC1_DATA5 PAD Slew-Rate control for N" bitfld.long 0x16C 0.--1. "PULL_EN,EMMC1_DATA5 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x170 "IO_PAD_CONFIG_EMMC1_DATA6,PAD EMMC1_DATA6 config register" hexmask.long.byte 0x170 20.--23. 1. "SP,EMMC1_DATA6 PAD Drive Strength for P" hexmask.long.byte 0x170 16.--19. 1. "SN,EMMC1_DATA6 PAD Drive Strength for N" bitfld.long 0x170 12.--14. "RXSEL,EMMC1_DATA6 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x170 8.--11. 1. "TXPREP,EMMC1_DATA6 PAD Slew-Rate control for P" newline hexmask.long.byte 0x170 4.--7. 1. "TXPREN,EMMC1_DATA6 PAD Slew-Rate control for N" bitfld.long 0x170 0.--1. "PULL_EN,EMMC1_DATA6 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x174 "IO_PAD_CONFIG_EMMC1_DATA7,PAD EMMC1_DATA7 config register" hexmask.long.byte 0x174 20.--23. 1. "SP,EMMC1_DATA7 PAD Drive Strength for P" hexmask.long.byte 0x174 16.--19. 1. "SN,EMMC1_DATA7 PAD Drive Strength for N" bitfld.long 0x174 12.--14. "RXSEL,EMMC1_DATA7 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x174 8.--11. 1. "TXPREP,EMMC1_DATA7 PAD Slew-Rate control for P" newline hexmask.long.byte 0x174 4.--7. 1. "TXPREN,EMMC1_DATA7 PAD Slew-Rate control for N" bitfld.long 0x174 0.--1. "PULL_EN,EMMC1_DATA7 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x178 "IO_PAD_CONFIG_EMMC1_STROBE,PAD EMMC1_STROBE config register" hexmask.long.byte 0x178 20.--23. 1. "SP,EMMC1_STROBE PAD Drive Strength for P" hexmask.long.byte 0x178 16.--19. 1. "SN,EMMC1_STROBE PAD Drive Strength for N" bitfld.long 0x178 12.--14. "RXSEL,EMMC1_STROBE PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x178 8.--11. 1. "TXPREP,EMMC1_STROBE PAD Slew-Rate control for P" newline hexmask.long.byte 0x178 4.--7. 1. "TXPREN,EMMC1_STROBE PAD Slew-Rate control for N" bitfld.long 0x178 0.--1. "PULL_EN,EMMC1_STROBE PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x17C "IO_PAD_CONFIG_EMMC1_RESET_N,PAD EMMC1_RESET_N config register" hexmask.long.byte 0x17C 20.--23. 1. "SP,EMMC1_RESET_N PAD Drive Strength for P" hexmask.long.byte 0x17C 16.--19. 1. "SN,EMMC1_RESET_N PAD Drive Strength for N" bitfld.long 0x17C 12.--14. "RXSEL,EMMC1_RESET_N PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x17C 8.--11. 1. "TXPREP,EMMC1_RESET_N PAD Slew-Rate control for P" newline hexmask.long.byte 0x17C 4.--7. 1. "TXPREN,EMMC1_RESET_N PAD Slew-Rate control for N" bitfld.long 0x17C 0.--1. "PULL_EN,EMMC1_RESET_N PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x180 "IO_PAD_CONFIG_EMMC2_CLK,PAD EMMC2_CLK config register" hexmask.long.byte 0x180 20.--23. 1. "SP,EMMC2_CLK PAD Drive Strength for P" hexmask.long.byte 0x180 16.--19. 1. "SN,EMMC2_CLK PAD Drive Strength for N" bitfld.long 0x180 12.--14. "RXSEL,EMMC2_CLK PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x180 8.--11. 1. "TXPREP,EMMC2_CLK PAD Slew-Rate control for P" newline hexmask.long.byte 0x180 4.--7. 1. "TXPREN,EMMC2_CLK PAD Slew-Rate control for N" bitfld.long 0x180 0.--1. "PULL_EN,EMMC2_CLK PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x184 "IO_PAD_CONFIG_EMMC2_CMD,PAD EMMC2_CMD config register" hexmask.long.byte 0x184 20.--23. 1. "SP,EMMC2_CMD PAD Drive Strength for P" hexmask.long.byte 0x184 16.--19. 1. "SN,EMMC2_CMD PAD Drive Strength for N" bitfld.long 0x184 12.--14. "RXSEL,EMMC2_CMD PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x184 8.--11. 1. "TXPREP,EMMC2_CMD PAD Slew-Rate control for P" newline hexmask.long.byte 0x184 4.--7. 1. "TXPREN,EMMC2_CMD PAD Slew-Rate control for N" bitfld.long 0x184 0.--1. "PULL_EN,EMMC2_CMD PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x188 "IO_PAD_CONFIG_EMMC2_DATA0,PAD EMMC2_DATA0 config register" hexmask.long.byte 0x188 20.--23. 1. "SP,EMMC2_DATA0 PAD Drive Strength for P" hexmask.long.byte 0x188 16.--19. 1. "SN,EMMC2_DATA0 PAD Drive Strength for N" bitfld.long 0x188 12.--14. "RXSEL,EMMC2_DATA0 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x188 8.--11. 1. "TXPREP,EMMC2_DATA0 PAD Slew-Rate control for P" newline hexmask.long.byte 0x188 4.--7. 1. "TXPREN,EMMC2_DATA0 PAD Slew-Rate control for N" bitfld.long 0x188 0.--1. "PULL_EN,EMMC2_DATA0 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x18C "IO_PAD_CONFIG_EMMC2_DATA1,PAD EMMC2_DATA1 config register" hexmask.long.byte 0x18C 20.--23. 1. "SP,EMMC2_DATA1 PAD Drive Strength for P" hexmask.long.byte 0x18C 16.--19. 1. "SN,EMMC2_DATA1 PAD Drive Strength for N" bitfld.long 0x18C 12.--14. "RXSEL,EMMC2_DATA1 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x18C 8.--11. 1. "TXPREP,EMMC2_DATA1 PAD Slew-Rate control for P" newline hexmask.long.byte 0x18C 4.--7. 1. "TXPREN,EMMC2_DATA1 PAD Slew-Rate control for N" bitfld.long 0x18C 0.--1. "PULL_EN,EMMC2_DATA1 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x190 "IO_PAD_CONFIG_EMMC2_DATA2,PAD EMMC2_DATA2 config register" hexmask.long.byte 0x190 20.--23. 1. "SP,EMMC2_DATA2 PAD Drive Strength for P" hexmask.long.byte 0x190 16.--19. 1. "SN,EMMC2_DATA2 PAD Drive Strength for N" bitfld.long 0x190 12.--14. "RXSEL,EMMC2_DATA2 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x190 8.--11. 1. "TXPREP,EMMC2_DATA2 PAD Slew-Rate control for P" newline hexmask.long.byte 0x190 4.--7. 1. "TXPREN,EMMC2_DATA2 PAD Slew-Rate control for N" bitfld.long 0x190 0.--1. "PULL_EN,EMMC2_DATA2 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x194 "IO_PAD_CONFIG_EMMC2_DATA3,PAD EMMC2_DATA3 config register" hexmask.long.byte 0x194 20.--23. 1. "SP,EMMC2_DATA3 PAD Drive Strength for P" hexmask.long.byte 0x194 16.--19. 1. "SN,EMMC2_DATA3 PAD Drive Strength for N" bitfld.long 0x194 12.--14. "RXSEL,EMMC2_DATA3 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x194 8.--11. 1. "TXPREP,EMMC2_DATA3 PAD Slew-Rate control for P" newline hexmask.long.byte 0x194 4.--7. 1. "TXPREN,EMMC2_DATA3 PAD Slew-Rate control for N" bitfld.long 0x194 0.--1. "PULL_EN,EMMC2_DATA3 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x198 "IO_PAD_CONFIG_EMMC2_DATA4,PAD EMMC2_DATA4 config register" hexmask.long.byte 0x198 20.--23. 1. "SP,EMMC2_DATA4 PAD Drive Strength for P" hexmask.long.byte 0x198 16.--19. 1. "SN,EMMC2_DATA4 PAD Drive Strength for N" bitfld.long 0x198 12.--14. "RXSEL,EMMC2_DATA4 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x198 8.--11. 1. "TXPREP,EMMC2_DATA4 PAD Slew-Rate control for P" newline hexmask.long.byte 0x198 4.--7. 1. "TXPREN,EMMC2_DATA4 PAD Slew-Rate control for N" bitfld.long 0x198 0.--1. "PULL_EN,EMMC2_DATA4 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x19C "IO_PAD_CONFIG_EMMC2_DATA5,PAD EMMC2_DATA5 config register" hexmask.long.byte 0x19C 20.--23. 1. "SP,EMMC2_DATA5 PAD Drive Strength for P" hexmask.long.byte 0x19C 16.--19. 1. "SN,EMMC2_DATA5 PAD Drive Strength for N" bitfld.long 0x19C 12.--14. "RXSEL,EMMC2_DATA5 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x19C 8.--11. 1. "TXPREP,EMMC2_DATA5 PAD Slew-Rate control for P" newline hexmask.long.byte 0x19C 4.--7. 1. "TXPREN,EMMC2_DATA5 PAD Slew-Rate control for N" bitfld.long 0x19C 0.--1. "PULL_EN,EMMC2_DATA5 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1A0 "IO_PAD_CONFIG_EMMC2_DATA6,PAD EMMC2_DATA6 config register" hexmask.long.byte 0x1A0 20.--23. 1. "SP,EMMC2_DATA6 PAD Drive Strength for P" hexmask.long.byte 0x1A0 16.--19. 1. "SN,EMMC2_DATA6 PAD Drive Strength for N" bitfld.long 0x1A0 12.--14. "RXSEL,EMMC2_DATA6 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1A0 8.--11. 1. "TXPREP,EMMC2_DATA6 PAD Slew-Rate control for P" newline hexmask.long.byte 0x1A0 4.--7. 1. "TXPREN,EMMC2_DATA6 PAD Slew-Rate control for N" bitfld.long 0x1A0 0.--1. "PULL_EN,EMMC2_DATA6 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1A4 "IO_PAD_CONFIG_EMMC2_DATA7,PAD EMMC2_DATA7 config register" hexmask.long.byte 0x1A4 20.--23. 1. "SP,EMMC2_DATA7 PAD Drive Strength for P" hexmask.long.byte 0x1A4 16.--19. 1. "SN,EMMC2_DATA7 PAD Drive Strength for N" bitfld.long 0x1A4 12.--14. "RXSEL,EMMC2_DATA7 PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1A4 8.--11. 1. "TXPREP,EMMC2_DATA7 PAD Slew-Rate control for P" newline hexmask.long.byte 0x1A4 4.--7. 1. "TXPREN,EMMC2_DATA7 PAD Slew-Rate control for N" bitfld.long 0x1A4 0.--1. "PULL_EN,EMMC2_DATA7 PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1A8 "IO_PAD_CONFIG_EMMC2_STROBE,PAD EMMC2_STROBE config register" hexmask.long.byte 0x1A8 20.--23. 1. "SP,EMMC2_STROBE PAD Drive Strength for P" hexmask.long.byte 0x1A8 16.--19. 1. "SN,EMMC2_STROBE PAD Drive Strength for N" bitfld.long 0x1A8 12.--14. "RXSEL,EMMC2_STROBE PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1A8 8.--11. 1. "TXPREP,EMMC2_STROBE PAD Slew-Rate control for P" newline hexmask.long.byte 0x1A8 4.--7. 1. "TXPREN,EMMC2_STROBE PAD Slew-Rate control for N" bitfld.long 0x1A8 0.--1. "PULL_EN,EMMC2_STROBE PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" line.long 0x1AC "IO_PAD_CONFIG_EMMC2_RESET_N,PAD EMMC2_RESET_N config register" hexmask.long.byte 0x1AC 20.--23. 1. "SP,EMMC2_RESET_N PAD Drive Strength for P" hexmask.long.byte 0x1AC 16.--19. 1. "SN,EMMC2_RESET_N PAD Drive Strength for N" bitfld.long 0x1AC 12.--14. "RXSEL,EMMC2_RESET_N PAD Input Select" "0,1,2,3,4,5,6,7" hexmask.long.byte 0x1AC 8.--11. 1. "TXPREP,EMMC2_RESET_N PAD Slew-Rate control for P" newline hexmask.long.byte 0x1AC 4.--7. 1. "TXPREN,EMMC2_RESET_N PAD Slew-Rate control for N" bitfld.long 0x1AC 0.--1. "PULL_EN,EMMC2_RESET_N PAD Pull Enable. 0: OFF; 1: PU; 2: PD; 3: RESERVED." "OFF;,PU;,PD;,RESERVED" group.long 0x200++0x1AF line.long 0x0 "PIN_MUX_CONFIG_GPIO_C0,PAD GPIO_C0 pin-mux config register" bitfld.long 0x0 12. "FV,GPIO_C0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x0 8.--9. "FIN,GPIO_C0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x0 4. "ODE,GPIO_C0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x0 0.--2. "MUX,GPIO_C0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4 "PIN_MUX_CONFIG_GPIO_C1,PAD GPIO_C1 pin-mux config register" bitfld.long 0x4 12. "FV,GPIO_C1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4 8.--9. "FIN,GPIO_C1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4 4. "ODE,GPIO_C1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x4 0.--2. "MUX,GPIO_C1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8 "PIN_MUX_CONFIG_GPIO_C2,PAD GPIO_C2 pin-mux config register" bitfld.long 0x8 12. "FV,GPIO_C2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8 8.--9. "FIN,GPIO_C2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8 4. "ODE,GPIO_C2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x8 0.--2. "MUX,GPIO_C2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC "PIN_MUX_CONFIG_GPIO_C3,PAD GPIO_C3 pin-mux config register" bitfld.long 0xC 12. "FV,GPIO_C3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC 8.--9. "FIN,GPIO_C3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC 4. "ODE,GPIO_C3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC 0.--2. "MUX,GPIO_C3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10 "PIN_MUX_CONFIG_GPIO_C4,PAD GPIO_C4 pin-mux config register" bitfld.long 0x10 12. "FV,GPIO_C4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10 8.--9. "FIN,GPIO_C4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10 4. "ODE,GPIO_C4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x10 0.--2. "MUX,GPIO_C4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14 "PIN_MUX_CONFIG_GPIO_C5,PAD GPIO_C5 pin-mux config register" bitfld.long 0x14 12. "FV,GPIO_C5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14 8.--9. "FIN,GPIO_C5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14 4. "ODE,GPIO_C5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x14 0.--2. "MUX,GPIO_C5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18 "PIN_MUX_CONFIG_GPIO_C6,PAD GPIO_C6 pin-mux config register" bitfld.long 0x18 12. "FV,GPIO_C6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18 8.--9. "FIN,GPIO_C6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18 4. "ODE,GPIO_C6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x18 0.--2. "MUX,GPIO_C6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1C "PIN_MUX_CONFIG_GPIO_C7,PAD GPIO_C7 pin-mux config register" bitfld.long 0x1C 12. "FV,GPIO_C7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1C 8.--9. "FIN,GPIO_C7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1C 4. "ODE,GPIO_C7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1C 0.--2. "MUX,GPIO_C7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x20 "PIN_MUX_CONFIG_GPIO_C8,PAD GPIO_C8 pin-mux config register" bitfld.long 0x20 12. "FV,GPIO_C8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x20 8.--9. "FIN,GPIO_C8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x20 4. "ODE,GPIO_C8 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x20 0.--2. "MUX,GPIO_C8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x24 "PIN_MUX_CONFIG_GPIO_C9,PAD GPIO_C9 pin-mux config register" bitfld.long 0x24 12. "FV,GPIO_C9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x24 8.--9. "FIN,GPIO_C9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x24 4. "ODE,GPIO_C9 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x24 0.--2. "MUX,GPIO_C9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x28 "PIN_MUX_CONFIG_GPIO_C10,PAD GPIO_C10 pin-mux config register" bitfld.long 0x28 12. "FV,GPIO_C10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x28 8.--9. "FIN,GPIO_C10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x28 4. "ODE,GPIO_C10 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x28 0.--2. "MUX,GPIO_C10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x2C "PIN_MUX_CONFIG_GPIO_C11,PAD GPIO_C11 pin-mux config register" bitfld.long 0x2C 12. "FV,GPIO_C11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x2C 8.--9. "FIN,GPIO_C11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x2C 4. "ODE,GPIO_C11 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x2C 0.--2. "MUX,GPIO_C11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x30 "PIN_MUX_CONFIG_GPIO_C12,PAD GPIO_C12 pin-mux config register" bitfld.long 0x30 12. "FV,GPIO_C12 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x30 8.--9. "FIN,GPIO_C12 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x30 4. "ODE,GPIO_C12 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x30 0.--2. "MUX,GPIO_C12 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x34 "PIN_MUX_CONFIG_GPIO_C13,PAD GPIO_C13 pin-mux config register" bitfld.long 0x34 12. "FV,GPIO_C13 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x34 8.--9. "FIN,GPIO_C13 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x34 4. "ODE,GPIO_C13 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x34 0.--2. "MUX,GPIO_C13 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x38 "PIN_MUX_CONFIG_GPIO_C14,PAD GPIO_C14 pin-mux config register" bitfld.long 0x38 12. "FV,GPIO_C14 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x38 8.--9. "FIN,GPIO_C14 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x38 4. "ODE,GPIO_C14 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x38 0.--2. "MUX,GPIO_C14 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x3C "PIN_MUX_CONFIG_GPIO_C15,PAD GPIO_C15 pin-mux config register" bitfld.long 0x3C 12. "FV,GPIO_C15 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x3C 8.--9. "FIN,GPIO_C15 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x3C 4. "ODE,GPIO_C15 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x3C 0.--2. "MUX,GPIO_C15 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x40 "PIN_MUX_CONFIG_GPIO_D0,PAD GPIO_D0 pin-mux config register" bitfld.long 0x40 12. "FV,GPIO_D0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x40 8.--9. "FIN,GPIO_D0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x40 4. "ODE,GPIO_D0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x40 0.--2. "MUX,GPIO_D0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x44 "PIN_MUX_CONFIG_GPIO_D1,PAD GPIO_D1 pin-mux config register" bitfld.long 0x44 12. "FV,GPIO_D1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x44 8.--9. "FIN,GPIO_D1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x44 4. "ODE,GPIO_D1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x44 0.--2. "MUX,GPIO_D1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x48 "PIN_MUX_CONFIG_GPIO_D2,PAD GPIO_D2 pin-mux config register" bitfld.long 0x48 12. "FV,GPIO_D2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x48 8.--9. "FIN,GPIO_D2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x48 4. "ODE,GPIO_D2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x48 0.--2. "MUX,GPIO_D2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4C "PIN_MUX_CONFIG_GPIO_D3,PAD GPIO_D3 pin-mux config register" bitfld.long 0x4C 12. "FV,GPIO_D3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4C 8.--9. "FIN,GPIO_D3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4C 4. "ODE,GPIO_D3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x4C 0.--2. "MUX,GPIO_D3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x50 "PIN_MUX_CONFIG_GPIO_D4,PAD GPIO_D4 pin-mux config register" bitfld.long 0x50 12. "FV,GPIO_D4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x50 8.--9. "FIN,GPIO_D4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x50 4. "ODE,GPIO_D4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x50 0.--2. "MUX,GPIO_D4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x54 "PIN_MUX_CONFIG_GPIO_D5,PAD GPIO_D5 pin-mux config register" bitfld.long 0x54 12. "FV,GPIO_D5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x54 8.--9. "FIN,GPIO_D5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x54 4. "ODE,GPIO_D5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x54 0.--2. "MUX,GPIO_D5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x58 "PIN_MUX_CONFIG_GPIO_D6,PAD GPIO_D6 pin-mux config register" bitfld.long 0x58 12. "FV,GPIO_D6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x58 8.--9. "FIN,GPIO_D6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x58 4. "ODE,GPIO_D6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x58 0.--2. "MUX,GPIO_D6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x5C "PIN_MUX_CONFIG_GPIO_D7,PAD GPIO_D7 pin-mux config register" bitfld.long 0x5C 12. "FV,GPIO_D7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x5C 8.--9. "FIN,GPIO_D7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x5C 4. "ODE,GPIO_D7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x5C 0.--2. "MUX,GPIO_D7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x60 "PIN_MUX_CONFIG_GPIO_D8,PAD GPIO_D8 pin-mux config register" bitfld.long 0x60 12. "FV,GPIO_D8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x60 8.--9. "FIN,GPIO_D8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x60 4. "ODE,GPIO_D8 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x60 0.--2. "MUX,GPIO_D8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x64 "PIN_MUX_CONFIG_GPIO_D9,PAD GPIO_D9 pin-mux config register" bitfld.long 0x64 12. "FV,GPIO_D9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x64 8.--9. "FIN,GPIO_D9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x64 4. "ODE,GPIO_D9 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x64 0.--2. "MUX,GPIO_D9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x68 "PIN_MUX_CONFIG_GPIO_D10,PAD GPIO_D10 pin-mux config register" bitfld.long 0x68 12. "FV,GPIO_D10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x68 8.--9. "FIN,GPIO_D10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x68 4. "ODE,GPIO_D10 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x68 0.--2. "MUX,GPIO_D10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x6C "PIN_MUX_CONFIG_GPIO_D11,PAD GPIO_D11 pin-mux config register" bitfld.long 0x6C 12. "FV,GPIO_D11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x6C 8.--9. "FIN,GPIO_D11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x6C 4. "ODE,GPIO_D11 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x6C 0.--2. "MUX,GPIO_D11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x70 "PIN_MUX_CONFIG_GPIO_D12,PAD GPIO_D12 pin-mux config register" bitfld.long 0x70 12. "FV,GPIO_D12 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x70 8.--9. "FIN,GPIO_D12 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x70 4. "ODE,GPIO_D12 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x70 0.--2. "MUX,GPIO_D12 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x74 "PIN_MUX_CONFIG_GPIO_D13,PAD GPIO_D13 pin-mux config register" bitfld.long 0x74 12. "FV,GPIO_D13 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x74 8.--9. "FIN,GPIO_D13 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x74 4. "ODE,GPIO_D13 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x74 0.--2. "MUX,GPIO_D13 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x78 "PIN_MUX_CONFIG_GPIO_D14,PAD GPIO_D14 pin-mux config register" bitfld.long 0x78 12. "FV,GPIO_D14 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x78 8.--9. "FIN,GPIO_D14 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x78 4. "ODE,GPIO_D14 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x78 0.--2. "MUX,GPIO_D14 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x7C "PIN_MUX_CONFIG_GPIO_D15,PAD GPIO_D15 pin-mux config register" bitfld.long 0x7C 12. "FV,GPIO_D15 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x7C 8.--9. "FIN,GPIO_D15 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x7C 4. "ODE,GPIO_D15 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x7C 0.--2. "MUX,GPIO_D15 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x80 "PIN_MUX_CONFIG_OSPI2_SCLK,PAD OSPI2_SCLK pin-mux config register" bitfld.long 0x80 12. "FV,OSPI2_SCLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x80 8.--9. "FIN,OSPI2_SCLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x80 4. "ODE,OSPI2_SCLK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x80 0.--2. "MUX,OSPI2_SCLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x84 "PIN_MUX_CONFIG_OSPI2_SS0,PAD OSPI2_SS0 pin-mux config register" bitfld.long 0x84 12. "FV,OSPI2_SS0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x84 8.--9. "FIN,OSPI2_SS0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x84 4. "ODE,OSPI2_SS0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x84 0.--2. "MUX,OSPI2_SS0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x88 "PIN_MUX_CONFIG_OSPI2_DATA0,PAD OSPI2_DATA0 pin-mux config register" bitfld.long 0x88 12. "FV,OSPI2_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x88 8.--9. "FIN,OSPI2_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x88 4. "ODE,OSPI2_DATA0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x88 0.--2. "MUX,OSPI2_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8C "PIN_MUX_CONFIG_OSPI2_DATA1,PAD OSPI2_DATA1 pin-mux config register" bitfld.long 0x8C 12. "FV,OSPI2_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8C 8.--9. "FIN,OSPI2_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8C 4. "ODE,OSPI2_DATA1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x8C 0.--2. "MUX,OSPI2_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x90 "PIN_MUX_CONFIG_OSPI2_DATA2,PAD OSPI2_DATA2 pin-mux config register" bitfld.long 0x90 12. "FV,OSPI2_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x90 8.--9. "FIN,OSPI2_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x90 4. "ODE,OSPI2_DATA2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x90 0.--2. "MUX,OSPI2_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x94 "PIN_MUX_CONFIG_OSPI2_DATA3,PAD OSPI2_DATA3 pin-mux config register" bitfld.long 0x94 12. "FV,OSPI2_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x94 8.--9. "FIN,OSPI2_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x94 4. "ODE,OSPI2_DATA3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x94 0.--2. "MUX,OSPI2_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x98 "PIN_MUX_CONFIG_OSPI2_DATA4,PAD OSPI2_DATA4 pin-mux config register" bitfld.long 0x98 12. "FV,OSPI2_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x98 8.--9. "FIN,OSPI2_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x98 4. "ODE,OSPI2_DATA4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x98 0.--2. "MUX,OSPI2_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x9C "PIN_MUX_CONFIG_OSPI2_DATA5,PAD OSPI2_DATA5 pin-mux config register" bitfld.long 0x9C 12. "FV,OSPI2_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x9C 8.--9. "FIN,OSPI2_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x9C 4. "ODE,OSPI2_DATA5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x9C 0.--2. "MUX,OSPI2_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA0 "PIN_MUX_CONFIG_OSPI2_DATA6,PAD OSPI2_DATA6 pin-mux config register" bitfld.long 0xA0 12. "FV,OSPI2_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA0 8.--9. "FIN,OSPI2_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA0 4. "ODE,OSPI2_DATA6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xA0 0.--2. "MUX,OSPI2_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA4 "PIN_MUX_CONFIG_OSPI2_DATA7,PAD OSPI2_DATA7 pin-mux config register" bitfld.long 0xA4 12. "FV,OSPI2_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA4 8.--9. "FIN,OSPI2_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA4 4. "ODE,OSPI2_DATA7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xA4 0.--2. "MUX,OSPI2_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA8 "PIN_MUX_CONFIG_OSPI2_DQS,PAD OSPI2_DQS pin-mux config register" bitfld.long 0xA8 12. "FV,OSPI2_DQS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA8 8.--9. "FIN,OSPI2_DQS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA8 4. "ODE,OSPI2_DQS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xA8 0.--2. "MUX,OSPI2_DQS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xAC "PIN_MUX_CONFIG_OSPI2_SS1,PAD OSPI2_SS1 pin-mux config register" bitfld.long 0xAC 12. "FV,OSPI2_SS1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xAC 8.--9. "FIN,OSPI2_SS1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xAC 4. "ODE,OSPI2_SS1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xAC 0.--2. "MUX,OSPI2_SS1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB0 "PIN_MUX_CONFIG_RGMII2_TXC,PAD RGMII2_TXC pin-mux config register" bitfld.long 0xB0 12. "FV,RGMII2_TXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB0 8.--9. "FIN,RGMII2_TXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB0 4. "ODE,RGMII2_TXC PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xB0 0.--2. "MUX,RGMII2_TXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB4 "PIN_MUX_CONFIG_RGMII2_TXD0,PAD RGMII2_TXD0 pin-mux config register" bitfld.long 0xB4 12. "FV,RGMII2_TXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB4 8.--9. "FIN,RGMII2_TXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB4 4. "ODE,RGMII2_TXD0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xB4 0.--2. "MUX,RGMII2_TXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB8 "PIN_MUX_CONFIG_RGMII2_TXD1,PAD RGMII2_TXD1 pin-mux config register" bitfld.long 0xB8 12. "FV,RGMII2_TXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB8 8.--9. "FIN,RGMII2_TXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB8 4. "ODE,RGMII2_TXD1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xB8 0.--2. "MUX,RGMII2_TXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xBC "PIN_MUX_CONFIG_RGMII2_TXD2,PAD RGMII2_TXD2 pin-mux config register" bitfld.long 0xBC 12. "FV,RGMII2_TXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xBC 8.--9. "FIN,RGMII2_TXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xBC 4. "ODE,RGMII2_TXD2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xBC 0.--2. "MUX,RGMII2_TXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC0 "PIN_MUX_CONFIG_RGMII2_TXD3,PAD RGMII2_TXD3 pin-mux config register" bitfld.long 0xC0 12. "FV,RGMII2_TXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC0 8.--9. "FIN,RGMII2_TXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC0 4. "ODE,RGMII2_TXD3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC0 0.--2. "MUX,RGMII2_TXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC4 "PIN_MUX_CONFIG_RGMII2_TX_CTL,PAD RGMII2_TX_CTL pin-mux config register" bitfld.long 0xC4 12. "FV,RGMII2_TX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC4 8.--9. "FIN,RGMII2_TX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC4 4. "ODE,RGMII2_TX_CTL PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC4 0.--2. "MUX,RGMII2_TX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC8 "PIN_MUX_CONFIG_RGMII2_RXC,PAD RGMII2_RXC pin-mux config register" bitfld.long 0xC8 12. "FV,RGMII2_RXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC8 8.--9. "FIN,RGMII2_RXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC8 4. "ODE,RGMII2_RXC PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xC8 0.--2. "MUX,RGMII2_RXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xCC "PIN_MUX_CONFIG_RGMII2_RXD0,PAD RGMII2_RXD0 pin-mux config register" bitfld.long 0xCC 12. "FV,RGMII2_RXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xCC 8.--9. "FIN,RGMII2_RXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xCC 4. "ODE,RGMII2_RXD0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xCC 0.--2. "MUX,RGMII2_RXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xD0 "PIN_MUX_CONFIG_RGMII2_RXD1,PAD RGMII2_RXD1 pin-mux config register" bitfld.long 0xD0 12. "FV,RGMII2_RXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xD0 8.--9. "FIN,RGMII2_RXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xD0 4. "ODE,RGMII2_RXD1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xD0 0.--2. "MUX,RGMII2_RXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xD4 "PIN_MUX_CONFIG_RGMII2_RXD2,PAD RGMII2_RXD2 pin-mux config register" bitfld.long 0xD4 12. "FV,RGMII2_RXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xD4 8.--9. "FIN,RGMII2_RXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xD4 4. "ODE,RGMII2_RXD2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xD4 0.--2. "MUX,RGMII2_RXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xD8 "PIN_MUX_CONFIG_RGMII2_RXD3,PAD RGMII2_RXD3 pin-mux config register" bitfld.long 0xD8 12. "FV,RGMII2_RXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xD8 8.--9. "FIN,RGMII2_RXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xD8 4. "ODE,RGMII2_RXD3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xD8 0.--2. "MUX,RGMII2_RXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xDC "PIN_MUX_CONFIG_RGMII2_RX_CTL,PAD RGMII2_RX_CTL pin-mux config register" bitfld.long 0xDC 12. "FV,RGMII2_RX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xDC 8.--9. "FIN,RGMII2_RX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xDC 4. "ODE,RGMII2_RX_CTL PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xDC 0.--2. "MUX,RGMII2_RX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xE0 "PIN_MUX_CONFIG_I2S_SC3_SCK,PAD I2S_SC3_SCK pin-mux config register" bitfld.long 0xE0 12. "FV,I2S_SC3_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xE0 8.--9. "FIN,I2S_SC3_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xE0 4. "ODE,I2S_SC3_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xE0 0.--2. "MUX,I2S_SC3_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xE4 "PIN_MUX_CONFIG_I2S_SC3_WS,PAD I2S_SC3_WS pin-mux config register" bitfld.long 0xE4 12. "FV,I2S_SC3_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xE4 8.--9. "FIN,I2S_SC3_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xE4 4. "ODE,I2S_SC3_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xE4 0.--2. "MUX,I2S_SC3_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xE8 "PIN_MUX_CONFIG_I2S_SC3_SD,PAD I2S_SC3_SD pin-mux config register" bitfld.long 0xE8 12. "FV,I2S_SC3_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xE8 8.--9. "FIN,I2S_SC3_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xE8 4. "ODE,I2S_SC3_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xE8 0.--2. "MUX,I2S_SC3_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xEC "PIN_MUX_CONFIG_I2S_SC4_SCK,PAD I2S_SC4_SCK pin-mux config register" bitfld.long 0xEC 12. "FV,I2S_SC4_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xEC 8.--9. "FIN,I2S_SC4_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xEC 4. "ODE,I2S_SC4_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xEC 0.--2. "MUX,I2S_SC4_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xF0 "PIN_MUX_CONFIG_I2S_SC4_WS,PAD I2S_SC4_WS pin-mux config register" bitfld.long 0xF0 12. "FV,I2S_SC4_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xF0 8.--9. "FIN,I2S_SC4_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xF0 4. "ODE,I2S_SC4_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xF0 0.--2. "MUX,I2S_SC4_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xF4 "PIN_MUX_CONFIG_I2S_SC4_SD,PAD I2S_SC4_SD pin-mux config register" bitfld.long 0xF4 12. "FV,I2S_SC4_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xF4 8.--9. "FIN,I2S_SC4_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xF4 4. "ODE,I2S_SC4_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xF4 0.--2. "MUX,I2S_SC4_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xF8 "PIN_MUX_CONFIG_I2S_SC5_SCK,PAD I2S_SC5_SCK pin-mux config register" bitfld.long 0xF8 12. "FV,I2S_SC5_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xF8 8.--9. "FIN,I2S_SC5_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xF8 4. "ODE,I2S_SC5_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xF8 0.--2. "MUX,I2S_SC5_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xFC "PIN_MUX_CONFIG_I2S_SC5_WS,PAD I2S_SC5_WS pin-mux config register" bitfld.long 0xFC 12. "FV,I2S_SC5_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xFC 8.--9. "FIN,I2S_SC5_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xFC 4. "ODE,I2S_SC5_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0xFC 0.--2. "MUX,I2S_SC5_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x100 "PIN_MUX_CONFIG_I2S_SC5_SD,PAD I2S_SC5_SD pin-mux config register" bitfld.long 0x100 12. "FV,I2S_SC5_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x100 8.--9. "FIN,I2S_SC5_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x100 4. "ODE,I2S_SC5_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x100 0.--2. "MUX,I2S_SC5_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x104 "PIN_MUX_CONFIG_I2S_SC6_SCK,PAD I2S_SC6_SCK pin-mux config register" bitfld.long 0x104 12. "FV,I2S_SC6_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x104 8.--9. "FIN,I2S_SC6_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x104 4. "ODE,I2S_SC6_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x104 0.--2. "MUX,I2S_SC6_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x108 "PIN_MUX_CONFIG_I2S_SC6_WS,PAD I2S_SC6_WS pin-mux config register" bitfld.long 0x108 12. "FV,I2S_SC6_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x108 8.--9. "FIN,I2S_SC6_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x108 4. "ODE,I2S_SC6_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x108 0.--2. "MUX,I2S_SC6_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10C "PIN_MUX_CONFIG_I2S_SC6_SD,PAD I2S_SC6_SD pin-mux config register" bitfld.long 0x10C 12. "FV,I2S_SC6_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10C 8.--9. "FIN,I2S_SC6_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10C 4. "ODE,I2S_SC6_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x10C 0.--2. "MUX,I2S_SC6_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x110 "PIN_MUX_CONFIG_I2S_SC7_SCK,PAD I2S_SC7_SCK pin-mux config register" bitfld.long 0x110 12. "FV,I2S_SC7_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x110 8.--9. "FIN,I2S_SC7_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x110 4. "ODE,I2S_SC7_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x110 0.--2. "MUX,I2S_SC7_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x114 "PIN_MUX_CONFIG_I2S_SC7_WS,PAD I2S_SC7_WS pin-mux config register" bitfld.long 0x114 12. "FV,I2S_SC7_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x114 8.--9. "FIN,I2S_SC7_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x114 4. "ODE,I2S_SC7_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x114 0.--2. "MUX,I2S_SC7_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x118 "PIN_MUX_CONFIG_I2S_SC7_SD,PAD I2S_SC7_SD pin-mux config register" bitfld.long 0x118 12. "FV,I2S_SC7_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x118 8.--9. "FIN,I2S_SC7_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x118 4. "ODE,I2S_SC7_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x118 0.--2. "MUX,I2S_SC7_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x11C "PIN_MUX_CONFIG_I2S_SC8_SCK,PAD I2S_SC8_SCK pin-mux config register" bitfld.long 0x11C 12. "FV,I2S_SC8_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x11C 8.--9. "FIN,I2S_SC8_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x11C 4. "ODE,I2S_SC8_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x11C 0.--2. "MUX,I2S_SC8_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x120 "PIN_MUX_CONFIG_I2S_SC8_WS,PAD I2S_SC8_WS pin-mux config register" bitfld.long 0x120 12. "FV,I2S_SC8_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x120 8.--9. "FIN,I2S_SC8_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x120 4. "ODE,I2S_SC8_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x120 0.--2. "MUX,I2S_SC8_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x124 "PIN_MUX_CONFIG_I2S_SC8_SD,PAD I2S_SC8_SD pin-mux config register" bitfld.long 0x124 12. "FV,I2S_SC8_SD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x124 8.--9. "FIN,I2S_SC8_SD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x124 4. "ODE,I2S_SC8_SD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x124 0.--2. "MUX,I2S_SC8_SD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x128 "PIN_MUX_CONFIG_I2S_MC_SCK,PAD I2S_MC_SCK pin-mux config register" bitfld.long 0x128 12. "FV,I2S_MC_SCK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x128 8.--9. "FIN,I2S_MC_SCK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x128 4. "ODE,I2S_MC_SCK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x128 0.--2. "MUX,I2S_MC_SCK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x12C "PIN_MUX_CONFIG_I2S_MC_WS,PAD I2S_MC_WS pin-mux config register" bitfld.long 0x12C 12. "FV,I2S_MC_WS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x12C 8.--9. "FIN,I2S_MC_WS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x12C 4. "ODE,I2S_MC_WS PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x12C 0.--2. "MUX,I2S_MC_WS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x130 "PIN_MUX_CONFIG_I2S_MC_SD0,PAD I2S_MC_SD0 pin-mux config register" bitfld.long 0x130 12. "FV,I2S_MC_SD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x130 8.--9. "FIN,I2S_MC_SD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x130 4. "ODE,I2S_MC_SD0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x130 0.--2. "MUX,I2S_MC_SD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x134 "PIN_MUX_CONFIG_I2S_MC_SD1,PAD I2S_MC_SD1 pin-mux config register" bitfld.long 0x134 12. "FV,I2S_MC_SD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x134 8.--9. "FIN,I2S_MC_SD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x134 4. "ODE,I2S_MC_SD1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x134 0.--2. "MUX,I2S_MC_SD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x138 "PIN_MUX_CONFIG_I2S_MC_SD2,PAD I2S_MC_SD2 pin-mux config register" bitfld.long 0x138 12. "FV,I2S_MC_SD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x138 8.--9. "FIN,I2S_MC_SD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x138 4. "ODE,I2S_MC_SD2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x138 0.--2. "MUX,I2S_MC_SD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x13C "PIN_MUX_CONFIG_I2S_MC_SD3,PAD I2S_MC_SD3 pin-mux config register" bitfld.long 0x13C 12. "FV,I2S_MC_SD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x13C 8.--9. "FIN,I2S_MC_SD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x13C 4. "ODE,I2S_MC_SD3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x13C 0.--2. "MUX,I2S_MC_SD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x140 "PIN_MUX_CONFIG_I2S_MC_SD4,PAD I2S_MC_SD4 pin-mux config register" bitfld.long 0x140 12. "FV,I2S_MC_SD4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x140 8.--9. "FIN,I2S_MC_SD4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x140 4. "ODE,I2S_MC_SD4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x140 0.--2. "MUX,I2S_MC_SD4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x144 "PIN_MUX_CONFIG_I2S_MC_SD5,PAD I2S_MC_SD5 pin-mux config register" bitfld.long 0x144 12. "FV,I2S_MC_SD5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x144 8.--9. "FIN,I2S_MC_SD5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x144 4. "ODE,I2S_MC_SD5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x144 0.--2. "MUX,I2S_MC_SD5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x148 "PIN_MUX_CONFIG_I2S_MC_SD6,PAD I2S_MC_SD6 pin-mux config register" bitfld.long 0x148 12. "FV,I2S_MC_SD6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x148 8.--9. "FIN,I2S_MC_SD6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x148 4. "ODE,I2S_MC_SD6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x148 0.--2. "MUX,I2S_MC_SD6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14C "PIN_MUX_CONFIG_I2S_MC_SD7,PAD I2S_MC_SD7 pin-mux config register" bitfld.long 0x14C 12. "FV,I2S_MC_SD7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14C 8.--9. "FIN,I2S_MC_SD7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14C 4. "ODE,I2S_MC_SD7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x14C 0.--2. "MUX,I2S_MC_SD7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x150 "PIN_MUX_CONFIG_EMMC1_CLK,PAD EMMC1_CLK pin-mux config register" bitfld.long 0x150 12. "FV,EMMC1_CLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x150 8.--9. "FIN,EMMC1_CLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x150 4. "ODE,EMMC1_CLK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x150 0.--2. "MUX,EMMC1_CLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x154 "PIN_MUX_CONFIG_EMMC1_CMD,PAD EMMC1_CMD pin-mux config register" bitfld.long 0x154 12. "FV,EMMC1_CMD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x154 8.--9. "FIN,EMMC1_CMD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x154 4. "ODE,EMMC1_CMD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x154 0.--2. "MUX,EMMC1_CMD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x158 "PIN_MUX_CONFIG_EMMC1_DATA0,PAD EMMC1_DATA0 pin-mux config register" bitfld.long 0x158 12. "FV,EMMC1_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x158 8.--9. "FIN,EMMC1_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x158 4. "ODE,EMMC1_DATA0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x158 0.--2. "MUX,EMMC1_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x15C "PIN_MUX_CONFIG_EMMC1_DATA1,PAD EMMC1_DATA1 pin-mux config register" bitfld.long 0x15C 12. "FV,EMMC1_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x15C 8.--9. "FIN,EMMC1_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x15C 4. "ODE,EMMC1_DATA1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x15C 0.--2. "MUX,EMMC1_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x160 "PIN_MUX_CONFIG_EMMC1_DATA2,PAD EMMC1_DATA2 pin-mux config register" bitfld.long 0x160 12. "FV,EMMC1_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x160 8.--9. "FIN,EMMC1_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x160 4. "ODE,EMMC1_DATA2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x160 0.--2. "MUX,EMMC1_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x164 "PIN_MUX_CONFIG_EMMC1_DATA3,PAD EMMC1_DATA3 pin-mux config register" bitfld.long 0x164 12. "FV,EMMC1_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x164 8.--9. "FIN,EMMC1_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x164 4. "ODE,EMMC1_DATA3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x164 0.--2. "MUX,EMMC1_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x168 "PIN_MUX_CONFIG_EMMC1_DATA4,PAD EMMC1_DATA4 pin-mux config register" bitfld.long 0x168 12. "FV,EMMC1_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x168 8.--9. "FIN,EMMC1_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x168 4. "ODE,EMMC1_DATA4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x168 0.--2. "MUX,EMMC1_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x16C "PIN_MUX_CONFIG_EMMC1_DATA5,PAD EMMC1_DATA5 pin-mux config register" bitfld.long 0x16C 12. "FV,EMMC1_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x16C 8.--9. "FIN,EMMC1_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x16C 4. "ODE,EMMC1_DATA5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x16C 0.--2. "MUX,EMMC1_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x170 "PIN_MUX_CONFIG_EMMC1_DATA6,PAD EMMC1_DATA6 pin-mux config register" bitfld.long 0x170 12. "FV,EMMC1_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x170 8.--9. "FIN,EMMC1_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x170 4. "ODE,EMMC1_DATA6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x170 0.--2. "MUX,EMMC1_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x174 "PIN_MUX_CONFIG_EMMC1_DATA7,PAD EMMC1_DATA7 pin-mux config register" bitfld.long 0x174 12. "FV,EMMC1_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x174 8.--9. "FIN,EMMC1_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x174 4. "ODE,EMMC1_DATA7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x174 0.--2. "MUX,EMMC1_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x178 "PIN_MUX_CONFIG_EMMC1_STROBE,PAD EMMC1_STROBE pin-mux config register" bitfld.long 0x178 12. "FV,EMMC1_STROBE PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x178 8.--9. "FIN,EMMC1_STROBE PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x178 4. "ODE,EMMC1_STROBE PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x178 0.--2. "MUX,EMMC1_STROBE PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x17C "PIN_MUX_CONFIG_EMMC1_RESET_N,PAD EMMC1_RESET_N pin-mux config register" bitfld.long 0x17C 12. "FV,EMMC1_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x17C 8.--9. "FIN,EMMC1_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x17C 4. "ODE,EMMC1_RESET_N PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x17C 0.--2. "MUX,EMMC1_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x180 "PIN_MUX_CONFIG_EMMC2_CLK,PAD EMMC2_CLK pin-mux config register" bitfld.long 0x180 12. "FV,EMMC2_CLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x180 8.--9. "FIN,EMMC2_CLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x180 4. "ODE,EMMC2_CLK PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x180 0.--2. "MUX,EMMC2_CLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x184 "PIN_MUX_CONFIG_EMMC2_CMD,PAD EMMC2_CMD pin-mux config register" bitfld.long 0x184 12. "FV,EMMC2_CMD PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x184 8.--9. "FIN,EMMC2_CMD PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x184 4. "ODE,EMMC2_CMD PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x184 0.--2. "MUX,EMMC2_CMD PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x188 "PIN_MUX_CONFIG_EMMC2_DATA0,PAD EMMC2_DATA0 pin-mux config register" bitfld.long 0x188 12. "FV,EMMC2_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x188 8.--9. "FIN,EMMC2_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x188 4. "ODE,EMMC2_DATA0 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x188 0.--2. "MUX,EMMC2_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18C "PIN_MUX_CONFIG_EMMC2_DATA1,PAD EMMC2_DATA1 pin-mux config register" bitfld.long 0x18C 12. "FV,EMMC2_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18C 8.--9. "FIN,EMMC2_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18C 4. "ODE,EMMC2_DATA1 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x18C 0.--2. "MUX,EMMC2_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x190 "PIN_MUX_CONFIG_EMMC2_DATA2,PAD EMMC2_DATA2 pin-mux config register" bitfld.long 0x190 12. "FV,EMMC2_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x190 8.--9. "FIN,EMMC2_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x190 4. "ODE,EMMC2_DATA2 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x190 0.--2. "MUX,EMMC2_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x194 "PIN_MUX_CONFIG_EMMC2_DATA3,PAD EMMC2_DATA3 pin-mux config register" bitfld.long 0x194 12. "FV,EMMC2_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x194 8.--9. "FIN,EMMC2_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x194 4. "ODE,EMMC2_DATA3 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x194 0.--2. "MUX,EMMC2_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x198 "PIN_MUX_CONFIG_EMMC2_DATA4,PAD EMMC2_DATA4 pin-mux config register" bitfld.long 0x198 12. "FV,EMMC2_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x198 8.--9. "FIN,EMMC2_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x198 4. "ODE,EMMC2_DATA4 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x198 0.--2. "MUX,EMMC2_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x19C "PIN_MUX_CONFIG_EMMC2_DATA5,PAD EMMC2_DATA5 pin-mux config register" bitfld.long 0x19C 12. "FV,EMMC2_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x19C 8.--9. "FIN,EMMC2_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x19C 4. "ODE,EMMC2_DATA5 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x19C 0.--2. "MUX,EMMC2_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1A0 "PIN_MUX_CONFIG_EMMC2_DATA6,PAD EMMC2_DATA6 pin-mux config register" bitfld.long 0x1A0 12. "FV,EMMC2_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1A0 8.--9. "FIN,EMMC2_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1A0 4. "ODE,EMMC2_DATA6 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1A0 0.--2. "MUX,EMMC2_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1A4 "PIN_MUX_CONFIG_EMMC2_DATA7,PAD EMMC2_DATA7 pin-mux config register" bitfld.long 0x1A4 12. "FV,EMMC2_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1A4 8.--9. "FIN,EMMC2_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1A4 4. "ODE,EMMC2_DATA7 PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1A4 0.--2. "MUX,EMMC2_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1A8 "PIN_MUX_CONFIG_EMMC2_STROBE,PAD EMMC2_STROBE pin-mux config register" bitfld.long 0x1A8 12. "FV,EMMC2_STROBE PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1A8 8.--9. "FIN,EMMC2_STROBE PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1A8 4. "ODE,EMMC2_STROBE PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1A8 0.--2. "MUX,EMMC2_STROBE PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1AC "PIN_MUX_CONFIG_EMMC2_RESET_N,PAD EMMC2_RESET_N pin-mux config register" bitfld.long 0x1AC 12. "FV,EMMC2_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1AC 8.--9. "FIN,EMMC2_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1AC 4. "ODE,EMMC2_RESET_N PAD Opn Drain Mode enable" "0,1" newline bitfld.long 0x1AC 0.--2. "MUX,EMMC2_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" group.long 0x400++0x19B line.long 0x0 "INPUT_SOURCE_SELECT_I2C5_SCL,I2C5_SCL input source select register" bitfld.long 0x0 0. "SRC_SEL,source select" "0,1" line.long 0x4 "INPUT_SOURCE_SELECT_SPI6_SCLK,SPI6_SCLK input source select register" bitfld.long 0x4 0. "SRC_SEL,source select" "0,1" line.long 0x8 "INPUT_SOURCE_SELECT_MSHC4_CARD_DET_N,MSHC4_CARD_DET_N input source select register" bitfld.long 0x8 0. "SRC_SEL,source select" "0,1" line.long 0xC "INPUT_SOURCE_SELECT_I2C5_SDA,I2C5_SDA input source select register" bitfld.long 0xC 0. "SRC_SEL,source select" "0,1" line.long 0x10 "INPUT_SOURCE_SELECT_SPI6_MISO,SPI6_MISO input source select register" bitfld.long 0x10 0. "SRC_SEL,source select" "0,1" line.long 0x14 "INPUT_SOURCE_SELECT_CANFD5_RX,CANFD5_RX input source select register" bitfld.long 0x14 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x18 "INPUT_SOURCE_SELECT_MSHC4_WP,MSHC4_WP input source select register" bitfld.long 0x18 0. "SRC_SEL,source select" "0,1" line.long 0x1C "INPUT_SOURCE_SELECT_USB_SS_USB1_OC,USB_SS_USB1_OC input source select register" bitfld.long 0x1C 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x20 "INPUT_SOURCE_SELECT_I2C6_SCL,I2C6_SCL input source select register" bitfld.long 0x20 0. "SRC_SEL,source select" "0,1" line.long 0x24 "INPUT_SOURCE_SELECT_SPI6_MOSI,SPI6_MOSI input source select register" bitfld.long 0x24 0. "SRC_SEL,source select" "0,1" line.long 0x28 "INPUT_SOURCE_SELECT_I2C6_SDA,I2C6_SDA input source select register" bitfld.long 0x28 0. "SRC_SEL,source select" "0,1" line.long 0x2C "INPUT_SOURCE_SELECT_SPI6_SS,SPI6_SS input source select register" bitfld.long 0x2C 0. "SRC_SEL,source select" "0,1" line.long 0x30 "INPUT_SOURCE_SELECT_CANFD6_RX,CANFD6_RX input source select register" bitfld.long 0x30 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x34 "INPUT_SOURCE_SELECT_USB_SS_USB2_OC,USB_SS_USB2_OC input source select register" bitfld.long 0x34 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x38 "INPUT_SOURCE_SELECT_I2C7_SCL,I2C7_SCL input source select register" bitfld.long 0x38 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x3C "INPUT_SOURCE_SELECT_MSHC3_CARD_DET_N,MSHC3_CARD_DET_N input source select register" bitfld.long 0x3C 0. "SRC_SEL,source select" "0,1" line.long 0x40 "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX2_CLKREQ_N,PCIE_SS_PCIEX2_CLKREQ_N input source select register" bitfld.long 0x40 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x44 "INPUT_SOURCE_SELECT_I2C7_SDA,I2C7_SDA input source select register" bitfld.long 0x44 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x48 "INPUT_SOURCE_SELECT_MSHC3_WP,MSHC3_WP input source select register" bitfld.long 0x48 0. "SRC_SEL,source select" "0,1" line.long 0x4C "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX1_CLKREQ_N,PCIE_SS_PCIEX1_CLKREQ_N input source select register" bitfld.long 0x4C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x50 "INPUT_SOURCE_SELECT_I2C8_SCL,I2C8_SCL input source select register" bitfld.long 0x50 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x54 "INPUT_SOURCE_SELECT_ENET2_MDIO,ENET2_MDIO input source select register" bitfld.long 0x54 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x58 "INPUT_SOURCE_SELECT_MSHC3_VOLT_SW,MSHC3_VOLT_SW input source select register" bitfld.long 0x58 0. "SRC_SEL,source select" "0,1" line.long 0x5C "INPUT_SOURCE_SELECT_I2C8_SDA,I2C8_SDA input source select register" bitfld.long 0x5C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x60 "INPUT_SOURCE_SELECT_SPI5_SCLK,SPI5_SCLK input source select register" bitfld.long 0x60 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x64 "INPUT_SOURCE_SELECT_SPI5_MISO,SPI5_MISO input source select register" bitfld.long 0x64 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x68 "INPUT_SOURCE_SELECT_UART11_RX,UART11_RX input source select register" bitfld.long 0x68 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x6C "INPUT_SOURCE_SELECT_SPI5_MOSI,SPI5_MOSI input source select register" bitfld.long 0x6C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x70 "INPUT_SOURCE_SELECT_SPI5_SS,SPI5_SS input source select register" bitfld.long 0x70 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x74 "INPUT_SOURCE_SELECT_UART12_RX,UART12_RX input source select register" bitfld.long 0x74 0. "SRC_SEL,source select" "0,1" line.long 0x78 "INPUT_SOURCE_SELECT_I2C13_SCL,I2C13_SCL input source select register" bitfld.long 0x78 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x7C "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX1_PERST_N,PCIE_SS_PCIEX1_PERST_N input source select register" bitfld.long 0x7C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x80 "INPUT_SOURCE_SELECT_I2C13_SDA,I2C13_SDA input source select register" bitfld.long 0x80 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x84 "INPUT_SOURCE_SELECT_CANFD7_RX,CANFD7_RX input source select register" bitfld.long 0x84 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x88 "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX1_WAKE_N,PCIE_SS_PCIEX1_WAKE_N input source select register" bitfld.long 0x88 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x8C "INPUT_SOURCE_SELECT_I2C14_SCL,I2C14_SCL input source select register" bitfld.long 0x8C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x90 "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX2_PERST_N,PCIE_SS_PCIEX2_PERST_N input source select register" bitfld.long 0x90 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x94 "INPUT_SOURCE_SELECT_I2C14_SDA,I2C14_SDA input source select register" bitfld.long 0x94 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x98 "INPUT_SOURCE_SELECT_CANFD8_RX,CANFD8_RX input source select register" bitfld.long 0x98 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x9C "INPUT_SOURCE_SELECT_PCIE_SS_PCIEX2_WAKE_N,PCIE_SS_PCIEX2_WAKE_N input source select register" bitfld.long 0x9C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA0 "INPUT_SOURCE_SELECT_SPI8_SCLK,SPI8_SCLK input source select register" bitfld.long 0xA0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA4 "INPUT_SOURCE_SELECT_SPI8_MISO,SPI8_MISO input source select register" bitfld.long 0xA4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA8 "INPUT_SOURCE_SELECT_SPI8_MOSI,SPI8_MOSI input source select register" bitfld.long 0xA8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xAC "INPUT_SOURCE_SELECT_SPDIF3_IN,SPDIF3_IN input source select register" bitfld.long 0xAC 0. "SRC_SEL,source select" "0,1" line.long 0xB0 "INPUT_SOURCE_SELECT_SPI8_SS,SPI8_SS input source select register" bitfld.long 0xB0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB4 "INPUT_SOURCE_SELECT_UART13_RX,UART13_RX input source select register" bitfld.long 0xB4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB8 "INPUT_SOURCE_SELECT_UART14_CTS,UART14_CTS input source select register" bitfld.long 0xB8 0. "SRC_SEL,source select" "0,1" line.long 0xBC "INPUT_SOURCE_SELECT_I2C12_SCL,I2C12_SCL input source select register" bitfld.long 0xBC 0. "SRC_SEL,source select" "0,1" line.long 0xC0 "INPUT_SOURCE_SELECT_SPDIF4_IN,SPDIF4_IN input source select register" bitfld.long 0xC0 0. "SRC_SEL,source select" "0,1" line.long 0xC4 "INPUT_SOURCE_SELECT_UART14_RX,UART14_RX input source select register" bitfld.long 0xC4 0. "SRC_SEL,source select" "0,1" line.long 0xC8 "INPUT_SOURCE_SELECT_I2C12_SDA,I2C12_SDA input source select register" bitfld.long 0xC8 0. "SRC_SEL,source select" "0,1" line.long 0xCC "INPUT_SOURCE_SELECT_SPI7_SCLK,SPI7_SCLK input source select register" bitfld.long 0xCC 0. "SRC_SEL,source select" "0,1" line.long 0xD0 "INPUT_SOURCE_SELECT_SPI7_MISO,SPI7_MISO input source select register" bitfld.long 0xD0 0. "SRC_SEL,source select" "0,1" line.long 0xD4 "INPUT_SOURCE_SELECT_UART15_RX,UART15_RX input source select register" bitfld.long 0xD4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xD8 "INPUT_SOURCE_SELECT_UART16_CTS,UART16_CTS input source select register" bitfld.long 0xD8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xDC "INPUT_SOURCE_SELECT_SPI7_MOSI,SPI7_MOSI input source select register" bitfld.long 0xDC 0. "SRC_SEL,source select" "0,1" line.long 0xE0 "INPUT_SOURCE_SELECT_TIMER6_CH2,TIMER6_CH2 input source select register" bitfld.long 0xE0 0. "SRC_SEL,source select" "0,1" line.long 0xE4 "INPUT_SOURCE_SELECT_SPI7_SS,SPI7_SS input source select register" bitfld.long 0xE4 0. "SRC_SEL,source select" "0,1" line.long 0xE8 "INPUT_SOURCE_SELECT_UART16_RX,UART16_RX input source select register" bitfld.long 0xE8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xEC "INPUT_SOURCE_SELECT_TIMER6_CH3,TIMER6_CH3 input source select register" bitfld.long 0xEC 0. "SRC_SEL,source select" "0,1" line.long 0xF0 "INPUT_SOURCE_SELECT_I2C15_SCL,I2C15_SCL input source select register" bitfld.long 0xF0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xF4 "INPUT_SOURCE_SELECT_I2C15_SDA,I2C15_SDA input source select register" bitfld.long 0xF4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xF8 "INPUT_SOURCE_SELECT_I2C16_SCL,I2C16_SCL input source select register" bitfld.long 0xF8 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xFC "INPUT_SOURCE_SELECT_I2C16_SDA,I2C16_SDA input source select register" bitfld.long 0xFC 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x100 "INPUT_SOURCE_SELECT_I2S_MC2_SCKO,I2S_MC2_SCKO input source select register" bitfld.long 0x100 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x104 "INPUT_SOURCE_SELECT_I2S_MC2_WSO,I2S_MC2_WSO input source select register" bitfld.long 0x104 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x108 "INPUT_SOURCE_SELECT_I2S_MC2_SDI0_SDO0,I2S_MC2_SDI0_SDO0 input source select register" bitfld.long 0x108 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x10C "INPUT_SOURCE_SELECT_I2S_MC2_SDI1_SDO1,I2S_MC2_SDI1_SDO1 input source select register" bitfld.long 0x10C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x110 "INPUT_SOURCE_SELECT_I2S_MC2_SDI2_SDO2,I2S_MC2_SDI2_SDO2 input source select register" bitfld.long 0x110 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x114 "INPUT_SOURCE_SELECT_I2S_MC2_SDI3_SDO3,I2S_MC2_SDI3_SDO3 input source select register" bitfld.long 0x114 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x118 "INPUT_SOURCE_SELECT_I2S_MC2_SDI4_SDO4,I2S_MC2_SDI4_SDO4 input source select register" bitfld.long 0x118 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x11C "INPUT_SOURCE_SELECT_I2S_MC2_SDI5_SDO5,I2S_MC2_SDI5_SDO5 input source select register" bitfld.long 0x11C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x120 "INPUT_SOURCE_SELECT_I2S_MC2_SDI6_SDO6,I2S_MC2_SDI6_SDO6 input source select register" bitfld.long 0x120 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x124 "INPUT_SOURCE_SELECT_I2S_MC2_SDI7_SDO7,I2S_MC2_SDI7_SDO7 input source select register" bitfld.long 0x124 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x128 "INPUT_SOURCE_SELECT_OSPI2_DQS,OSPI2_DQS input source select register" bitfld.long 0x128 0. "SRC_SEL,source select" "0,1" line.long 0x12C "INPUT_SOURCE_SELECT_I2S_MC2_WSI,I2S_MC2_WSI input source select register" bitfld.long 0x12C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x130 "INPUT_SOURCE_SELECT_I2S_MC2_SCKI,I2S_MC2_SCKI input source select register" bitfld.long 0x130 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x134 "INPUT_SOURCE_SELECT_I2S_SC3_SCK,I2S_SC3_SCK input source select register" bitfld.long 0x134 0. "SRC_SEL,source select" "0,1" line.long 0x138 "INPUT_SOURCE_SELECT_I2S_SC3_WS,I2S_SC3_WS input source select register" bitfld.long 0x138 0. "SRC_SEL,source select" "0,1" line.long 0x13C "INPUT_SOURCE_SELECT_I2S_SC3_SDI_SDO,I2S_SC3_SDI_SDO input source select register" bitfld.long 0x13C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x140 "INPUT_SOURCE_SELECT_I2S_SC4_SCK,I2S_SC4_SCK input source select register" bitfld.long 0x140 0. "SRC_SEL,source select" "0,1" line.long 0x144 "INPUT_SOURCE_SELECT_I2S_SC4_WS,I2S_SC4_WS input source select register" bitfld.long 0x144 0. "SRC_SEL,source select" "0,1" line.long 0x148 "INPUT_SOURCE_SELECT_I2S_SC4_SDI_SDO,I2S_SC4_SDI_SDO input source select register" bitfld.long 0x148 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x14C "INPUT_SOURCE_SELECT_I2S_SC6_SDI_SDO,I2S_SC6_SDI_SDO input source select register" bitfld.long 0x14C 0. "SRC_SEL,source select" "0,1" line.long 0x150 "INPUT_SOURCE_SELECT_I2S_SC5_SDI_SDO,I2S_SC5_SDI_SDO input source select register" bitfld.long 0x150 0. "SRC_SEL,source select" "0,1" line.long 0x154 "INPUT_SOURCE_SELECT_I2S_SC7_SCK,I2S_SC7_SCK input source select register" bitfld.long 0x154 0. "SRC_SEL,source select" "0,1" line.long 0x158 "INPUT_SOURCE_SELECT_I2S_MC1_SCKO,I2S_MC1_SCKO input source select register" bitfld.long 0x158 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x15C "INPUT_SOURCE_SELECT_I2S_SC7_WS,I2S_SC7_WS input source select register" bitfld.long 0x15C 0. "SRC_SEL,source select" "0,1" line.long 0x160 "INPUT_SOURCE_SELECT_I2S_MC1_WSO,I2S_MC1_WSO input source select register" bitfld.long 0x160 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x164 "INPUT_SOURCE_SELECT_I2S_SC8_SDI_SDO,I2S_SC8_SDI_SDO input source select register" bitfld.long 0x164 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x168 "INPUT_SOURCE_SELECT_I2S_MC1_SDI4_SDO4,I2S_MC1_SDI4_SDO4 input source select register" bitfld.long 0x168 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x16C "INPUT_SOURCE_SELECT_I2S_SC8_SCK,I2S_SC8_SCK input source select register" bitfld.long 0x16C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x170 "INPUT_SOURCE_SELECT_I2S_MC1_SDI5_SDO5,I2S_MC1_SDI5_SDO5 input source select register" bitfld.long 0x170 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x174 "INPUT_SOURCE_SELECT_I2S_SC8_WS,I2S_SC8_WS input source select register" bitfld.long 0x174 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x178 "INPUT_SOURCE_SELECT_I2S_MC1_SDI6_SDO6,I2S_MC1_SDI6_SDO6 input source select register" bitfld.long 0x178 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x17C "INPUT_SOURCE_SELECT_I2S_SC7_SDI_SDO,I2S_SC7_SDI_SDO input source select register" bitfld.long 0x17C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x180 "INPUT_SOURCE_SELECT_I2S_MC1_SDI7_SDO7,I2S_MC1_SDI7_SDO7 input source select register" bitfld.long 0x180 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x184 "INPUT_SOURCE_SELECT_I2S_MC1_SCKI,I2S_MC1_SCKI input source select register" bitfld.long 0x184 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x188 "INPUT_SOURCE_SELECT_I2S_MC1_WSI,I2S_MC1_WSI input source select register" bitfld.long 0x188 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x18C "INPUT_SOURCE_SELECT_I2S_MC1_SDI0_SDO0,I2S_MC1_SDI0_SDO0 input source select register" bitfld.long 0x18C 0. "SRC_SEL,source select" "0,1" line.long 0x190 "INPUT_SOURCE_SELECT_I2S_MC1_SDI1_SDO1,I2S_MC1_SDI1_SDO1 input source select register" bitfld.long 0x190 0. "SRC_SEL,source select" "0,1" line.long 0x194 "INPUT_SOURCE_SELECT_I2S_MC1_SDI2_SDO2,I2S_MC1_SDI2_SDO2 input source select register" bitfld.long 0x194 0. "SRC_SEL,source select" "0,1" line.long 0x198 "INPUT_SOURCE_SELECT_I2S_MC1_SDI3_SDO3,I2S_MC1_SDI3_SDO3 input source select register" bitfld.long 0x198 0. "SRC_SEL,source select" "0,1" tree.end tree "PINCTRL_RTC" base ad:0x31860000 group.long 0x0++0x2F line.long 0x0 "IO_PAD_CONFIG_SYS_MODE0,PAD SYS_MODE0 config register" bitfld.long 0x0 16. "POE,SYS_MODE0 PAD Parametric output enable" "0,1" bitfld.long 0x0 12. "IS,SYS_MODE0 PAD Input select" "0,1" line.long 0x4 "IO_PAD_CONFIG_SYS_MODE1,PAD SYS_MODE1 config register" bitfld.long 0x4 16. "POE,SYS_MODE1 PAD Parametric output enable" "0,1" bitfld.long 0x4 12. "IS,SYS_MODE1 PAD Input select" "0,1" line.long 0x8 "IO_PAD_CONFIG_SYS_RESET_N,PAD SYS_RESET_N config register" bitfld.long 0x8 16. "POE,SYS_RESET_N PAD Parametric output enable" "0,1" bitfld.long 0x8 12. "IS,SYS_RESET_N PAD Input select" "0,1" line.long 0xC "IO_PAD_CONFIG_AP_RESET_N,PAD AP_RESET_N config register" bitfld.long 0xC 16. "POE,AP_RESET_N PAD Parametric output enable" "0,1" bitfld.long 0xC 12. "IS,AP_RESET_N PAD Input select" "0,1" line.long 0x10 "IO_PAD_CONFIG_RTC_RESET_N,PAD RTC_RESET_N config register" bitfld.long 0x10 16. "POE,RTC_RESET_N PAD Parametric output enable" "0,1" bitfld.long 0x10 12. "IS,RTC_RESET_N PAD Input select" "0,1" line.long 0x14 "IO_PAD_CONFIG_SYS_PWR_ON,PAD SYS_PWR_ON config register" bitfld.long 0x14 16. "POE,SYS_PWR_ON PAD Parametric output enable" "0,1" bitfld.long 0x14 12. "IS,SYS_PWR_ON PAD Input select" "0,1" bitfld.long 0x14 8. "SR,SYS_PWR_ON PAD Slew rate" "0,1" bitfld.long 0x14 4.--5. "DS,SYS_PWR_ON PAD Driver select" "0,1,2,3" newline bitfld.long 0x14 1. "PS,SYS_PWR_ON PAD Pull select" "0,1" bitfld.long 0x14 0. "PE,SYS_PWR_ON PAD Pull enable" "0,1" line.long 0x18 "IO_PAD_CONFIG_SYS_WAKEUP0,PAD SYS_WAKEUP0 config register" bitfld.long 0x18 16. "POE,SYS_WAKEUP0 PAD Parametric output enable" "0,1" bitfld.long 0x18 12. "IS,SYS_WAKEUP0 PAD Input select" "0,1" bitfld.long 0x18 8. "SR,SYS_WAKEUP0 PAD Slew rate" "0,1" bitfld.long 0x18 4.--5. "DS,SYS_WAKEUP0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x18 1. "PS,SYS_WAKEUP0 PAD Pull select" "0,1" bitfld.long 0x18 0. "PE,SYS_WAKEUP0 PAD Pull enable" "0,1" line.long 0x1C "IO_PAD_CONFIG_SYS_WAKEUP1,PAD SYS_WAKEUP1 config register" bitfld.long 0x1C 16. "POE,SYS_WAKEUP1 PAD Parametric output enable" "0,1" bitfld.long 0x1C 12. "IS,SYS_WAKEUP1 PAD Input select" "0,1" bitfld.long 0x1C 8. "SR,SYS_WAKEUP1 PAD Slew rate" "0,1" bitfld.long 0x1C 4.--5. "DS,SYS_WAKEUP1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x1C 1. "PS,SYS_WAKEUP1 PAD Pull select" "0,1" bitfld.long 0x1C 0. "PE,SYS_WAKEUP1 PAD Pull enable" "0,1" line.long 0x20 "IO_PAD_CONFIG_SYS_CTRL0,PAD SYS_CTRL0 config register" bitfld.long 0x20 16. "POE,SYS_CTRL0 PAD Parametric output enable" "0,1" bitfld.long 0x20 12. "IS,SYS_CTRL0 PAD Input select" "0,1" bitfld.long 0x20 8. "SR,SYS_CTRL0 PAD Slew rate" "0,1" bitfld.long 0x20 4.--5. "DS,SYS_CTRL0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x20 1. "PS,SYS_CTRL0 PAD Pull select" "0,1" bitfld.long 0x20 0. "PE,SYS_CTRL0 PAD Pull enable" "0,1" line.long 0x24 "IO_PAD_CONFIG_SYS_CTRL1,PAD SYS_CTRL1 config register" bitfld.long 0x24 16. "POE,SYS_CTRL1 PAD Parametric output enable" "0,1" bitfld.long 0x24 12. "IS,SYS_CTRL1 PAD Input select" "0,1" bitfld.long 0x24 8. "SR,SYS_CTRL1 PAD Slew rate" "0,1" bitfld.long 0x24 4.--5. "DS,SYS_CTRL1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x24 1. "PS,SYS_CTRL1 PAD Pull select" "0,1" bitfld.long 0x24 0. "PE,SYS_CTRL1 PAD Pull enable" "0,1" line.long 0x28 "IO_PAD_CONFIG_SYS_CTRL2,PAD SYS_CTRL2 config register" bitfld.long 0x28 16. "POE,SYS_CTRL2 PAD Parametric output enable" "0,1" bitfld.long 0x28 12. "IS,SYS_CTRL2 PAD Input select" "0,1" bitfld.long 0x28 8. "SR,SYS_CTRL2 PAD Slew rate" "0,1" bitfld.long 0x28 4.--5. "DS,SYS_CTRL2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x28 1. "PS,SYS_CTRL2 PAD Pull select" "0,1" bitfld.long 0x28 0. "PE,SYS_CTRL2 PAD Pull enable" "0,1" line.long 0x2C "IO_PAD_CONFIG_SYS_CTRL3,PAD SYS_CTRL3 config register" bitfld.long 0x2C 16. "POE,SYS_CTRL3 PAD Parametric output enable" "0,1" bitfld.long 0x2C 12. "IS,SYS_CTRL3 PAD Input select" "0,1" bitfld.long 0x2C 8. "SR,SYS_CTRL3 PAD Slew rate" "0,1" bitfld.long 0x2C 4.--5. "DS,SYS_CTRL3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x2C 1. "PS,SYS_CTRL3 PAD Pull select" "0,1" bitfld.long 0x2C 0. "PE,SYS_CTRL3 PAD Pull enable" "0,1" group.long 0x200++0x2B line.long 0x0 "PIN_MUX_CONFIG_SYS_MODE0,PAD SYS_MODE0 pin-mux config register" bitfld.long 0x0 12. "FV,SYS_MODE0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x0 8.--9. "FIN,SYS_MODE0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x0 4. "ODE,SYS_MODE0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x0 0.--2. "MUX,SYS_MODE0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4 "PIN_MUX_CONFIG_SYS_MODE1,PAD SYS_MODE1 pin-mux config register" bitfld.long 0x4 12. "FV,SYS_MODE1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4 8.--9. "FIN,SYS_MODE1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4 4. "ODE,SYS_MODE1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x4 0.--2. "MUX,SYS_MODE1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8 "PIN_MUX_CONFIG_SYS_RESET_N,PAD SYS_RESET_N pin-mux config register" bitfld.long 0x8 12. "FV,SYS_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8 8.--9. "FIN,SYS_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8 4. "ODE,SYS_RESET_N PAD Opn Drain Mode enable" "0,1" bitfld.long 0x8 0.--2. "MUX,SYS_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC "PIN_MUX_CONFIG_AP_RESET_N,PAD AP_RESET_N pin-mux config register" bitfld.long 0xC 12. "FV,AP_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC 8.--9. "FIN,AP_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC 4. "ODE,AP_RESET_N PAD Opn Drain Mode enable" "0,1" bitfld.long 0xC 0.--2. "MUX,AP_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10 "PIN_MUX_CONFIG_RTC_RESET_N,PAD RTC_RESET_N pin-mux config register" bitfld.long 0x10 12. "FV,RTC_RESET_N PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10 8.--9. "FIN,RTC_RESET_N PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10 4. "ODE,RTC_RESET_N PAD Opn Drain Mode enable" "0,1" bitfld.long 0x10 0.--2. "MUX,RTC_RESET_N PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14 "PIN_MUX_CONFIG_SYS_WAKEUP0,PAD SYS_WAKEUP0 pin-mux config register" bitfld.long 0x14 12. "FV,SYS_WAKEUP0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14 8.--9. "FIN,SYS_WAKEUP0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14 4. "ODE,SYS_WAKEUP0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x14 0.--2. "MUX,SYS_WAKEUP0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18 "PIN_MUX_CONFIG_SYS_WAKEUP1,PAD SYS_WAKEUP1 pin-mux config register" bitfld.long 0x18 12. "FV,SYS_WAKEUP1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18 8.--9. "FIN,SYS_WAKEUP1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18 4. "ODE,SYS_WAKEUP1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x18 0.--2. "MUX,SYS_WAKEUP1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1C "PIN_MUX_CONFIG_SYS_CTRL0,PAD SYS_CTRL0 pin-mux config register" bitfld.long 0x1C 12. "FV,SYS_CTRL0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1C 8.--9. "FIN,SYS_CTRL0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1C 4. "ODE,SYS_CTRL0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x1C 0.--2. "MUX,SYS_CTRL0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x20 "PIN_MUX_CONFIG_SYS_CTRL1,PAD SYS_CTRL1 pin-mux config register" bitfld.long 0x20 12. "FV,SYS_CTRL1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x20 8.--9. "FIN,SYS_CTRL1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x20 4. "ODE,SYS_CTRL1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x20 0.--2. "MUX,SYS_CTRL1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x24 "PIN_MUX_CONFIG_SYS_CTRL2,PAD SYS_CTRL2 pin-mux config register" bitfld.long 0x24 12. "FV,SYS_CTRL2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x24 8.--9. "FIN,SYS_CTRL2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x24 4. "ODE,SYS_CTRL2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x24 0.--2. "MUX,SYS_CTRL2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x28 "PIN_MUX_CONFIG_SYS_CTRL3,PAD SYS_CTRL3 pin-mux config register" bitfld.long 0x28 12. "FV,SYS_CTRL3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x28 8.--9. "FIN,SYS_CTRL3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x28 4. "ODE,SYS_CTRL3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x28 0.--2. "MUX,SYS_CTRL3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" tree.end tree "PINCTRL_SAFETY" base ad:0x3C500000 group.long 0x0++0xDB line.long 0x0 "IO_PAD_CONFIG_JTAG_TMS,PAD JTAG_TMS config register" bitfld.long 0x0 16. "POE,JTAG_TMS PAD Parametric output enable" "0,1" bitfld.long 0x0 12. "IS,JTAG_TMS PAD Input select" "0,1" bitfld.long 0x0 8. "SR,JTAG_TMS PAD Slew rate" "0,1" bitfld.long 0x0 4.--5. "DS,JTAG_TMS PAD Driver select" "0,1,2,3" newline bitfld.long 0x0 1. "PS,JTAG_TMS PAD Pull select" "0,1" bitfld.long 0x0 0. "PE,JTAG_TMS PAD Pull enable" "0,1" line.long 0x4 "IO_PAD_CONFIG_JTAG_TCK,PAD JTAG_TCK config register" bitfld.long 0x4 16. "POE,JTAG_TCK PAD Parametric output enable" "0,1" bitfld.long 0x4 12. "IS,JTAG_TCK PAD Input select" "0,1" bitfld.long 0x4 8. "SR,JTAG_TCK PAD Slew rate" "0,1" bitfld.long 0x4 4.--5. "DS,JTAG_TCK PAD Driver select" "0,1,2,3" newline bitfld.long 0x4 1. "PS,JTAG_TCK PAD Pull select" "0,1" bitfld.long 0x4 0. "PE,JTAG_TCK PAD Pull enable" "0,1" line.long 0x8 "IO_PAD_CONFIG_JTAG_TDI,PAD JTAG_TDI config register" bitfld.long 0x8 16. "POE,JTAG_TDI PAD Parametric output enable" "0,1" bitfld.long 0x8 12. "IS,JTAG_TDI PAD Input select" "0,1" bitfld.long 0x8 8. "SR,JTAG_TDI PAD Slew rate" "0,1" bitfld.long 0x8 4.--5. "DS,JTAG_TDI PAD Driver select" "0,1,2,3" newline bitfld.long 0x8 1. "PS,JTAG_TDI PAD Pull select" "0,1" bitfld.long 0x8 0. "PE,JTAG_TDI PAD Pull enable" "0,1" line.long 0xC "IO_PAD_CONFIG_JTAG_TDO,PAD JTAG_TDO config register" bitfld.long 0xC 16. "POE,JTAG_TDO PAD Parametric output enable" "0,1" bitfld.long 0xC 12. "IS,JTAG_TDO PAD Input select" "0,1" bitfld.long 0xC 8. "SR,JTAG_TDO PAD Slew rate" "0,1" bitfld.long 0xC 4.--5. "DS,JTAG_TDO PAD Driver select" "0,1,2,3" newline bitfld.long 0xC 1. "PS,JTAG_TDO PAD Pull select" "0,1" bitfld.long 0xC 0. "PE,JTAG_TDO PAD Pull enable" "0,1" line.long 0x10 "IO_PAD_CONFIG_JTAG_TRST_N,PAD JTAG_TRST_N config register" bitfld.long 0x10 16. "POE,JTAG_TRST_N PAD Parametric output enable" "0,1" bitfld.long 0x10 12. "IS,JTAG_TRST_N PAD Input select" "0,1" bitfld.long 0x10 8. "SR,JTAG_TRST_N PAD Slew rate" "0,1" bitfld.long 0x10 4.--5. "DS,JTAG_TRST_N PAD Driver select" "0,1,2,3" newline bitfld.long 0x10 1. "PS,JTAG_TRST_N PAD Pull select" "0,1" bitfld.long 0x10 0. "PE,JTAG_TRST_N PAD Pull enable" "0,1" line.long 0x14 "IO_PAD_CONFIG_OSPI1_SCLK,PAD OSPI1_SCLK config register" bitfld.long 0x14 16. "POE,OSPI1_SCLK PAD Parametric output enable" "0,1" bitfld.long 0x14 12. "IS,OSPI1_SCLK PAD Input select" "0,1" bitfld.long 0x14 8. "SR,OSPI1_SCLK PAD Slew rate" "0,1" bitfld.long 0x14 4.--5. "DS,OSPI1_SCLK PAD Driver select" "0,1,2,3" newline bitfld.long 0x14 1. "PS,OSPI1_SCLK PAD Pull select" "0,1" bitfld.long 0x14 0. "PE,OSPI1_SCLK PAD Pull enable" "0,1" line.long 0x18 "IO_PAD_CONFIG_OSPI1_SS0,PAD OSPI1_SS0 config register" bitfld.long 0x18 16. "POE,OSPI1_SS0 PAD Parametric output enable" "0,1" bitfld.long 0x18 12. "IS,OSPI1_SS0 PAD Input select" "0,1" bitfld.long 0x18 8. "SR,OSPI1_SS0 PAD Slew rate" "0,1" bitfld.long 0x18 4.--5. "DS,OSPI1_SS0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x18 1. "PS,OSPI1_SS0 PAD Pull select" "0,1" bitfld.long 0x18 0. "PE,OSPI1_SS0 PAD Pull enable" "0,1" line.long 0x1C "IO_PAD_CONFIG_OSPI1_DATA0,PAD OSPI1_DATA0 config register" bitfld.long 0x1C 16. "POE,OSPI1_DATA0 PAD Parametric output enable" "0,1" bitfld.long 0x1C 12. "IS,OSPI1_DATA0 PAD Input select" "0,1" bitfld.long 0x1C 8. "SR,OSPI1_DATA0 PAD Slew rate" "0,1" bitfld.long 0x1C 4.--5. "DS,OSPI1_DATA0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x1C 1. "PS,OSPI1_DATA0 PAD Pull select" "0,1" bitfld.long 0x1C 0. "PE,OSPI1_DATA0 PAD Pull enable" "0,1" line.long 0x20 "IO_PAD_CONFIG_OSPI1_DATA1,PAD OSPI1_DATA1 config register" bitfld.long 0x20 16. "POE,OSPI1_DATA1 PAD Parametric output enable" "0,1" bitfld.long 0x20 12. "IS,OSPI1_DATA1 PAD Input select" "0,1" bitfld.long 0x20 8. "SR,OSPI1_DATA1 PAD Slew rate" "0,1" bitfld.long 0x20 4.--5. "DS,OSPI1_DATA1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x20 1. "PS,OSPI1_DATA1 PAD Pull select" "0,1" bitfld.long 0x20 0. "PE,OSPI1_DATA1 PAD Pull enable" "0,1" line.long 0x24 "IO_PAD_CONFIG_OSPI1_DATA2,PAD OSPI1_DATA2 config register" bitfld.long 0x24 16. "POE,OSPI1_DATA2 PAD Parametric output enable" "0,1" bitfld.long 0x24 12. "IS,OSPI1_DATA2 PAD Input select" "0,1" bitfld.long 0x24 8. "SR,OSPI1_DATA2 PAD Slew rate" "0,1" bitfld.long 0x24 4.--5. "DS,OSPI1_DATA2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x24 1. "PS,OSPI1_DATA2 PAD Pull select" "0,1" bitfld.long 0x24 0. "PE,OSPI1_DATA2 PAD Pull enable" "0,1" line.long 0x28 "IO_PAD_CONFIG_OSPI1_DATA3,PAD OSPI1_DATA3 config register" bitfld.long 0x28 16. "POE,OSPI1_DATA3 PAD Parametric output enable" "0,1" bitfld.long 0x28 12. "IS,OSPI1_DATA3 PAD Input select" "0,1" bitfld.long 0x28 8. "SR,OSPI1_DATA3 PAD Slew rate" "0,1" bitfld.long 0x28 4.--5. "DS,OSPI1_DATA3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x28 1. "PS,OSPI1_DATA3 PAD Pull select" "0,1" bitfld.long 0x28 0. "PE,OSPI1_DATA3 PAD Pull enable" "0,1" line.long 0x2C "IO_PAD_CONFIG_OSPI1_DATA4,PAD OSPI1_DATA4 config register" bitfld.long 0x2C 16. "POE,OSPI1_DATA4 PAD Parametric output enable" "0,1" bitfld.long 0x2C 12. "IS,OSPI1_DATA4 PAD Input select" "0,1" bitfld.long 0x2C 8. "SR,OSPI1_DATA4 PAD Slew rate" "0,1" bitfld.long 0x2C 4.--5. "DS,OSPI1_DATA4 PAD Driver select" "0,1,2,3" newline bitfld.long 0x2C 1. "PS,OSPI1_DATA4 PAD Pull select" "0,1" bitfld.long 0x2C 0. "PE,OSPI1_DATA4 PAD Pull enable" "0,1" line.long 0x30 "IO_PAD_CONFIG_OSPI1_DATA5,PAD OSPI1_DATA5 config register" bitfld.long 0x30 16. "POE,OSPI1_DATA5 PAD Parametric output enable" "0,1" bitfld.long 0x30 12. "IS,OSPI1_DATA5 PAD Input select" "0,1" bitfld.long 0x30 8. "SR,OSPI1_DATA5 PAD Slew rate" "0,1" bitfld.long 0x30 4.--5. "DS,OSPI1_DATA5 PAD Driver select" "0,1,2,3" newline bitfld.long 0x30 1. "PS,OSPI1_DATA5 PAD Pull select" "0,1" bitfld.long 0x30 0. "PE,OSPI1_DATA5 PAD Pull enable" "0,1" line.long 0x34 "IO_PAD_CONFIG_OSPI1_DATA6,PAD OSPI1_DATA6 config register" bitfld.long 0x34 16. "POE,OSPI1_DATA6 PAD Parametric output enable" "0,1" bitfld.long 0x34 12. "IS,OSPI1_DATA6 PAD Input select" "0,1" bitfld.long 0x34 8. "SR,OSPI1_DATA6 PAD Slew rate" "0,1" bitfld.long 0x34 4.--5. "DS,OSPI1_DATA6 PAD Driver select" "0,1,2,3" newline bitfld.long 0x34 1. "PS,OSPI1_DATA6 PAD Pull select" "0,1" bitfld.long 0x34 0. "PE,OSPI1_DATA6 PAD Pull enable" "0,1" line.long 0x38 "IO_PAD_CONFIG_OSPI1_DATA7,PAD OSPI1_DATA7 config register" bitfld.long 0x38 16. "POE,OSPI1_DATA7 PAD Parametric output enable" "0,1" bitfld.long 0x38 12. "IS,OSPI1_DATA7 PAD Input select" "0,1" bitfld.long 0x38 8. "SR,OSPI1_DATA7 PAD Slew rate" "0,1" bitfld.long 0x38 4.--5. "DS,OSPI1_DATA7 PAD Driver select" "0,1,2,3" newline bitfld.long 0x38 1. "PS,OSPI1_DATA7 PAD Pull select" "0,1" bitfld.long 0x38 0. "PE,OSPI1_DATA7 PAD Pull enable" "0,1" line.long 0x3C "IO_PAD_CONFIG_OSPI1_DQS,PAD OSPI1_DQS config register" bitfld.long 0x3C 16. "POE,OSPI1_DQS PAD Parametric output enable" "0,1" bitfld.long 0x3C 12. "IS,OSPI1_DQS PAD Input select" "0,1" bitfld.long 0x3C 8. "SR,OSPI1_DQS PAD Slew rate" "0,1" bitfld.long 0x3C 4.--5. "DS,OSPI1_DQS PAD Driver select" "0,1,2,3" newline bitfld.long 0x3C 1. "PS,OSPI1_DQS PAD Pull select" "0,1" bitfld.long 0x3C 0. "PE,OSPI1_DQS PAD Pull enable" "0,1" line.long 0x40 "IO_PAD_CONFIG_OSPI1_SS1,PAD OSPI1_SS1 config register" bitfld.long 0x40 16. "POE,OSPI1_SS1 PAD Parametric output enable" "0,1" bitfld.long 0x40 12. "IS,OSPI1_SS1 PAD Input select" "0,1" bitfld.long 0x40 8. "SR,OSPI1_SS1 PAD Slew rate" "0,1" bitfld.long 0x40 4.--5. "DS,OSPI1_SS1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x40 1. "PS,OSPI1_SS1 PAD Pull select" "0,1" bitfld.long 0x40 0. "PE,OSPI1_SS1 PAD Pull enable" "0,1" line.long 0x44 "IO_PAD_CONFIG_RGMII1_TXC,PAD RGMII1_TXC config register" bitfld.long 0x44 16. "POE,RGMII1_TXC PAD Parametric output enable" "0,1" bitfld.long 0x44 12. "IS,RGMII1_TXC PAD Input select" "0,1" bitfld.long 0x44 8. "SR,RGMII1_TXC PAD Slew rate" "0,1" bitfld.long 0x44 4.--5. "DS,RGMII1_TXC PAD Driver select" "0,1,2,3" newline bitfld.long 0x44 1. "PS,RGMII1_TXC PAD Pull select" "0,1" bitfld.long 0x44 0. "PE,RGMII1_TXC PAD Pull enable" "0,1" line.long 0x48 "IO_PAD_CONFIG_RGMII1_TXD0,PAD RGMII1_TXD0 config register" bitfld.long 0x48 16. "POE,RGMII1_TXD0 PAD Parametric output enable" "0,1" bitfld.long 0x48 12. "IS,RGMII1_TXD0 PAD Input select" "0,1" bitfld.long 0x48 8. "SR,RGMII1_TXD0 PAD Slew rate" "0,1" bitfld.long 0x48 4.--5. "DS,RGMII1_TXD0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x48 1. "PS,RGMII1_TXD0 PAD Pull select" "0,1" bitfld.long 0x48 0. "PE,RGMII1_TXD0 PAD Pull enable" "0,1" line.long 0x4C "IO_PAD_CONFIG_RGMII1_TXD1,PAD RGMII1_TXD1 config register" bitfld.long 0x4C 16. "POE,RGMII1_TXD1 PAD Parametric output enable" "0,1" bitfld.long 0x4C 12. "IS,RGMII1_TXD1 PAD Input select" "0,1" bitfld.long 0x4C 8. "SR,RGMII1_TXD1 PAD Slew rate" "0,1" bitfld.long 0x4C 4.--5. "DS,RGMII1_TXD1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x4C 1. "PS,RGMII1_TXD1 PAD Pull select" "0,1" bitfld.long 0x4C 0. "PE,RGMII1_TXD1 PAD Pull enable" "0,1" line.long 0x50 "IO_PAD_CONFIG_RGMII1_TXD2,PAD RGMII1_TXD2 config register" bitfld.long 0x50 16. "POE,RGMII1_TXD2 PAD Parametric output enable" "0,1" bitfld.long 0x50 12. "IS,RGMII1_TXD2 PAD Input select" "0,1" bitfld.long 0x50 8. "SR,RGMII1_TXD2 PAD Slew rate" "0,1" bitfld.long 0x50 4.--5. "DS,RGMII1_TXD2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x50 1. "PS,RGMII1_TXD2 PAD Pull select" "0,1" bitfld.long 0x50 0. "PE,RGMII1_TXD2 PAD Pull enable" "0,1" line.long 0x54 "IO_PAD_CONFIG_RGMII1_TXD3,PAD RGMII1_TXD3 config register" bitfld.long 0x54 16. "POE,RGMII1_TXD3 PAD Parametric output enable" "0,1" bitfld.long 0x54 12. "IS,RGMII1_TXD3 PAD Input select" "0,1" bitfld.long 0x54 8. "SR,RGMII1_TXD3 PAD Slew rate" "0,1" bitfld.long 0x54 4.--5. "DS,RGMII1_TXD3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x54 1. "PS,RGMII1_TXD3 PAD Pull select" "0,1" bitfld.long 0x54 0. "PE,RGMII1_TXD3 PAD Pull enable" "0,1" line.long 0x58 "IO_PAD_CONFIG_RGMII1_TX_CTL,PAD RGMII1_TX_CTL config register" bitfld.long 0x58 16. "POE,RGMII1_TX_CTL PAD Parametric output enable" "0,1" bitfld.long 0x58 12. "IS,RGMII1_TX_CTL PAD Input select" "0,1" bitfld.long 0x58 8. "SR,RGMII1_TX_CTL PAD Slew rate" "0,1" bitfld.long 0x58 4.--5. "DS,RGMII1_TX_CTL PAD Driver select" "0,1,2,3" newline bitfld.long 0x58 1. "PS,RGMII1_TX_CTL PAD Pull select" "0,1" bitfld.long 0x58 0. "PE,RGMII1_TX_CTL PAD Pull enable" "0,1" line.long 0x5C "IO_PAD_CONFIG_RGMII1_RXC,PAD RGMII1_RXC config register" bitfld.long 0x5C 16. "POE,RGMII1_RXC PAD Parametric output enable" "0,1" bitfld.long 0x5C 12. "IS,RGMII1_RXC PAD Input select" "0,1" bitfld.long 0x5C 8. "SR,RGMII1_RXC PAD Slew rate" "0,1" bitfld.long 0x5C 4.--5. "DS,RGMII1_RXC PAD Driver select" "0,1,2,3" newline bitfld.long 0x5C 1. "PS,RGMII1_RXC PAD Pull select" "0,1" bitfld.long 0x5C 0. "PE,RGMII1_RXC PAD Pull enable" "0,1" line.long 0x60 "IO_PAD_CONFIG_RGMII1_RXD0,PAD RGMII1_RXD0 config register" bitfld.long 0x60 16. "POE,RGMII1_RXD0 PAD Parametric output enable" "0,1" bitfld.long 0x60 12. "IS,RGMII1_RXD0 PAD Input select" "0,1" bitfld.long 0x60 8. "SR,RGMII1_RXD0 PAD Slew rate" "0,1" bitfld.long 0x60 4.--5. "DS,RGMII1_RXD0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x60 1. "PS,RGMII1_RXD0 PAD Pull select" "0,1" bitfld.long 0x60 0. "PE,RGMII1_RXD0 PAD Pull enable" "0,1" line.long 0x64 "IO_PAD_CONFIG_RGMII1_RXD1,PAD RGMII1_RXD1 config register" bitfld.long 0x64 16. "POE,RGMII1_RXD1 PAD Parametric output enable" "0,1" bitfld.long 0x64 12. "IS,RGMII1_RXD1 PAD Input select" "0,1" bitfld.long 0x64 8. "SR,RGMII1_RXD1 PAD Slew rate" "0,1" bitfld.long 0x64 4.--5. "DS,RGMII1_RXD1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x64 1. "PS,RGMII1_RXD1 PAD Pull select" "0,1" bitfld.long 0x64 0. "PE,RGMII1_RXD1 PAD Pull enable" "0,1" line.long 0x68 "IO_PAD_CONFIG_RGMII1_RXD2,PAD RGMII1_RXD2 config register" bitfld.long 0x68 16. "POE,RGMII1_RXD2 PAD Parametric output enable" "0,1" bitfld.long 0x68 12. "IS,RGMII1_RXD2 PAD Input select" "0,1" bitfld.long 0x68 8. "SR,RGMII1_RXD2 PAD Slew rate" "0,1" bitfld.long 0x68 4.--5. "DS,RGMII1_RXD2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x68 1. "PS,RGMII1_RXD2 PAD Pull select" "0,1" bitfld.long 0x68 0. "PE,RGMII1_RXD2 PAD Pull enable" "0,1" line.long 0x6C "IO_PAD_CONFIG_RGMII1_RXD3,PAD RGMII1_RXD3 config register" bitfld.long 0x6C 16. "POE,RGMII1_RXD3 PAD Parametric output enable" "0,1" bitfld.long 0x6C 12. "IS,RGMII1_RXD3 PAD Input select" "0,1" bitfld.long 0x6C 8. "SR,RGMII1_RXD3 PAD Slew rate" "0,1" bitfld.long 0x6C 4.--5. "DS,RGMII1_RXD3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x6C 1. "PS,RGMII1_RXD3 PAD Pull select" "0,1" bitfld.long 0x6C 0. "PE,RGMII1_RXD3 PAD Pull enable" "0,1" line.long 0x70 "IO_PAD_CONFIG_RGMII1_RX_CTL,PAD RGMII1_RX_CTL config register" bitfld.long 0x70 16. "POE,RGMII1_RX_CTL PAD Parametric output enable" "0,1" bitfld.long 0x70 12. "IS,RGMII1_RX_CTL PAD Input select" "0,1" bitfld.long 0x70 8. "SR,RGMII1_RX_CTL PAD Slew rate" "0,1" bitfld.long 0x70 4.--5. "DS,RGMII1_RX_CTL PAD Driver select" "0,1,2,3" newline bitfld.long 0x70 1. "PS,RGMII1_RX_CTL PAD Pull select" "0,1" bitfld.long 0x70 0. "PE,RGMII1_RX_CTL PAD Pull enable" "0,1" line.long 0x74 "IO_PAD_CONFIG_GPIO_A0,PAD GPIO_A0 config register" bitfld.long 0x74 16. "POE,GPIO_A0 PAD Parametric output enable" "0,1" bitfld.long 0x74 12. "IS,GPIO_A0 PAD Input select" "0,1" bitfld.long 0x74 8. "SR,GPIO_A0 PAD Slew rate" "0,1" bitfld.long 0x74 4.--5. "DS,GPIO_A0 PAD Driver select" "0,1,2,3" newline bitfld.long 0x74 1. "PS,GPIO_A0 PAD Pull select" "0,1" bitfld.long 0x74 0. "PE,GPIO_A0 PAD Pull enable" "0,1" line.long 0x78 "IO_PAD_CONFIG_GPIO_A1,PAD GPIO_A1 config register" bitfld.long 0x78 16. "POE,GPIO_A1 PAD Parametric output enable" "0,1" bitfld.long 0x78 12. "IS,GPIO_A1 PAD Input select" "0,1" bitfld.long 0x78 8. "SR,GPIO_A1 PAD Slew rate" "0,1" bitfld.long 0x78 4.--5. "DS,GPIO_A1 PAD Driver select" "0,1,2,3" newline bitfld.long 0x78 1. "PS,GPIO_A1 PAD Pull select" "0,1" bitfld.long 0x78 0. "PE,GPIO_A1 PAD Pull enable" "0,1" line.long 0x7C "IO_PAD_CONFIG_GPIO_A2,PAD GPIO_A2 config register" bitfld.long 0x7C 16. "POE,GPIO_A2 PAD Parametric output enable" "0,1" bitfld.long 0x7C 12. "IS,GPIO_A2 PAD Input select" "0,1" bitfld.long 0x7C 8. "SR,GPIO_A2 PAD Slew rate" "0,1" bitfld.long 0x7C 4.--5. "DS,GPIO_A2 PAD Driver select" "0,1,2,3" newline bitfld.long 0x7C 1. "PS,GPIO_A2 PAD Pull select" "0,1" bitfld.long 0x7C 0. "PE,GPIO_A2 PAD Pull enable" "0,1" line.long 0x80 "IO_PAD_CONFIG_GPIO_A3,PAD GPIO_A3 config register" bitfld.long 0x80 16. "POE,GPIO_A3 PAD Parametric output enable" "0,1" bitfld.long 0x80 12. "IS,GPIO_A3 PAD Input select" "0,1" bitfld.long 0x80 8. "SR,GPIO_A3 PAD Slew rate" "0,1" bitfld.long 0x80 4.--5. "DS,GPIO_A3 PAD Driver select" "0,1,2,3" newline bitfld.long 0x80 1. "PS,GPIO_A3 PAD Pull select" "0,1" bitfld.long 0x80 0. "PE,GPIO_A3 PAD Pull enable" "0,1" line.long 0x84 "IO_PAD_CONFIG_GPIO_A4,PAD GPIO_A4 config register" bitfld.long 0x84 16. "POE,GPIO_A4 PAD Parametric output enable" "0,1" bitfld.long 0x84 12. "IS,GPIO_A4 PAD Input select" "0,1" bitfld.long 0x84 8. "SR,GPIO_A4 PAD Slew rate" "0,1" bitfld.long 0x84 4.--5. "DS,GPIO_A4 PAD Driver select" "0,1,2,3" newline bitfld.long 0x84 1. "PS,GPIO_A4 PAD Pull select" "0,1" bitfld.long 0x84 0. "PE,GPIO_A4 PAD Pull enable" "0,1" line.long 0x88 "IO_PAD_CONFIG_GPIO_A5,PAD GPIO_A5 config register" bitfld.long 0x88 16. "POE,GPIO_A5 PAD Parametric output enable" "0,1" bitfld.long 0x88 12. "IS,GPIO_A5 PAD Input select" "0,1" bitfld.long 0x88 8. "SR,GPIO_A5 PAD Slew rate" "0,1" bitfld.long 0x88 4.--5. "DS,GPIO_A5 PAD Driver select" "0,1,2,3" newline bitfld.long 0x88 1. "PS,GPIO_A5 PAD Pull select" "0,1" bitfld.long 0x88 0. "PE,GPIO_A5 PAD Pull enable" "0,1" line.long 0x8C "IO_PAD_CONFIG_GPIO_A6,PAD GPIO_A6 config register" bitfld.long 0x8C 16. "POE,GPIO_A6 PAD Parametric output enable" "0,1" bitfld.long 0x8C 12. "IS,GPIO_A6 PAD Input select" "0,1" bitfld.long 0x8C 8. "SR,GPIO_A6 PAD Slew rate" "0,1" bitfld.long 0x8C 4.--5. "DS,GPIO_A6 PAD Driver select" "0,1,2,3" newline bitfld.long 0x8C 1. "PS,GPIO_A6 PAD Pull select" "0,1" bitfld.long 0x8C 0. "PE,GPIO_A6 PAD Pull enable" "0,1" line.long 0x90 "IO_PAD_CONFIG_GPIO_A7,PAD GPIO_A7 config register" bitfld.long 0x90 16. "POE,GPIO_A7 PAD Parametric output enable" "0,1" bitfld.long 0x90 12. "IS,GPIO_A7 PAD Input select" "0,1" bitfld.long 0x90 8. "SR,GPIO_A7 PAD Slew rate" "0,1" bitfld.long 0x90 4.--5. "DS,GPIO_A7 PAD Driver select" "0,1,2,3" newline bitfld.long 0x90 1. "PS,GPIO_A7 PAD Pull select" "0,1" bitfld.long 0x90 0. "PE,GPIO_A7 PAD Pull enable" "0,1" line.long 0x94 "IO_PAD_CONFIG_GPIO_A8,PAD GPIO_A8 config register" bitfld.long 0x94 16. "POE,GPIO_A8 PAD Parametric output enable" "0,1" bitfld.long 0x94 12. "IS,GPIO_A8 PAD Input select" "0,1" bitfld.long 0x94 8. "SR,GPIO_A8 PAD Slew rate" "0,1" bitfld.long 0x94 4.--5. "DS,GPIO_A8 PAD Driver select" "0,1,2,3" newline bitfld.long 0x94 1. "PS,GPIO_A8 PAD Pull select" "0,1" bitfld.long 0x94 0. "PE,GPIO_A8 PAD Pull enable" "0,1" line.long 0x98 "IO_PAD_CONFIG_GPIO_A9,PAD GPIO_A9 config register" bitfld.long 0x98 16. "POE,GPIO_A9 PAD Parametric output enable" "0,1" bitfld.long 0x98 12. "IS,GPIO_A9 PAD Input select" "0,1" bitfld.long 0x98 8. "SR,GPIO_A9 PAD Slew rate" "0,1" bitfld.long 0x98 4.--5. "DS,GPIO_A9 PAD Driver select" "0,1,2,3" newline bitfld.long 0x98 1. "PS,GPIO_A9 PAD Pull select" "0,1" bitfld.long 0x98 0. "PE,GPIO_A9 PAD Pull enable" "0,1" line.long 0x9C "IO_PAD_CONFIG_GPIO_A10,PAD GPIO_A10 config register" bitfld.long 0x9C 16. "POE,GPIO_A10 PAD Parametric output enable" "0,1" bitfld.long 0x9C 12. "IS,GPIO_A10 PAD Input select" "0,1" bitfld.long 0x9C 8. "SR,GPIO_A10 PAD Slew rate" "0,1" bitfld.long 0x9C 4.--5. "DS,GPIO_A10 PAD Driver select" "0,1,2,3" newline bitfld.long 0x9C 1. "PS,GPIO_A10 PAD Pull select" "0,1" bitfld.long 0x9C 0. "PE,GPIO_A10 PAD Pull enable" "0,1" line.long 0xA0 "IO_PAD_CONFIG_GPIO_A11,PAD GPIO_A11 config register" bitfld.long 0xA0 16. "POE,GPIO_A11 PAD Parametric output enable" "0,1" bitfld.long 0xA0 12. "IS,GPIO_A11 PAD Input select" "0,1" bitfld.long 0xA0 8. "SR,GPIO_A11 PAD Slew rate" "0,1" bitfld.long 0xA0 4.--5. "DS,GPIO_A11 PAD Driver select" "0,1,2,3" newline bitfld.long 0xA0 1. "PS,GPIO_A11 PAD Pull select" "0,1" bitfld.long 0xA0 0. "PE,GPIO_A11 PAD Pull enable" "0,1" line.long 0xA4 "IO_PAD_CONFIG_GPIO_B0,PAD GPIO_B0 config register" bitfld.long 0xA4 16. "POE,GPIO_B0 PAD Parametric output enable" "0,1" bitfld.long 0xA4 12. "IS,GPIO_B0 PAD Input select" "0,1" bitfld.long 0xA4 8. "SR,GPIO_B0 PAD Slew rate" "0,1" bitfld.long 0xA4 4.--5. "DS,GPIO_B0 PAD Driver select" "0,1,2,3" newline bitfld.long 0xA4 1. "PS,GPIO_B0 PAD Pull select" "0,1" bitfld.long 0xA4 0. "PE,GPIO_B0 PAD Pull enable" "0,1" line.long 0xA8 "IO_PAD_CONFIG_GPIO_B1,PAD GPIO_B1 config register" bitfld.long 0xA8 16. "POE,GPIO_B1 PAD Parametric output enable" "0,1" bitfld.long 0xA8 12. "IS,GPIO_B1 PAD Input select" "0,1" bitfld.long 0xA8 8. "SR,GPIO_B1 PAD Slew rate" "0,1" bitfld.long 0xA8 4.--5. "DS,GPIO_B1 PAD Driver select" "0,1,2,3" newline bitfld.long 0xA8 1. "PS,GPIO_B1 PAD Pull select" "0,1" bitfld.long 0xA8 0. "PE,GPIO_B1 PAD Pull enable" "0,1" line.long 0xAC "IO_PAD_CONFIG_GPIO_B2,PAD GPIO_B2 config register" bitfld.long 0xAC 16. "POE,GPIO_B2 PAD Parametric output enable" "0,1" bitfld.long 0xAC 12. "IS,GPIO_B2 PAD Input select" "0,1" bitfld.long 0xAC 8. "SR,GPIO_B2 PAD Slew rate" "0,1" bitfld.long 0xAC 4.--5. "DS,GPIO_B2 PAD Driver select" "0,1,2,3" newline bitfld.long 0xAC 1. "PS,GPIO_B2 PAD Pull select" "0,1" bitfld.long 0xAC 0. "PE,GPIO_B2 PAD Pull enable" "0,1" line.long 0xB0 "IO_PAD_CONFIG_GPIO_B3,PAD GPIO_B3 config register" bitfld.long 0xB0 16. "POE,GPIO_B3 PAD Parametric output enable" "0,1" bitfld.long 0xB0 12. "IS,GPIO_B3 PAD Input select" "0,1" bitfld.long 0xB0 8. "SR,GPIO_B3 PAD Slew rate" "0,1" bitfld.long 0xB0 4.--5. "DS,GPIO_B3 PAD Driver select" "0,1,2,3" newline bitfld.long 0xB0 1. "PS,GPIO_B3 PAD Pull select" "0,1" bitfld.long 0xB0 0. "PE,GPIO_B3 PAD Pull enable" "0,1" line.long 0xB4 "IO_PAD_CONFIG_GPIO_B4,PAD GPIO_B4 config register" bitfld.long 0xB4 16. "POE,GPIO_B4 PAD Parametric output enable" "0,1" bitfld.long 0xB4 12. "IS,GPIO_B4 PAD Input select" "0,1" bitfld.long 0xB4 8. "SR,GPIO_B4 PAD Slew rate" "0,1" bitfld.long 0xB4 4.--5. "DS,GPIO_B4 PAD Driver select" "0,1,2,3" newline bitfld.long 0xB4 1. "PS,GPIO_B4 PAD Pull select" "0,1" bitfld.long 0xB4 0. "PE,GPIO_B4 PAD Pull enable" "0,1" line.long 0xB8 "IO_PAD_CONFIG_GPIO_B5,PAD GPIO_B5 config register" bitfld.long 0xB8 16. "POE,GPIO_B5 PAD Parametric output enable" "0,1" bitfld.long 0xB8 12. "IS,GPIO_B5 PAD Input select" "0,1" bitfld.long 0xB8 8. "SR,GPIO_B5 PAD Slew rate" "0,1" bitfld.long 0xB8 4.--5. "DS,GPIO_B5 PAD Driver select" "0,1,2,3" newline bitfld.long 0xB8 1. "PS,GPIO_B5 PAD Pull select" "0,1" bitfld.long 0xB8 0. "PE,GPIO_B5 PAD Pull enable" "0,1" line.long 0xBC "IO_PAD_CONFIG_GPIO_B6,PAD GPIO_B6 config register" bitfld.long 0xBC 16. "POE,GPIO_B6 PAD Parametric output enable" "0,1" bitfld.long 0xBC 12. "IS,GPIO_B6 PAD Input select" "0,1" bitfld.long 0xBC 8. "SR,GPIO_B6 PAD Slew rate" "0,1" bitfld.long 0xBC 4.--5. "DS,GPIO_B6 PAD Driver select" "0,1,2,3" newline bitfld.long 0xBC 1. "PS,GPIO_B6 PAD Pull select" "0,1" bitfld.long 0xBC 0. "PE,GPIO_B6 PAD Pull enable" "0,1" line.long 0xC0 "IO_PAD_CONFIG_GPIO_B7,PAD GPIO_B7 config register" bitfld.long 0xC0 16. "POE,GPIO_B7 PAD Parametric output enable" "0,1" bitfld.long 0xC0 12. "IS,GPIO_B7 PAD Input select" "0,1" bitfld.long 0xC0 8. "SR,GPIO_B7 PAD Slew rate" "0,1" bitfld.long 0xC0 4.--5. "DS,GPIO_B7 PAD Driver select" "0,1,2,3" newline bitfld.long 0xC0 1. "PS,GPIO_B7 PAD Pull select" "0,1" bitfld.long 0xC0 0. "PE,GPIO_B7 PAD Pull enable" "0,1" line.long 0xC4 "IO_PAD_CONFIG_GPIO_B8,PAD GPIO_B8 config register" bitfld.long 0xC4 16. "POE,GPIO_B8 PAD Parametric output enable" "0,1" bitfld.long 0xC4 12. "IS,GPIO_B8 PAD Input select" "0,1" bitfld.long 0xC4 8. "SR,GPIO_B8 PAD Slew rate" "0,1" bitfld.long 0xC4 4.--5. "DS,GPIO_B8 PAD Driver select" "0,1,2,3" newline bitfld.long 0xC4 1. "PS,GPIO_B8 PAD Pull select" "0,1" bitfld.long 0xC4 0. "PE,GPIO_B8 PAD Pull enable" "0,1" line.long 0xC8 "IO_PAD_CONFIG_GPIO_B9,PAD GPIO_B9 config register" bitfld.long 0xC8 16. "POE,GPIO_B9 PAD Parametric output enable" "0,1" bitfld.long 0xC8 12. "IS,GPIO_B9 PAD Input select" "0,1" bitfld.long 0xC8 8. "SR,GPIO_B9 PAD Slew rate" "0,1" bitfld.long 0xC8 4.--5. "DS,GPIO_B9 PAD Driver select" "0,1,2,3" newline bitfld.long 0xC8 1. "PS,GPIO_B9 PAD Pull select" "0,1" bitfld.long 0xC8 0. "PE,GPIO_B9 PAD Pull enable" "0,1" line.long 0xCC "IO_PAD_CONFIG_GPIO_B10,PAD GPIO_B10 config register" bitfld.long 0xCC 16. "POE,GPIO_B10 PAD Parametric output enable" "0,1" bitfld.long 0xCC 12. "IS,GPIO_B10 PAD Input select" "0,1" bitfld.long 0xCC 8. "SR,GPIO_B10 PAD Slew rate" "0,1" bitfld.long 0xCC 4.--5. "DS,GPIO_B10 PAD Driver select" "0,1,2,3" newline bitfld.long 0xCC 1. "PS,GPIO_B10 PAD Pull select" "0,1" bitfld.long 0xCC 0. "PE,GPIO_B10 PAD Pull enable" "0,1" line.long 0xD0 "IO_PAD_CONFIG_GPIO_B11,PAD GPIO_B11 config register" bitfld.long 0xD0 16. "POE,GPIO_B11 PAD Parametric output enable" "0,1" bitfld.long 0xD0 12. "IS,GPIO_B11 PAD Input select" "0,1" bitfld.long 0xD0 8. "SR,GPIO_B11 PAD Slew rate" "0,1" bitfld.long 0xD0 4.--5. "DS,GPIO_B11 PAD Driver select" "0,1,2,3" newline bitfld.long 0xD0 1. "PS,GPIO_B11 PAD Pull select" "0,1" bitfld.long 0xD0 0. "PE,GPIO_B11 PAD Pull enable" "0,1" line.long 0xD4 "IO_PAD_CONFIG_SEM_FAULT,PAD SEM_FAULT config register" bitfld.long 0xD4 16. "POE,SEM_FAULT PAD Parametric output enable" "0,1" bitfld.long 0xD4 12. "IS,SEM_FAULT PAD Input select" "0,1" bitfld.long 0xD4 8. "SR,SEM_FAULT PAD Slew rate" "0,1" bitfld.long 0xD4 4.--5. "DS,SEM_FAULT PAD Driver select" "0,1,2,3" newline bitfld.long 0xD4 1. "PS,SEM_FAULT PAD Pull select" "0,1" bitfld.long 0xD4 0. "PE,SEM_FAULT PAD Pull enable" "0,1" line.long 0xD8 "IO_PAD_CONFIG_GPIO_SAF,PAD GPIO_SAF config register" bitfld.long 0xD8 16. "POE,GPIO_SAF PAD Parametric output enable" "0,1" bitfld.long 0xD8 12. "IS,GPIO_SAF PAD Input select" "0,1" bitfld.long 0xD8 8. "SR,GPIO_SAF PAD Slew rate" "0,1" bitfld.long 0xD8 4.--5. "DS,GPIO_SAF PAD Driver select" "0,1,2,3" newline bitfld.long 0xD8 1. "PS,GPIO_SAF PAD Pull select" "0,1" bitfld.long 0xD8 0. "PE,GPIO_SAF PAD Pull enable" "0,1" group.long 0x200++0xBF line.long 0x0 "PIN_MUX_CONFIG_OSPI1_SCLK,PAD OSPI1_SCLK pin-mux config register" bitfld.long 0x0 12. "FV,OSPI1_SCLK PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x0 8.--9. "FIN,OSPI1_SCLK PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x0 4. "ODE,OSPI1_SCLK PAD Opn Drain Mode enable" "0,1" bitfld.long 0x0 0.--2. "MUX,OSPI1_SCLK PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4 "PIN_MUX_CONFIG_OSPI1_SS0,PAD OSPI1_SS0 pin-mux config register" bitfld.long 0x4 12. "FV,OSPI1_SS0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4 8.--9. "FIN,OSPI1_SS0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4 4. "ODE,OSPI1_SS0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x4 0.--2. "MUX,OSPI1_SS0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8 "PIN_MUX_CONFIG_OSPI1_DATA0,PAD OSPI1_DATA0 pin-mux config register" bitfld.long 0x8 12. "FV,OSPI1_DATA0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8 8.--9. "FIN,OSPI1_DATA0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8 4. "ODE,OSPI1_DATA0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x8 0.--2. "MUX,OSPI1_DATA0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xC "PIN_MUX_CONFIG_OSPI1_DATA1,PAD OSPI1_DATA1 pin-mux config register" bitfld.long 0xC 12. "FV,OSPI1_DATA1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xC 8.--9. "FIN,OSPI1_DATA1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xC 4. "ODE,OSPI1_DATA1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xC 0.--2. "MUX,OSPI1_DATA1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x10 "PIN_MUX_CONFIG_OSPI1_DATA2,PAD OSPI1_DATA2 pin-mux config register" bitfld.long 0x10 12. "FV,OSPI1_DATA2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x10 8.--9. "FIN,OSPI1_DATA2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x10 4. "ODE,OSPI1_DATA2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x10 0.--2. "MUX,OSPI1_DATA2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x14 "PIN_MUX_CONFIG_OSPI1_DATA3,PAD OSPI1_DATA3 pin-mux config register" bitfld.long 0x14 12. "FV,OSPI1_DATA3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x14 8.--9. "FIN,OSPI1_DATA3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x14 4. "ODE,OSPI1_DATA3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x14 0.--2. "MUX,OSPI1_DATA3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x18 "PIN_MUX_CONFIG_OSPI1_DATA4,PAD OSPI1_DATA4 pin-mux config register" bitfld.long 0x18 12. "FV,OSPI1_DATA4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x18 8.--9. "FIN,OSPI1_DATA4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x18 4. "ODE,OSPI1_DATA4 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x18 0.--2. "MUX,OSPI1_DATA4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x1C "PIN_MUX_CONFIG_OSPI1_DATA5,PAD OSPI1_DATA5 pin-mux config register" bitfld.long 0x1C 12. "FV,OSPI1_DATA5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x1C 8.--9. "FIN,OSPI1_DATA5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x1C 4. "ODE,OSPI1_DATA5 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x1C 0.--2. "MUX,OSPI1_DATA5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x20 "PIN_MUX_CONFIG_OSPI1_DATA6,PAD OSPI1_DATA6 pin-mux config register" bitfld.long 0x20 12. "FV,OSPI1_DATA6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x20 8.--9. "FIN,OSPI1_DATA6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x20 4. "ODE,OSPI1_DATA6 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x20 0.--2. "MUX,OSPI1_DATA6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x24 "PIN_MUX_CONFIG_OSPI1_DATA7,PAD OSPI1_DATA7 pin-mux config register" bitfld.long 0x24 12. "FV,OSPI1_DATA7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x24 8.--9. "FIN,OSPI1_DATA7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x24 4. "ODE,OSPI1_DATA7 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x24 0.--2. "MUX,OSPI1_DATA7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x28 "PIN_MUX_CONFIG_OSPI1_DQS,PAD OSPI1_DQS pin-mux config register" bitfld.long 0x28 12. "FV,OSPI1_DQS PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x28 8.--9. "FIN,OSPI1_DQS PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x28 4. "ODE,OSPI1_DQS PAD Opn Drain Mode enable" "0,1" bitfld.long 0x28 0.--2. "MUX,OSPI1_DQS PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x2C "PIN_MUX_CONFIG_OSPI1_SS1,PAD OSPI1_SS1 pin-mux config register" bitfld.long 0x2C 12. "FV,OSPI1_SS1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x2C 8.--9. "FIN,OSPI1_SS1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x2C 4. "ODE,OSPI1_SS1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x2C 0.--2. "MUX,OSPI1_SS1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x30 "PIN_MUX_CONFIG_RGMII1_TXC,PAD RGMII1_TXC pin-mux config register" bitfld.long 0x30 12. "FV,RGMII1_TXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x30 8.--9. "FIN,RGMII1_TXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x30 4. "ODE,RGMII1_TXC PAD Opn Drain Mode enable" "0,1" bitfld.long 0x30 0.--2. "MUX,RGMII1_TXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x34 "PIN_MUX_CONFIG_RGMII1_TXD0,PAD RGMII1_TXD0 pin-mux config register" bitfld.long 0x34 12. "FV,RGMII1_TXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x34 8.--9. "FIN,RGMII1_TXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x34 4. "ODE,RGMII1_TXD0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x34 0.--2. "MUX,RGMII1_TXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x38 "PIN_MUX_CONFIG_RGMII1_TXD1,PAD RGMII1_TXD1 pin-mux config register" bitfld.long 0x38 12. "FV,RGMII1_TXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x38 8.--9. "FIN,RGMII1_TXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x38 4. "ODE,RGMII1_TXD1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x38 0.--2. "MUX,RGMII1_TXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x3C "PIN_MUX_CONFIG_RGMII1_TXD2,PAD RGMII1_TXD2 pin-mux config register" bitfld.long 0x3C 12. "FV,RGMII1_TXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x3C 8.--9. "FIN,RGMII1_TXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x3C 4. "ODE,RGMII1_TXD2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x3C 0.--2. "MUX,RGMII1_TXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x40 "PIN_MUX_CONFIG_RGMII1_TXD3,PAD RGMII1_TXD3 pin-mux config register" bitfld.long 0x40 12. "FV,RGMII1_TXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x40 8.--9. "FIN,RGMII1_TXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x40 4. "ODE,RGMII1_TXD3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x40 0.--2. "MUX,RGMII1_TXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x44 "PIN_MUX_CONFIG_RGMII1_TX_CTL,PAD RGMII1_TX_CTL pin-mux config register" bitfld.long 0x44 12. "FV,RGMII1_TX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x44 8.--9. "FIN,RGMII1_TX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x44 4. "ODE,RGMII1_TX_CTL PAD Opn Drain Mode enable" "0,1" bitfld.long 0x44 0.--2. "MUX,RGMII1_TX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x48 "PIN_MUX_CONFIG_RGMII1_RXC,PAD RGMII1_RXC pin-mux config register" bitfld.long 0x48 12. "FV,RGMII1_RXC PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x48 8.--9. "FIN,RGMII1_RXC PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x48 4. "ODE,RGMII1_RXC PAD Opn Drain Mode enable" "0,1" bitfld.long 0x48 0.--2. "MUX,RGMII1_RXC PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x4C "PIN_MUX_CONFIG_RGMII1_RXD0,PAD RGMII1_RXD0 pin-mux config register" bitfld.long 0x4C 12. "FV,RGMII1_RXD0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x4C 8.--9. "FIN,RGMII1_RXD0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x4C 4. "ODE,RGMII1_RXD0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x4C 0.--2. "MUX,RGMII1_RXD0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x50 "PIN_MUX_CONFIG_RGMII1_RXD1,PAD RGMII1_RXD1 pin-mux config register" bitfld.long 0x50 12. "FV,RGMII1_RXD1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x50 8.--9. "FIN,RGMII1_RXD1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x50 4. "ODE,RGMII1_RXD1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x50 0.--2. "MUX,RGMII1_RXD1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x54 "PIN_MUX_CONFIG_RGMII1_RXD2,PAD RGMII1_RXD2 pin-mux config register" bitfld.long 0x54 12. "FV,RGMII1_RXD2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x54 8.--9. "FIN,RGMII1_RXD2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x54 4. "ODE,RGMII1_RXD2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x54 0.--2. "MUX,RGMII1_RXD2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x58 "PIN_MUX_CONFIG_RGMII1_RXD3,PAD RGMII1_RXD3 pin-mux config register" bitfld.long 0x58 12. "FV,RGMII1_RXD3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x58 8.--9. "FIN,RGMII1_RXD3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x58 4. "ODE,RGMII1_RXD3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x58 0.--2. "MUX,RGMII1_RXD3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x5C "PIN_MUX_CONFIG_RGMII1_RX_CTL,PAD RGMII1_RX_CTL pin-mux config register" bitfld.long 0x5C 12. "FV,RGMII1_RX_CTL PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x5C 8.--9. "FIN,RGMII1_RX_CTL PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x5C 4. "ODE,RGMII1_RX_CTL PAD Opn Drain Mode enable" "0,1" bitfld.long 0x5C 0.--2. "MUX,RGMII1_RX_CTL PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x60 "PIN_MUX_CONFIG_GPIO_A0,PAD GPIO_A0 pin-mux config register" bitfld.long 0x60 12. "FV,GPIO_A0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x60 8.--9. "FIN,GPIO_A0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x60 4. "ODE,GPIO_A0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x60 0.--2. "MUX,GPIO_A0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x64 "PIN_MUX_CONFIG_GPIO_A1,PAD GPIO_A1 pin-mux config register" bitfld.long 0x64 12. "FV,GPIO_A1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x64 8.--9. "FIN,GPIO_A1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x64 4. "ODE,GPIO_A1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x64 0.--2. "MUX,GPIO_A1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x68 "PIN_MUX_CONFIG_GPIO_A2,PAD GPIO_A2 pin-mux config register" bitfld.long 0x68 12. "FV,GPIO_A2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x68 8.--9. "FIN,GPIO_A2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x68 4. "ODE,GPIO_A2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x68 0.--2. "MUX,GPIO_A2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x6C "PIN_MUX_CONFIG_GPIO_A3,PAD GPIO_A3 pin-mux config register" bitfld.long 0x6C 12. "FV,GPIO_A3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x6C 8.--9. "FIN,GPIO_A3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x6C 4. "ODE,GPIO_A3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x6C 0.--2. "MUX,GPIO_A3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x70 "PIN_MUX_CONFIG_GPIO_A4,PAD GPIO_A4 pin-mux config register" bitfld.long 0x70 12. "FV,GPIO_A4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x70 8.--9. "FIN,GPIO_A4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x70 4. "ODE,GPIO_A4 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x70 0.--2. "MUX,GPIO_A4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x74 "PIN_MUX_CONFIG_GPIO_A5,PAD GPIO_A5 pin-mux config register" bitfld.long 0x74 12. "FV,GPIO_A5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x74 8.--9. "FIN,GPIO_A5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x74 4. "ODE,GPIO_A5 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x74 0.--2. "MUX,GPIO_A5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x78 "PIN_MUX_CONFIG_GPIO_A6,PAD GPIO_A6 pin-mux config register" bitfld.long 0x78 12. "FV,GPIO_A6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x78 8.--9. "FIN,GPIO_A6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x78 4. "ODE,GPIO_A6 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x78 0.--2. "MUX,GPIO_A6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x7C "PIN_MUX_CONFIG_GPIO_A7,PAD GPIO_A7 pin-mux config register" bitfld.long 0x7C 12. "FV,GPIO_A7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x7C 8.--9. "FIN,GPIO_A7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x7C 4. "ODE,GPIO_A7 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x7C 0.--2. "MUX,GPIO_A7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x80 "PIN_MUX_CONFIG_GPIO_A8,PAD GPIO_A8 pin-mux config register" bitfld.long 0x80 12. "FV,GPIO_A8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x80 8.--9. "FIN,GPIO_A8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x80 4. "ODE,GPIO_A8 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x80 0.--2. "MUX,GPIO_A8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x84 "PIN_MUX_CONFIG_GPIO_A9,PAD GPIO_A9 pin-mux config register" bitfld.long 0x84 12. "FV,GPIO_A9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x84 8.--9. "FIN,GPIO_A9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x84 4. "ODE,GPIO_A9 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x84 0.--2. "MUX,GPIO_A9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x88 "PIN_MUX_CONFIG_GPIO_A10,PAD GPIO_A10 pin-mux config register" bitfld.long 0x88 12. "FV,GPIO_A10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x88 8.--9. "FIN,GPIO_A10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x88 4. "ODE,GPIO_A10 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x88 0.--2. "MUX,GPIO_A10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x8C "PIN_MUX_CONFIG_GPIO_A11,PAD GPIO_A11 pin-mux config register" bitfld.long 0x8C 12. "FV,GPIO_A11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x8C 8.--9. "FIN,GPIO_A11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x8C 4. "ODE,GPIO_A11 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x8C 0.--2. "MUX,GPIO_A11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x90 "PIN_MUX_CONFIG_GPIO_B0,PAD GPIO_B0 pin-mux config register" bitfld.long 0x90 12. "FV,GPIO_B0 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x90 8.--9. "FIN,GPIO_B0 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x90 4. "ODE,GPIO_B0 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x90 0.--2. "MUX,GPIO_B0 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x94 "PIN_MUX_CONFIG_GPIO_B1,PAD GPIO_B1 pin-mux config register" bitfld.long 0x94 12. "FV,GPIO_B1 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x94 8.--9. "FIN,GPIO_B1 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x94 4. "ODE,GPIO_B1 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x94 0.--2. "MUX,GPIO_B1 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x98 "PIN_MUX_CONFIG_GPIO_B2,PAD GPIO_B2 pin-mux config register" bitfld.long 0x98 12. "FV,GPIO_B2 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x98 8.--9. "FIN,GPIO_B2 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x98 4. "ODE,GPIO_B2 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x98 0.--2. "MUX,GPIO_B2 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0x9C "PIN_MUX_CONFIG_GPIO_B3,PAD GPIO_B3 pin-mux config register" bitfld.long 0x9C 12. "FV,GPIO_B3 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0x9C 8.--9. "FIN,GPIO_B3 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0x9C 4. "ODE,GPIO_B3 PAD Opn Drain Mode enable" "0,1" bitfld.long 0x9C 0.--2. "MUX,GPIO_B3 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA0 "PIN_MUX_CONFIG_GPIO_B4,PAD GPIO_B4 pin-mux config register" bitfld.long 0xA0 12. "FV,GPIO_B4 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA0 8.--9. "FIN,GPIO_B4 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA0 4. "ODE,GPIO_B4 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xA0 0.--2. "MUX,GPIO_B4 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA4 "PIN_MUX_CONFIG_GPIO_B5,PAD GPIO_B5 pin-mux config register" bitfld.long 0xA4 12. "FV,GPIO_B5 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA4 8.--9. "FIN,GPIO_B5 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA4 4. "ODE,GPIO_B5 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xA4 0.--2. "MUX,GPIO_B5 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xA8 "PIN_MUX_CONFIG_GPIO_B6,PAD GPIO_B6 pin-mux config register" bitfld.long 0xA8 12. "FV,GPIO_B6 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xA8 8.--9. "FIN,GPIO_B6 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xA8 4. "ODE,GPIO_B6 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xA8 0.--2. "MUX,GPIO_B6 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xAC "PIN_MUX_CONFIG_GPIO_B7,PAD GPIO_B7 pin-mux config register" bitfld.long 0xAC 12. "FV,GPIO_B7 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xAC 8.--9. "FIN,GPIO_B7 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xAC 4. "ODE,GPIO_B7 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xAC 0.--2. "MUX,GPIO_B7 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB0 "PIN_MUX_CONFIG_GPIO_B8,PAD GPIO_B8 pin-mux config register" bitfld.long 0xB0 12. "FV,GPIO_B8 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB0 8.--9. "FIN,GPIO_B8 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB0 4. "ODE,GPIO_B8 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xB0 0.--2. "MUX,GPIO_B8 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB4 "PIN_MUX_CONFIG_GPIO_B9,PAD GPIO_B9 pin-mux config register" bitfld.long 0xB4 12. "FV,GPIO_B9 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB4 8.--9. "FIN,GPIO_B9 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB4 4. "ODE,GPIO_B9 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xB4 0.--2. "MUX,GPIO_B9 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xB8 "PIN_MUX_CONFIG_GPIO_B10,PAD GPIO_B10 pin-mux config register" bitfld.long 0xB8 12. "FV,GPIO_B10 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xB8 8.--9. "FIN,GPIO_B10 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xB8 4. "ODE,GPIO_B10 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xB8 0.--2. "MUX,GPIO_B10 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" line.long 0xBC "PIN_MUX_CONFIG_GPIO_B11,PAD GPIO_B11 pin-mux config register" bitfld.long 0xBC 12. "FV,GPIO_B11 PAD Force Input Value when fin=0x2" "0,1" bitfld.long 0xBC 8.--9. "FIN,GPIO_B11 PAD Force Input On/Off mode" "0,1,2,3" bitfld.long 0xBC 4. "ODE,GPIO_B11 PAD Opn Drain Mode enable" "0,1" bitfld.long 0xBC 0.--2. "MUX,GPIO_B11 PAD Pin-mux func select" "0,1,2,3,4,5,6,7" group.long 0x400++0xCF line.long 0x0 "INPUT_SOURCE_SELECT_CANFD1_RX,CANFD1_RX input source select register" bitfld.long 0x0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x4 "INPUT_SOURCE_SELECT_CANFD2_RX,CANFD2_RX input source select register" bitfld.long 0x4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x8 "INPUT_SOURCE_SELECT_SPI4_SCLK,SPI4_SCLK input source select register" bitfld.long 0x8 0. "SRC_SEL,source select" "0,1" line.long 0xC "INPUT_SOURCE_SELECT_SPI4_SS,SPI4_SS input source select register" bitfld.long 0xC 0. "SRC_SEL,source select" "0,1" line.long 0x10 "INPUT_SOURCE_SELECT_SPI4_MISO,SPI4_MISO input source select register" bitfld.long 0x10 0. "SRC_SEL,source select" "0,1" line.long 0x14 "INPUT_SOURCE_SELECT_SPI4_MOSI,SPI4_MOSI input source select register" bitfld.long 0x14 0. "SRC_SEL,source select" "0,1" line.long 0x18 "INPUT_SOURCE_SELECT_UART5_RX,UART5_RX input source select register" bitfld.long 0x18 0. "SRC_SEL,source select" "0,1" line.long 0x1C "INPUT_SOURCE_SELECT_ENET1_MDIO,ENET1_MDIO input source select register" bitfld.long 0x1C 0.--2. "SRC_SEL,source select" "0,1,2,3,4,5,6,7" line.long 0x20 "INPUT_SOURCE_SELECT_I2C2_SCL,I2C2_SCL input source select register" bitfld.long 0x20 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x24 "INPUT_SOURCE_SELECT_ENET1_AUS_IN_1,ENET1_AUS_IN_1 input source select register" bitfld.long 0x24 0. "SRC_SEL,source select" "0,1" line.long 0x28 "INPUT_SOURCE_SELECT_I2C2_SDA,I2C2_SDA input source select register" bitfld.long 0x28 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x2C "INPUT_SOURCE_SELECT_ENET1_AUS_IN_2,ENET1_AUS_IN_2 input source select register" bitfld.long 0x2C 0. "SRC_SEL,source select" "0,1" line.long 0x30 "INPUT_SOURCE_SELECT_SPI3_SCLK,SPI3_SCLK input source select register" bitfld.long 0x30 0. "SRC_SEL,source select" "0,1" line.long 0x34 "INPUT_SOURCE_SELECT_TIMER1_CH0,TIMER1_CH0 input source select register" bitfld.long 0x34 0. "SRC_SEL,source select" "0,1" line.long 0x38 "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_0,ENET1_CAP_COMP_0 input source select register" bitfld.long 0x38 0. "SRC_SEL,source select" "0,1" line.long 0x3C "INPUT_SOURCE_SELECT_SPI3_MISO,SPI3_MISO input source select register" bitfld.long 0x3C 0. "SRC_SEL,source select" "0,1" line.long 0x40 "INPUT_SOURCE_SELECT_UART7_RX,UART7_RX input source select register" bitfld.long 0x40 0. "SRC_SEL,source select" "0,1" line.long 0x44 "INPUT_SOURCE_SELECT_CANFD3_RX,CANFD3_RX input source select register" bitfld.long 0x44 0. "SRC_SEL,source select" "0,1" line.long 0x48 "INPUT_SOURCE_SELECT_TIMER1_CH1,TIMER1_CH1 input source select register" bitfld.long 0x48 0. "SRC_SEL,source select" "0,1" line.long 0x4C "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_1,ENET1_CAP_COMP_1 input source select register" bitfld.long 0x4C 0. "SRC_SEL,source select" "0,1" line.long 0x50 "INPUT_SOURCE_SELECT_SPI3_MOSI,SPI3_MOSI input source select register" bitfld.long 0x50 0. "SRC_SEL,source select" "0,1" line.long 0x54 "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_2,ENET1_CAP_COMP_2 input source select register" bitfld.long 0x54 0. "SRC_SEL,source select" "0,1" line.long 0x58 "INPUT_SOURCE_SELECT_SPI3_SS,SPI3_SS input source select register" bitfld.long 0x58 0. "SRC_SEL,source select" "0,1" line.long 0x5C "INPUT_SOURCE_SELECT_CANFD4_RX,CANFD4_RX input source select register" bitfld.long 0x5C 0. "SRC_SEL,source select" "0,1" line.long 0x60 "INPUT_SOURCE_SELECT_ENET1_CAP_COMP_3,ENET1_CAP_COMP_3 input source select register" bitfld.long 0x60 0. "SRC_SEL,source select" "0,1" line.long 0x64 "INPUT_SOURCE_SELECT_I2C1_SCL,I2C1_SCL input source select register" bitfld.long 0x64 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x68 "INPUT_SOURCE_SELECT_ENET1_AUS_IN_0,ENET1_AUS_IN_0 input source select register" bitfld.long 0x68 0. "SRC_SEL,source select" "0,1" line.long 0x6C "INPUT_SOURCE_SELECT_I2C1_SDA,I2C1_SDA input source select register" bitfld.long 0x6C 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x70 "INPUT_SOURCE_SELECT_SPI1_SCLK,SPI1_SCLK input source select register" bitfld.long 0x70 0. "SRC_SEL,source select" "0,1" line.long 0x74 "INPUT_SOURCE_SELECT_I2S_SC1_SCK,I2S_SC1_SCK input source select register" bitfld.long 0x74 0. "SRC_SEL,source select" "0,1" line.long 0x78 "INPUT_SOURCE_SELECT_TIMER1_CH2,TIMER1_CH2 input source select register" bitfld.long 0x78 0. "SRC_SEL,source select" "0,1" line.long 0x7C "INPUT_SOURCE_SELECT_SPI1_MISO,SPI1_MISO input source select register" bitfld.long 0x7C 0. "SRC_SEL,source select" "0,1" line.long 0x80 "INPUT_SOURCE_SELECT_TIMER1_CH3,TIMER1_CH3 input source select register" bitfld.long 0x80 0. "SRC_SEL,source select" "0,1" line.long 0x84 "INPUT_SOURCE_SELECT_SPI1_MOSI,SPI1_MOSI input source select register" bitfld.long 0x84 0. "SRC_SEL,source select" "0,1" line.long 0x88 "INPUT_SOURCE_SELECT_I2S_SC1_SDI_SDO,I2S_SC1_SDI_SDO input source select register" bitfld.long 0x88 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0x8C "INPUT_SOURCE_SELECT_SPI1_SS,SPI1_SS input source select register" bitfld.long 0x8C 0. "SRC_SEL,source select" "0,1" line.long 0x90 "INPUT_SOURCE_SELECT_I2S_SC1_WS,I2S_SC1_WS input source select register" bitfld.long 0x90 0. "SRC_SEL,source select" "0,1" line.long 0x94 "INPUT_SOURCE_SELECT_SPI2_SCLK,SPI2_SCLK input source select register" bitfld.long 0x94 0. "SRC_SEL,source select" "0,1" line.long 0x98 "INPUT_SOURCE_SELECT_I2S_SC2_SCK,I2S_SC2_SCK input source select register" bitfld.long 0x98 0. "SRC_SEL,source select" "0,1" line.long 0x9C "INPUT_SOURCE_SELECT_SPI2_MISO,SPI2_MISO input source select register" bitfld.long 0x9C 0. "SRC_SEL,source select" "0,1" line.long 0xA0 "INPUT_SOURCE_SELECT_SPI2_MOSI,SPI2_MOSI input source select register" bitfld.long 0xA0 0. "SRC_SEL,source select" "0,1" line.long 0xA4 "INPUT_SOURCE_SELECT_I2S_SC2_SDI_SDO,I2S_SC2_SDI_SDO input source select register" bitfld.long 0xA4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xA8 "INPUT_SOURCE_SELECT_SPI2_SS,SPI2_SS input source select register" bitfld.long 0xA8 0. "SRC_SEL,source select" "0,1" line.long 0xAC "INPUT_SOURCE_SELECT_I2S_SC2_WS,I2S_SC2_WS input source select register" bitfld.long 0xAC 0. "SRC_SEL,source select" "0,1" line.long 0xB0 "INPUT_SOURCE_SELECT_I2C3_SCL,I2C3_SCL input source select register" bitfld.long 0xB0 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB4 "INPUT_SOURCE_SELECT_I2C3_SDA,I2C3_SDA input source select register" bitfld.long 0xB4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xB8 "INPUT_SOURCE_SELECT_TIMER2_CH0,TIMER2_CH0 input source select register" bitfld.long 0xB8 0. "SRC_SEL,source select" "0,1" line.long 0xBC "INPUT_SOURCE_SELECT_I2C4_SCL,I2C4_SCL input source select register" bitfld.long 0xBC 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xC0 "INPUT_SOURCE_SELECT_TIMER2_CH1,TIMER2_CH1 input source select register" bitfld.long 0xC0 0. "SRC_SEL,source select" "0,1" line.long 0xC4 "INPUT_SOURCE_SELECT_I2C4_SDA,I2C4_SDA input source select register" bitfld.long 0xC4 0.--1. "SRC_SEL,source select" "0,1,2,3" line.long 0xC8 "INPUT_SOURCE_SELECT_TIMER2_CH2,TIMER2_CH2 input source select register" bitfld.long 0xC8 0. "SRC_SEL,source select" "0,1" line.long 0xCC "INPUT_SOURCE_SELECT_TIMER2_CH3,TIMER2_CH3 input source select register" bitfld.long 0xCC 0. "SRC_SEL,source select" "0,1" tree.end endif tree.end tree "PLL (Phase Locked Loop)" sif (CORENAME()=="CORTEXR5F") repeat 7. (increment 1. 1.) (list ad:0xF0250000 ad:0xF0260000 ad:0xF0800000 ad:0xF0810000 ad:0xF0820000 ad:0xF0830000 ad:0xF0840000) tree "PLL$1" base $2 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end repeat.end repeat 4. (increment 1. 1.) (list ad:0xF0C00000 ad:0xF0C10000 ad:0xF0C20000 ad:0xF0C30000) tree "PLL_LVDS$1" base $2 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end repeat.end tree "PLL_DISP" base ad:0xF0D30000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_HIS" base ad:0xF12A0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_VPU" base ad:0xF1450000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_GPU1" base ad:0xF1480000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_GPU2" base ad:0xF1490000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_CPU_CORE" base ad:0xF14A0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_CPU" base ad:0xF14B0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_CPU_DSU" base ad:0xF14D0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_HPI" base ad:0xF1500000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_DDR" base ad:0xF3010000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_VSN" base ad:0xF5000000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end elif (CORENAME()=="CORTEXA55") repeat 7. (increment 1. 1.) (list ad:0x30250000 ad:0x30260000 ad:0x30800000 ad:0x30810000 ad:0x30820000 ad:0x30830000 ad:0x30840000) tree "PLL$1" base $2 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end repeat.end repeat 4. (increment 1. 1.) (list ad:0x30C00000 ad:0x30C10000 ad:0x30C20000 ad:0x30C30000) tree "PLL_LVDS$1" base $2 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end repeat.end tree "PLL_DISP" base ad:0x30D30000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_HIS" base ad:0x312A0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_VPU" base ad:0x31450000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_GPU1" base ad:0x31480000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_GPU2" base ad:0x31490000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_CPU_CORE" base ad:0x314A0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_CPU" base ad:0x314B0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_CPU_DSU" base ad:0x314D0000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_HPI" base ad:0x31500000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_DDR" base ad:0x33010000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end tree "PLL_VSN" base ad:0x35000000 group.long 0x0++0x1B line.long 0x0 "PLL_CTRL,pll global control register" rbitfld.long 0x0 31. "LOCK,Lock signal Indicates no cycle slips between the feedback clock and FPFD for 128 consecutive cycles." "0,1" newline bitfld.long 0x0 21. "PLL_DIVD_CG_EN,divider d cg enable" "0,1" bitfld.long 0x0 20. "PLL_DIVC_CG_EN,divider c cg enable" "0,1" newline bitfld.long 0x0 19. "PLL_DIVB_CG_EN,divider b cg enable" "0,1" bitfld.long 0x0 18. "PLL_DIVA_CG_EN,divider a cg enable" "0,1" newline bitfld.long 0x0 17. "BYPASS,PLL bypass. 1: pll bypass FREF is bypassed to FOUTDIV 0: bypass inactive" "bypass inactive,pll bypass" bitfld.long 0x0 16. "PLLPOSTCG_EN,PLL post divider clock gating enable. 1: postdiv clock gating enable 0: post divider clock gating disable." "post divider clock gating disable,postdiv clock gating enable" newline bitfld.long 0x0 4. "FOUTPOSTDIVEN,Post divide power down. 1: FOUTPOSTDIV 4-phase and synchronous clocks are enabled. 0: FOUTPOSTDIV 4-phase and synchronous clocks are powered down (outputs held at 1'b0)" "FOUTPOSTDIV,FOUTPOSTDIV" newline bitfld.long 0x0 3. "FOUT4PHASEEN,Enable of 4 phase clock generator4 phase output is also powered down if FOUTPOSTDIVEN=1'b0. 1: 4-phase and synchronous clocks are enabled. 0: 4-phase and synchronous clocks are powered down (outputs held at 1'b0)." "0,1" bitfld.long 0x0 2. "DACEN,Enable fractional noise canceling DAC in FRAC mode (this has no function in integer mode). 1: Fractional noise canceling DAC is active (default mode). 0: Fractional noise canceling DAC is not active (test mode only)." "Fractional noise canceling DAC is not active,Fractional noise canceling DAC is active" newline bitfld.long 0x0 1. "INT_MODE,int mode or fractional mode 1: integer mode 0: fractional mode" "fractional mode,integer mode" bitfld.long 0x0 0. "PLLEN,PLL enable. 1: pll enable 0: pll disable pll under low power mode." "pll disable,pll enable" line.long 0x4 "PLL_DIV,used to set the pll divide number" bitfld.long 0x4 9.--11. "POSTDIV2,PLL post divide 2 setting (1 to 7) POSTDIV2=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" newline bitfld.long 0x4 6.--8. "POSTDIV1,PLL post divide 1 setting (1 to 7) POSTDIV1=0 is unused. Total post divide is POSTDIV1*POSTDIV2 POSTDIV1>= POSTDIV2" "?,POSTDIV2,?,?,?,?,?,?" hexmask.long.byte 0x4 0.--5. 1. "REFDIV,Reference divide value (1 to 63)" line.long 0x8 "PLL_FBDIV,used to set the FBDIV value" hexmask.long.word 0x8 0.--11. 1. "FBDIV,PLL Feedback divide value (16 to 640 in integer mode 20 to 320 in fractional mode)" line.long 0xC "PLL_FRAC,used to set the FRAC value" hexmask.long.tbyte 0xC 0.--23. 1. "FRAC,Fractional portion of feedback divide value" line.long 0x10 "PLL_DESKEW,used to set pll DESKEW function. normally. the default setting is ok" hexmask.long.word 0x10 6.--17. 1. "DSKEWCALIN,DSKEWCALBYP==1'b0: Initial condition for deskew cali-bration logic. DSKEWCALBYP==1'b1: Override value for deskew cali-bration. It is a signed integer with positive values delaying thereset of the faster path and negative values delaying the.." newline bitfld.long 0x10 3.--5. "DSKEWCALCNT,Programmable counter for deskew calibration loopSelects the number of PFD edges to wait after each deskewcalibration step. Count is defined as 2DS KEWCALCNT+4(e.g. ifDSKEWCALCNT=3'd6 the loop will wait 1024 PFD periodsbef ore trying a new.." "0,1,2,3,4,5,6,7" bitfld.long 0x10 2. "DSKEWCALBYP,Deskew calibration bypass. 1'b0 - use the skew calibration output (whenDSKEW-CALEN=1) to set the phase correction. 1'b1 - use the DSKEWCALIN[11:0] value (whenDSKEW-CALEN=1) to set the phase correction" "use the skew calibration output,use the DSKEWCALIN[11:0] value" newline bitfld.long 0x10 1. "DSKEWFASTCAL,Deskew fast calibration enableSet this to 1 for initial calibration if an initial value is not al-ready knownShould be set to 0 for normal operation" "0,1" bitfld.long 0x10 0. "DSKEWCALEN,Deskew calibration enable to actively adjust for input skew. 1'b0 - skew calibration is disabled. Static phase oset is deter-mined by analog matching only. 1'b1 - skew calibration is enabled. Static phase oset is ad-justed by sensing phase at.." "skew calibration is disabled,skew calibration is enabled" line.long 0x14 "PLL_DESKEW_STA,pll deskew output register" hexmask.long.word 0x14 5.--16. 1. "DSKEWCALOUT,This is the output of either the skew calibration block (if DSKEWCALBYP=0) or a bueredversion of DSKEWCALIN[11:0] (if DSKEWCALBYP=1). It can be used to read out the phase calibration state to use as an override value so that skew calibration.." newline hexmask.long.byte 0x14 1.--4. 1. "DSKEWCALLOCKCNT,Reserved for future use" rbitfld.long 0x14 0. "DSKEWCALLOCK,Asserted when phase lock is achieved when DSKEWCALEN is set to 1'b1." "0,1" line.long 0x18 "PLL_SSMOD,pll spread spectrum setting" hexmask.long.byte 0x18 9.--14. 1. "DIVVAL,Input divider to set modulation frequency. Divide value is DIVVAL+1 For SELEXTWAVE=1'b0: Modulation Freq=Fclksscg/((DIVVAL+1)* 128) For SELEXTWAVE=1'b1: Modulation Freq=Fclksscg/((DIVVAL+1)*EXTMAXADDR)" newline hexmask.long.byte 0x18 4.--8. 1. "SPREAD,Spread Depth Control in 0.1% steps 5'b00001 ->0.1% 5'b00010 ->0.2% ... 5'b10000 ->1.6% ... 5'b11111 ->3.1%" bitfld.long 0x18 3. "DOWNSPREAD,Downspread control 0: Center-Spread 1: Downspread" "Center-Spread,Downspread" newline bitfld.long 0x18 2. "RESETPTR,Active High reset for wave table pointer Internally synchronized to CLKSSCG 0: If modulation is active(RESET=1'b0 DIS-ABLESSCG=1'b0) INTMOD and FRACMOD are updating based on internal wave table. 1: Modulation is stopped and wave table position.." "If modulation is active,Modulation is stopped and wave table position is.." bitfld.long 0x18 1. "DISABLE_SSCG,Active-High Modulator Bypass Control 0: Use Modulator Output. De-Assertion of DISABLE SSCG is synchronous with CLKSSCG 1: Bypass modulator (INTMOD=INTIN FRACMOD=FRACIN). Assertion of DISABLE SSCG is asynchronous" "Use Modulator Output,Bypass modulator" newline bitfld.long 0x18 0. "MOD_RESET,Active High Modulator Reset 1'b0 ->Modulator is operating 1'b1 ->Reset Modulator.INTMOD=12'd20 FRACMOD=24'd00" "0,1" repeat 2. (list 0x1 0x2 )(list 0x0 0x4 ) group.long ($2+0x20)++0x3 line.long 0x0 "PLL_OUT_DIV_$1,pll outside divider register" rbitfld.long 0x0 31. "DIV_BUSY_B,divider b busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 16.--19. 1. "DIV_NUM_B,divider b divider number" rbitfld.long 0x0 15. "DIV_BUSY_A,divider a busy indicator 1: busy 0: not busy" "not busy,busy" newline hexmask.long.byte 0x0 0.--3. 1. "DIV_NUM_A,divider a divider number" repeat.end tree.end endif tree.end tree "PMU (Power Management Unit)" sif (CORENAME()=="CORTEXR5F") base ad:0xF1850000 group.long 0x0++0x23 line.long 0x0 "EXT_CTRL,control register bits for external wakeup and external reset" rbitfld.long 0x0 31. "EXT_WAKEUP_B_1_STATUS,External wakeup 1 status This field indicates the logic level status on external wakeup pin 1 (SYS_WAKEUP1)." "0,1" newline rbitfld.long 0x0 30. "EXT_WAKEUP_B_0_STATUS,External wakeup 0 status This field indicates the logic level status on external wakeup pin 0 (SYS_WAKEUP0)." "0,1" newline rbitfld.long 0x0 29. "EXT_RESET_B_1_STATUS,External reset 1 status This field indicates the logic level status on external reset 1 (EXT_RESET_REQ1)." "0,1" newline rbitfld.long 0x0 28. "EXT_RESET_B_0_STATUS,External reset 0 status This field indicates the logic level status on external reset 0 (EXT_RESET_REQ0)." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "EXT_WAKEUP_B_1_DEBOUNCE_TIME,External wakeup 1 debounce time control Similar as external wakeup 0." newline bitfld.long 0x0 23. "EXT_WAKEUP_B_1_DEBOUNCE_EN,External wakeup 1 debounce enable Similar as external wakeup 0." "0,1" newline bitfld.long 0x0 22. "EXT_WAKEUP_B_1_POL,External wakeup 1 polarity Similar as external wakeup 0." "0,1" newline bitfld.long 0x0 21. "EXT_WAKEUP_B_1_EN,External wakeup 1 enable Similar as external wakeup 0." "0,1" newline hexmask.long.byte 0x0 17.--20. 1. "EXT_WAKEUP_B_0_DEBOUNCE_TIME,External wakeup 0 debounce time control Similar as external reset 0." newline bitfld.long 0x0 16. "EXT_WAKEUP_B_0_DEBOUNCE_EN,External wakeup 0 debounce enable Similar as external reset 0." "0,1" newline bitfld.long 0x0 15. "EXT_WAKEUP_B_0_POL,External wakeup 0 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x0 14. "EXT_WAKEUP_B_0_EN,External wakeup 0 enable 0x0 - External wakeup 0 disabled 0x1 - External wakeup 0 enabled." "External wakeup 0 disabled,External wakeup 0 enabled" newline hexmask.long.byte 0x0 10.--13. 1. "EXT_RESET_B_1_DEBOUNCE_TIME,External reset 1 debounce time control Similar as external reset 0." newline bitfld.long 0x0 9. "EXT_RESET_B_1_DEBOUNCE_EN,External reset 1 debounce enable Similar as external reset 0." "0,1" newline bitfld.long 0x0 8. "EXT_RESET_B_1_POL,External reset 1 polarity Similar as external reset 0." "0,1" newline bitfld.long 0x0 7. "EXT_RESET_B_1_EN,External reset 1 enable Similar as external reset 0." "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "EXT_RESET_B_0_DEBOUNCE_TIME,External reset 0 debounce time control The debounce time will be: 0x0 - (2-1) cycle of rtc clock (32KHz) 0x1 - (3-1) cycle of rtc clock (32KHz) 0x2 - (4-1) cycle of rtc clock (32KHz) 0x3 - (8-1) cycle of rtc clock (32KHz) 0x4.." newline bitfld.long 0x0 2. "EXT_RESET_B_0_DEBOUNCE_EN,External reset 0 debounce enable 0x0 - External reset will take affect immediately when there is any active edge change. 0x1 - External reset will take affect only if the active period lasts longer than the configured debounce.." "External reset will take affect immediately when..,External reset will take affect only if the.." newline bitfld.long 0x0 1. "EXT_RESET_B_0_POL,External reset 0 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x0 0. "EXT_RESET_B_0_EN,External reset 0 enable 0x0 - External reset 0 disabled 0x1 - External reset 0 enabled. Note: Software should wait at least 40ms (more than one cycle in 32KHz) between EXT_RESET_B_0_POL setting and EXT_RESET_B_0_EN setting." "External reset 0 disabled,External reset 0 enabled" line.long 0x4 "RTC_CTRL,RTC wakeup control" newline bitfld.long 0x4 1. "RTC_WAKEUP_B_1_EN,RTC wakeup 1 enable 0x0 - RTC wakeup 1 disabled 0x1 - RTC wakeup 1 enabled." "RTC wakeup 1 disabled,RTC wakeup 1 enabled" newline bitfld.long 0x4 0. "RTC_WAKEUP_B_0_EN,RTC wakeup 0 enable 0x0 - RTC wakeup 0 disabled 0x1 - RTC wakeup 0 enabled." "RTC wakeup 0 disabled,RTC wakeup 0 enabled" line.long 0x8 "GF_CTRL,Glitch filter configuration" newline bitfld.long 0x8 11.--13. "GF_CTL,Glitch filter control bit this field apply to all PMU glitch filter circuit. PMU will ignore all high and low pulse which is shorter then glitch filter time if related glitch filter enabled. The glitch filter time is as following (in cycle of RTC.." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 0.--10. 1. "GF_EN,Glitch filter enable bits bit 0 : Glitch filter enable bit for external reset 0 bit 1 : Glitch filter enable bit for external reset 1 bit 2 : Glitch filter enable bit for external wakeup request 0 bit 3 : Glitch filter enable bit for external.." line.long 0xC "RST_CTRL,Reset Configuration" newline hexmask.long.byte 0xC 24.--28. 1. "PMU_STATE,PMU_STATE This field indicates the real PMU state. 0x01 - PMU_INIT 0x02 - PMU_PWRUP 0x04 - PMU_ON 0x08 - PMU_PWRDWN 0x10 - PMU_OFF" newline bitfld.long 0xC 11.--13. "PORPDCTL,Analog POR cell PD control When PMU power down the on-chip POR cell the output rtc_power_stable from POR cell may get LOW but it doesn't mean RTC domain power supply is deasserted. PMU should ignore this POR cell output for a certain time to.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 10. "POR_AUTO_PD,POR auto PD 0x1 - PMU will automatically put the on-chip POR cell into low power mode (power down) when PMU enters PMU_OFF state. This can help save more power consumption. 0x0 - PMU will keep the on-chip POR cell in normal operation when PMU.." "PMU will keep the on-chip POR cell in normal..,PMU will automatically put the on-chip POR cell.." newline bitfld.long 0xC 9. "POR_FORCE_PD,POR force PD Software can force POR cell into low power mode (power down) by setting this field bit 0x01 and bring iit back to normal operation by clearing this field bit. It is recommended to change this field only in PMU_ON state." "0,1" newline bitfld.long 0xC 8. "AP_ISO_CTL,ap_iso_b control 0x0 - ap_iso_b will be not asserted when ap_power_stable turn low 0x1 - ap_iso_b will be asserted when ap_power_stable turn low This field is for internal use only." "ap_iso_b will be not asserted when..,ap_iso_b will be asserted when ap_power_stable.." newline bitfld.long 0xC 7. "AP_POR_CTL,ap_por_b control 0x0 - ap_por_b will be not asserted when ap_power_stable turn low 0x1 - ap_por_b will be asserted when ap_power_stable turn low This field is for internal use only." "ap_por_b will be not asserted when..,ap_por_b will be asserted when ap_power_stable.." newline bitfld.long 0xC 6. "SAFETY_ISO_CTL,safety_iso_b control 0x0 - safety_iso_b will be not asserted when safety_power_stable turn low 0x1 - safety_iso_b will be asserted when safety_power_stable turn low This field is for internal use only." "safety_iso_b will be not asserted when..,safety_iso_b will be asserted when.." newline bitfld.long 0xC 5. "SAFETY_POR_CTL,safety_por_b control 0x0 - safety_por_b will be not asserted when safety_power_stable turn low 0x1 - safety_por_b will be asserted when safety_power_stable turn low This field is for internal use only." "safety_por_b will be not asserted when..,safety_por_b will be asserted when.." newline bitfld.long 0xC 4. "IGNORE_AP_RESET,Ignore SoC AP_RESET_N pin input This field controls whether the ap_por_b and ap_iso_b signal is hold asserted (LOW) while SoC AP_RESET_N pin input is active (LOW). 0x0 - ap_por_b/ap_iso_b is kept asserted (LOW) while AP_RESET_N pin input.." "ap_por_b/ap_iso_b is kept asserted,AP_RESET_N input is ignored" newline bitfld.long 0xC 3. "HOLD_AP_ISO,Force AP domain isolation control (ap_iso_b) active (LOW). This is useful when AP domain power supplies are managed by safety Domain CPU cores. It can set this register bit to hold AP isolation active until all related AP domain power supply.." "0,1" newline bitfld.long 0xC 2. "WAIT_AP_POWER_STABLE,Wait on ap_power_stable output from on-chip POR cell This field controls whether AP domain is kept in reset when ap_power_stable from on-chip POR cell is inactive (LOW). 0x0 - The output ap_power_stable from on-chip POR cell is.." "The output ap_power_stable from on-chip POR cell..,AP domain is kept in reset state" newline bitfld.long 0xC 1. "WAIT_SAFETY_POWER_STABLE,Controls if the safety_por_b signal is de-asserted only after safety_power_stable signal is asserted 0x0 - ignore power stable signal from POR cell 0x1 - wait for power stable signal from POR cell Wait on safety_power_stable.." "The output safety_power_stable from on-chip POR..,Safety domain is kept in reset state" newline bitfld.long 0xC 0. "WAIT_RTC_POWER_STABLE,Wait on rtc_power_stable output from on-chip POR cell This field controls whether RTC domain (except PMU) is kept in reset (rtc_por_b is active/LOW) when rtc_power_stable from on-chip POR cell is inactive (LOW). 0x0 - The output.." "The output rtc_power_stable from on-chip POR..,RTC domain is kept in reset state" line.long 0x10 "PWR_CTRL_0,power up/down configuration" newline bitfld.long 0x10 8. "PWR_DOWN,Force PMU power down Software can force PMU to enter PMU_OFF state by setting this field bit from 0x0 to 0x1." "0,1" newline hexmask.long.byte 0x10 4.--7. 1. "PWR_DOWN_DELAY,PMU power down delay This field configures the power down delay for PMU. 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF.." newline hexmask.long.byte 0x10 0.--3. 1. "PWR_UP_DELAY,PMU power up delay This field configures the power up delay for PMU. 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" line.long 0x14 "PWR_CTRL_1,PWRCTRL0/PWRCTRL1 pin configuration" rbitfld.long 0x14 31. "INLVL1,PWRCTRL1 input status This field indicates the pin input status of PWRCTRL1. It has no meaning when this pin is configured in output mode (IOMODE1=0x0)." "0,1" newline rbitfld.long 0x14 30. "INLVL0,PWRCTRL0 input status This field indicates the pin input status of PWRCTRL0. It has no meaning when this pin is configured in output mode (IOMODE0=0x0)." "0,1" newline bitfld.long 0x14 21. "OUTLVL1,PWRCTRL1 output level control This field control the output level for PWRCTRL1 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE1=0x1) or output level mode is 0x0 (CTLMODE1=0x0)." "Low,High" newline bitfld.long 0x14 20. "CTLMODE1,PWRCTRL1 output level mode This field control the output level logic for PWRCTRL1 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL1 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x14 19. "IOMODE1,PWRCTRL1 IO mode This field controls the IO mode of PWRCTRL1 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x14 15.--18. 1. "PWRDOWNDELAY1,PWRCTRL1 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x14 11.--14. 1. "PWRUPDELAY1,PWRCTRL1 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline bitfld.long 0x14 10. "OUTLVL0,PWRCTRL0 output level control This field control the output level for PWRCTRL0 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE0=0x1) or output level mode is 0x0 (CTLMODE0=0x0)." "Low,High" newline bitfld.long 0x14 9. "CTLMODE0,PWRCTRL0 output level mode This field control the output level logic for PWRCTRL0 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL0 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x14 8. "IOMODE0,PWRCTRL0 IO mode This field controls the IO mode of PWRCTRL0 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x14 4.--7. 1. "PWRDOWNDELAY0,PWRCTRL0 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x14 0.--3. 1. "PWRUPDELAY0,PWRCTRL0 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" line.long 0x18 "PWR_CTRL_2,PWRCTRL2/PWRCTRL3 pin configuration" rbitfld.long 0x18 31. "INLVL3,PWRCTRL3 input status This field indicates the pin input status of PWRCTRL3. It has no meaning when this pin is configured in output mode (IOMODE3=0x0)." "0,1" newline rbitfld.long 0x18 30. "INLVL2,PWRCTRL2 input status This field indicates the pin input status of PWRCTRL2. It has no meaning when this pin is configured in output mode (IOMODE2=0x0)." "0,1" newline bitfld.long 0x18 21. "OUTLVL3,PWRCTRL3 output level control This field control the output level for PWRCTRL3 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE3=0x1) or output level mode is 0x0 (CTLMODE3=0x0)." "Low,High" newline bitfld.long 0x18 20. "CTLMODE3,PWRCTRL3 output level mode This field control the output level logic for PWRCTRL3 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL3 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x18 19. "IOMODE3,PWRCTRL3 IO mode This field controls the IO mode of PWRCTRL3 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x18 15.--18. 1. "PWRDOWNDELAY3,PWRCTRL3 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x18 11.--14. 1. "PWRUPDELAY3,PWRCTRL3 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline bitfld.long 0x18 10. "OUTLVL2,PWRCTRL2 output level control This field control the output level for PWRCTRL2 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE2=0x1) or output level mode is 0x0 (CTLMODE2=0x0)." "Low,High" newline bitfld.long 0x18 9. "CTLMODE2,PWRCTRL2 output level mode This field control the output level logic for PWRCTRL2 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL2 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x18 8. "IOMODE2,PWRCTRL2 IO mode This field controls the IO mode of PWRCTRL2 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x18 4.--7. 1. "PWRDOWNDELAY2,PWRCTRL2 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x18 0.--3. 1. "PWRUPDELAY2,PWRCTRL2 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" line.long 0x1C "PWR_CTRL_3,control register bits for internal power down request (from on-chip temperature sensor)" newline rbitfld.long 0x1C 9. "INT_PWRDWN_B_1_STATUS,Internal power down request 1 status This field indicates the real status of internal power down request 1." "0,1" newline rbitfld.long 0x1C 8. "INT_PWRDWN_B_0_STATUS,Internal power down request 0 status This field indicates the real status of internal power down request 0." "0,1" newline bitfld.long 0x1C 3. "INT_PWRDWN_B_1_POL,Internal power down request 1 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x1C 2. "INT_PWRDWN_B_1_EN,Internal power down request 1 enable 0x0 - Internal power down disabled 0x1 - Internal power down enabled" "Internal power down disabled,Internal power down enabled" newline bitfld.long 0x1C 1. "INT_PWRDWN_B_0_POL,Internal power down request 0 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x1C 0. "INT_PWRDWN_B_0_EN,Internal power down request 0 enable 0x0 - Internal power down disabled 0x1 - Internal power down enabled" "Internal power down disabled,Internal power down enabled" line.long 0x20 "STICKY_STS_0,Sticky status 0 This register records whether any external reset. external wakeup. rtc wakeup and internal power down requesst happened. This register gets reset only when RTC Domain power supply removed or SYS_RESET_N get asserted." hexmask.long.tbyte 0x20 8.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x20 7. "RTC_WAKEUP_1,RTC_WAKEUP_1 This field recorded whether RTC WAKEUP 1 happened. It get set (to 0x1) whenever RTC WAKEUP happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared by.." "0,1" newline bitfld.long 0x20 6. "RTC_WAKEUP_0,RTC_WAKEUP_0 This field recorded whether RTC WAKEUP 0 happened. It get set (to 0x1) whenever RTC WAKEUP 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared by.." "0,1" newline bitfld.long 0x20 5. "EXT_WAKEUP_1,EXT_WAKEUP_1 This field recorded whether External WAKEUP 1 happened. It get set (to 0x1) whenever external WAKEUP 1 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be.." "0,1" newline bitfld.long 0x20 4. "EXT_WAKEUP_0,EXT_WAKEUP_0 This field recorded whether External WAKEUP 0 happened. It get set (to 0x1) whenever external WAKEUP 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be.." "0,1" newline bitfld.long 0x20 3. "EXT_RESET_1,EXT_RESET_1 This field recorded whether External reset 1 happened. It get set (to 0x1) whenever external reset 1 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared.." "0,1" newline bitfld.long 0x20 2. "EXT_RESET_0,EXT_RESET_0 This field recorded whether External reset 0 happened. It get set (to 0x1) whenever external reset 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared.." "0,1" newline bitfld.long 0x20 1. "INT_PWRDWN_1,INT_PWRDWN_1 This field recorded whether internal power down request 1 happened. It get set (to 0x1) whenever internal power down request 1 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW.." "0,1" newline bitfld.long 0x20 0. "INT_PWRDWN_0,INT_PWRDWN_0 This field recorded whether internal power down request 0 happened. It get set (to 0x1) whenever internal power down request 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW.." "0,1" repeat 3. (list 0x0 0x1 0x2 )(list 0x0 0x4 0x8 ) group.long ($2+0x24)++0x3 line.long 0x0 "RSVD_$1,RSVD_0" hexmask.long 0x0 0.--31. 1. "RSVD,RSVD" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0x30)++0x3 line.long 0x0 "STS_$1,Debounce timer counter for EXT_RESET_0 This register is for internal use only." newline hexmask.long.word 0x0 17.--25. 1. "CNT3,CNT3: counter 3 count for 10ms with 32K clock. This counter is started only when debounce_time_ctrl[3] is one and overflow when count to 10ms." newline hexmask.long.word 0x0 8.--16. 1. "CNT2,CNT2: counter 2 count with 10ms. This counter is started only when debounce_time_ctrl[3] is one." newline hexmask.long.byte 0x0 0.--7. 1. "CNT1,CNT1: counter 1 count with 32K clock from 0 to 127. This counter is started only when debounce_time_ctrl[3] is zero." repeat.end repeat 2. (list 0x2 0x3 )(list 0x0 0x4 ) rgroup.long ($2+0x38)++0x3 line.long 0x0 "STS_$1,Debounce timer counter for EXT_WAKEUP_0 This register is for internal use only." newline hexmask.long.word 0x0 17.--25. 1. "CNT3,CNT3: counter 3 count for 10ms with 32K clock. This counter is started only when debounce_time_ctrl[3] is one and overflow when count to 10ms." newline hexmask.long.word 0x0 8.--16. 1. "CNT2,CNT2: counter 2 count with 1ms. This counter is started only when debounce_time_ctrl[3] is one." newline hexmask.long.byte 0x0 0.--7. 1. "CNT1,CNT1: counter 1 count with 32K clock from 0 to 127. This counter is started only when debounce_time_ctrl[3] is zero." repeat.end elif (CORENAME()=="CORTEXA55") base ad:0x31850000 group.long 0x0++0x23 line.long 0x0 "EXT_CTRL,control register bits for external wakeup and external reset" rbitfld.long 0x0 31. "EXT_WAKEUP_B_1_STATUS,External wakeup 1 status This field indicates the logic level status on external wakeup pin 1 (SYS_WAKEUP1)." "0,1" newline rbitfld.long 0x0 30. "EXT_WAKEUP_B_0_STATUS,External wakeup 0 status This field indicates the logic level status on external wakeup pin 0 (SYS_WAKEUP0)." "0,1" newline rbitfld.long 0x0 29. "EXT_RESET_B_1_STATUS,External reset 1 status This field indicates the logic level status on external reset 1 (EXT_RESET_REQ1)." "0,1" newline rbitfld.long 0x0 28. "EXT_RESET_B_0_STATUS,External reset 0 status This field indicates the logic level status on external reset 0 (EXT_RESET_REQ0)." "0,1" newline hexmask.long.byte 0x0 24.--27. 1. "EXT_WAKEUP_B_1_DEBOUNCE_TIME,External wakeup 1 debounce time control Similar as external wakeup 0." newline bitfld.long 0x0 23. "EXT_WAKEUP_B_1_DEBOUNCE_EN,External wakeup 1 debounce enable Similar as external wakeup 0." "0,1" newline bitfld.long 0x0 22. "EXT_WAKEUP_B_1_POL,External wakeup 1 polarity Similar as external wakeup 0." "0,1" newline bitfld.long 0x0 21. "EXT_WAKEUP_B_1_EN,External wakeup 1 enable Similar as external wakeup 0." "0,1" newline hexmask.long.byte 0x0 17.--20. 1. "EXT_WAKEUP_B_0_DEBOUNCE_TIME,External wakeup 0 debounce time control Similar as external reset 0." newline bitfld.long 0x0 16. "EXT_WAKEUP_B_0_DEBOUNCE_EN,External wakeup 0 debounce enable Similar as external reset 0." "0,1" newline bitfld.long 0x0 15. "EXT_WAKEUP_B_0_POL,External wakeup 0 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x0 14. "EXT_WAKEUP_B_0_EN,External wakeup 0 enable 0x0 - External wakeup 0 disabled 0x1 - External wakeup 0 enabled." "External wakeup 0 disabled,External wakeup 0 enabled" newline hexmask.long.byte 0x0 10.--13. 1. "EXT_RESET_B_1_DEBOUNCE_TIME,External reset 1 debounce time control Similar as external reset 0." newline bitfld.long 0x0 9. "EXT_RESET_B_1_DEBOUNCE_EN,External reset 1 debounce enable Similar as external reset 0." "0,1" newline bitfld.long 0x0 8. "EXT_RESET_B_1_POL,External reset 1 polarity Similar as external reset 0." "0,1" newline bitfld.long 0x0 7. "EXT_RESET_B_1_EN,External reset 1 enable Similar as external reset 0." "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "EXT_RESET_B_0_DEBOUNCE_TIME,External reset 0 debounce time control The debounce time will be: 0x0 - (2-1) cycle of rtc clock (32KHz) 0x1 - (3-1) cycle of rtc clock (32KHz) 0x2 - (4-1) cycle of rtc clock (32KHz) 0x3 - (8-1) cycle of rtc clock (32KHz) 0x4.." newline bitfld.long 0x0 2. "EXT_RESET_B_0_DEBOUNCE_EN,External reset 0 debounce enable 0x0 - External reset will take affect immediately when there is any active edge change. 0x1 - External reset will take affect only if the active period lasts longer than the configured debounce.." "External reset will take affect immediately when..,External reset will take affect only if the.." newline bitfld.long 0x0 1. "EXT_RESET_B_0_POL,External reset 0 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x0 0. "EXT_RESET_B_0_EN,External reset 0 enable 0x0 - External reset 0 disabled 0x1 - External reset 0 enabled. Note: Software should wait at least 40ms (more than one cycle in 32KHz) between EXT_RESET_B_0_POL setting and EXT_RESET_B_0_EN setting." "External reset 0 disabled,External reset 0 enabled" line.long 0x4 "RTC_CTRL,RTC wakeup control" newline bitfld.long 0x4 1. "RTC_WAKEUP_B_1_EN,RTC wakeup 1 enable 0x0 - RTC wakeup 1 disabled 0x1 - RTC wakeup 1 enabled." "RTC wakeup 1 disabled,RTC wakeup 1 enabled" newline bitfld.long 0x4 0. "RTC_WAKEUP_B_0_EN,RTC wakeup 0 enable 0x0 - RTC wakeup 0 disabled 0x1 - RTC wakeup 0 enabled." "RTC wakeup 0 disabled,RTC wakeup 0 enabled" line.long 0x8 "GF_CTRL,Glitch filter configuration" newline bitfld.long 0x8 11.--13. "GF_CTL,Glitch filter control bit this field apply to all PMU glitch filter circuit. PMU will ignore all high and low pulse which is shorter then glitch filter time if related glitch filter enabled. The glitch filter time is as following (in cycle of RTC.." "0,1,2,3,4,5,6,7" newline hexmask.long.word 0x8 0.--10. 1. "GF_EN,Glitch filter enable bits bit 0 : Glitch filter enable bit for external reset 0 bit 1 : Glitch filter enable bit for external reset 1 bit 2 : Glitch filter enable bit for external wakeup request 0 bit 3 : Glitch filter enable bit for external.." line.long 0xC "RST_CTRL,Reset Configuration" newline hexmask.long.byte 0xC 24.--28. 1. "PMU_STATE,PMU_STATE This field indicates the real PMU state. 0x01 - PMU_INIT 0x02 - PMU_PWRUP 0x04 - PMU_ON 0x08 - PMU_PWRDWN 0x10 - PMU_OFF" newline bitfld.long 0xC 11.--13. "PORPDCTL,Analog POR cell PD control When PMU power down the on-chip POR cell the output rtc_power_stable from POR cell may get LOW but it doesn't mean RTC domain power supply is deasserted. PMU should ignore this POR cell output for a certain time to.." "0,1,2,3,4,5,6,7" newline bitfld.long 0xC 10. "POR_AUTO_PD,POR auto PD 0x1 - PMU will automatically put the on-chip POR cell into low power mode (power down) when PMU enters PMU_OFF state. This can help save more power consumption. 0x0 - PMU will keep the on-chip POR cell in normal operation when PMU.." "PMU will keep the on-chip POR cell in normal..,PMU will automatically put the on-chip POR cell.." newline bitfld.long 0xC 9. "POR_FORCE_PD,POR force PD Software can force POR cell into low power mode (power down) by setting this field bit 0x01 and bring iit back to normal operation by clearing this field bit. It is recommended to change this field only in PMU_ON state." "0,1" newline bitfld.long 0xC 8. "AP_ISO_CTL,ap_iso_b control 0x0 - ap_iso_b will be not asserted when ap_power_stable turn low 0x1 - ap_iso_b will be asserted when ap_power_stable turn low This field is for internal use only." "ap_iso_b will be not asserted when..,ap_iso_b will be asserted when ap_power_stable.." newline bitfld.long 0xC 7. "AP_POR_CTL,ap_por_b control 0x0 - ap_por_b will be not asserted when ap_power_stable turn low 0x1 - ap_por_b will be asserted when ap_power_stable turn low This field is for internal use only." "ap_por_b will be not asserted when..,ap_por_b will be asserted when ap_power_stable.." newline bitfld.long 0xC 6. "SAFETY_ISO_CTL,safety_iso_b control 0x0 - safety_iso_b will be not asserted when safety_power_stable turn low 0x1 - safety_iso_b will be asserted when safety_power_stable turn low This field is for internal use only." "safety_iso_b will be not asserted when..,safety_iso_b will be asserted when.." newline bitfld.long 0xC 5. "SAFETY_POR_CTL,safety_por_b control 0x0 - safety_por_b will be not asserted when safety_power_stable turn low 0x1 - safety_por_b will be asserted when safety_power_stable turn low This field is for internal use only." "safety_por_b will be not asserted when..,safety_por_b will be asserted when.." newline bitfld.long 0xC 4. "IGNORE_AP_RESET,Ignore SoC AP_RESET_N pin input This field controls whether the ap_por_b and ap_iso_b signal is hold asserted (LOW) while SoC AP_RESET_N pin input is active (LOW). 0x0 - ap_por_b/ap_iso_b is kept asserted (LOW) while AP_RESET_N pin input.." "ap_por_b/ap_iso_b is kept asserted,AP_RESET_N input is ignored" newline bitfld.long 0xC 3. "HOLD_AP_ISO,Force AP domain isolation control (ap_iso_b) active (LOW). This is useful when AP domain power supplies are managed by safety Domain CPU cores. It can set this register bit to hold AP isolation active until all related AP domain power supply.." "0,1" newline bitfld.long 0xC 2. "WAIT_AP_POWER_STABLE,Wait on ap_power_stable output from on-chip POR cell This field controls whether AP domain is kept in reset when ap_power_stable from on-chip POR cell is inactive (LOW). 0x0 - The output ap_power_stable from on-chip POR cell is.." "The output ap_power_stable from on-chip POR cell..,AP domain is kept in reset state" newline bitfld.long 0xC 1. "WAIT_SAFETY_POWER_STABLE,Controls if the safety_por_b signal is de-asserted only after safety_power_stable signal is asserted 0x0 - ignore power stable signal from POR cell 0x1 - wait for power stable signal from POR cell Wait on safety_power_stable.." "The output safety_power_stable from on-chip POR..,Safety domain is kept in reset state" newline bitfld.long 0xC 0. "WAIT_RTC_POWER_STABLE,Wait on rtc_power_stable output from on-chip POR cell This field controls whether RTC domain (except PMU) is kept in reset (rtc_por_b is active/LOW) when rtc_power_stable from on-chip POR cell is inactive (LOW). 0x0 - The output.." "The output rtc_power_stable from on-chip POR..,RTC domain is kept in reset state" line.long 0x10 "PWR_CTRL_0,power up/down configuration" newline bitfld.long 0x10 8. "PWR_DOWN,Force PMU power down Software can force PMU to enter PMU_OFF state by setting this field bit from 0x0 to 0x1." "0,1" newline hexmask.long.byte 0x10 4.--7. 1. "PWR_DOWN_DELAY,PMU power down delay This field configures the power down delay for PMU. 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF.." newline hexmask.long.byte 0x10 0.--3. 1. "PWR_UP_DELAY,PMU power up delay This field configures the power up delay for PMU. 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" line.long 0x14 "PWR_CTRL_1,PWRCTRL0/PWRCTRL1 pin configuration" rbitfld.long 0x14 31. "INLVL1,PWRCTRL1 input status This field indicates the pin input status of PWRCTRL1. It has no meaning when this pin is configured in output mode (IOMODE1=0x0)." "0,1" newline rbitfld.long 0x14 30. "INLVL0,PWRCTRL0 input status This field indicates the pin input status of PWRCTRL0. It has no meaning when this pin is configured in output mode (IOMODE0=0x0)." "0,1" newline bitfld.long 0x14 21. "OUTLVL1,PWRCTRL1 output level control This field control the output level for PWRCTRL1 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE1=0x1) or output level mode is 0x0 (CTLMODE1=0x0)." "Low,High" newline bitfld.long 0x14 20. "CTLMODE1,PWRCTRL1 output level mode This field control the output level logic for PWRCTRL1 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL1 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x14 19. "IOMODE1,PWRCTRL1 IO mode This field controls the IO mode of PWRCTRL1 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x14 15.--18. 1. "PWRDOWNDELAY1,PWRCTRL1 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x14 11.--14. 1. "PWRUPDELAY1,PWRCTRL1 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline bitfld.long 0x14 10. "OUTLVL0,PWRCTRL0 output level control This field control the output level for PWRCTRL0 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE0=0x1) or output level mode is 0x0 (CTLMODE0=0x0)." "Low,High" newline bitfld.long 0x14 9. "CTLMODE0,PWRCTRL0 output level mode This field control the output level logic for PWRCTRL0 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL0 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x14 8. "IOMODE0,PWRCTRL0 IO mode This field controls the IO mode of PWRCTRL0 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x14 4.--7. 1. "PWRDOWNDELAY0,PWRCTRL0 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x14 0.--3. 1. "PWRUPDELAY0,PWRCTRL0 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" line.long 0x18 "PWR_CTRL_2,PWRCTRL2/PWRCTRL3 pin configuration" rbitfld.long 0x18 31. "INLVL3,PWRCTRL3 input status This field indicates the pin input status of PWRCTRL3. It has no meaning when this pin is configured in output mode (IOMODE3=0x0)." "0,1" newline rbitfld.long 0x18 30. "INLVL2,PWRCTRL2 input status This field indicates the pin input status of PWRCTRL2. It has no meaning when this pin is configured in output mode (IOMODE2=0x0)." "0,1" newline bitfld.long 0x18 21. "OUTLVL3,PWRCTRL3 output level control This field control the output level for PWRCTRL3 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE3=0x1) or output level mode is 0x0 (CTLMODE3=0x0)." "Low,High" newline bitfld.long 0x18 20. "CTLMODE3,PWRCTRL3 output level mode This field control the output level logic for PWRCTRL3 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL3 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x18 19. "IOMODE3,PWRCTRL3 IO mode This field controls the IO mode of PWRCTRL3 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x18 15.--18. 1. "PWRDOWNDELAY3,PWRCTRL3 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x18 11.--14. 1. "PWRUPDELAY3,PWRCTRL3 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline bitfld.long 0x18 10. "OUTLVL2,PWRCTRL2 output level control This field control the output level for PWRCTRL2 pin. 0x0 - Low 0x1 - High This field setting is ignored when this pin is configured in input mode (IOMODE2=0x1) or output level mode is 0x0 (CTLMODE2=0x0)." "Low,High" newline bitfld.long 0x18 9. "CTLMODE2,PWRCTRL2 output level mode This field control the output level logic for PWRCTRL2 pin. 0x0 - PMU automatically determines the pin output level according to PMU state. PWRCTRL2 get asserted (HIGH) when PMU enters PMU_PWRUP state with power up.." "PMU automatically determines the pin output..,The pin output level is controlled by software.." newline bitfld.long 0x18 8. "IOMODE2,PWRCTRL2 IO mode This field controls the IO mode of PWRCTRL2 pin. 0x0 - Output mode 0x1 - Input mode" "Output mode,Input mode" newline hexmask.long.byte 0x18 4.--7. 1. "PWRDOWNDELAY2,PWRCTRL2 power down delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" newline hexmask.long.byte 0x18 0.--3. 1. "PWRUPDELAY2,PWRCTRL2 power up delay configuration 0x0 - 0us 0x1 - 30us 0x2 - 60us 0x3 - 120us 0x4 - 0.25us 0x5 - 0.5ms 0x6 - 0.75ms 0x7 - 1ms 0x8 - 2ms 0x9 - 3ms 0xA - 4ms 0xB - 5ms 0xC - 6ms 0xD - 7ms 0xE - 8ms 0xF - 16ms" line.long 0x1C "PWR_CTRL_3,control register bits for internal power down request (from on-chip temperature sensor)" newline rbitfld.long 0x1C 9. "INT_PWRDWN_B_1_STATUS,Internal power down request 1 status This field indicates the real status of internal power down request 1." "0,1" newline rbitfld.long 0x1C 8. "INT_PWRDWN_B_0_STATUS,Internal power down request 0 status This field indicates the real status of internal power down request 0." "0,1" newline bitfld.long 0x1C 3. "INT_PWRDWN_B_1_POL,Internal power down request 1 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x1C 2. "INT_PWRDWN_B_1_EN,Internal power down request 1 enable 0x0 - Internal power down disabled 0x1 - Internal power down enabled" "Internal power down disabled,Internal power down enabled" newline bitfld.long 0x1C 1. "INT_PWRDWN_B_0_POL,Internal power down request 0 polarity 0x0 - Low active 0x1 - High active" "Low active,High active" newline bitfld.long 0x1C 0. "INT_PWRDWN_B_0_EN,Internal power down request 0 enable 0x0 - Internal power down disabled 0x1 - Internal power down enabled" "Internal power down disabled,Internal power down enabled" line.long 0x20 "STICKY_STS_0,Sticky status 0 This register records whether any external reset. external wakeup. rtc wakeup and internal power down requesst happened. This register gets reset only when RTC Domain power supply removed or SYS_RESET_N get asserted." hexmask.long.tbyte 0x20 8.--31. 1. "RSVD0,RSVD0" newline bitfld.long 0x20 7. "RTC_WAKEUP_1,RTC_WAKEUP_1 This field recorded whether RTC WAKEUP 1 happened. It get set (to 0x1) whenever RTC WAKEUP happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared by.." "0,1" newline bitfld.long 0x20 6. "RTC_WAKEUP_0,RTC_WAKEUP_0 This field recorded whether RTC WAKEUP 0 happened. It get set (to 0x1) whenever RTC WAKEUP 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared by.." "0,1" newline bitfld.long 0x20 5. "EXT_WAKEUP_1,EXT_WAKEUP_1 This field recorded whether External WAKEUP 1 happened. It get set (to 0x1) whenever external WAKEUP 1 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be.." "0,1" newline bitfld.long 0x20 4. "EXT_WAKEUP_0,EXT_WAKEUP_0 This field recorded whether External WAKEUP 0 happened. It get set (to 0x1) whenever external WAKEUP 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be.." "0,1" newline bitfld.long 0x20 3. "EXT_RESET_1,EXT_RESET_1 This field recorded whether External reset 1 happened. It get set (to 0x1) whenever external reset 1 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared.." "0,1" newline bitfld.long 0x20 2. "EXT_RESET_0,EXT_RESET_0 This field recorded whether External reset 0 happened. It get set (to 0x1) whenever external reset 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW active). It can also be cleared.." "0,1" newline bitfld.long 0x20 1. "INT_PWRDWN_1,INT_PWRDWN_1 This field recorded whether internal power down request 1 happened. It get set (to 0x1) whenever internal power down request 1 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW.." "0,1" newline bitfld.long 0x20 0. "INT_PWRDWN_0,INT_PWRDWN_0 This field recorded whether internal power down request 0 happened. It get set (to 0x1) whenever internal power down request 0 happened. It get reset (to 0x0) when RTC domain power supply removed or SYS_RESET_N asserted (LOW.." "0,1" repeat 3. (list 0x0 0x1 0x2 )(list 0x0 0x4 0x8 ) group.long ($2+0x24)++0x3 line.long 0x0 "RSVD_$1,RSVD_0" hexmask.long 0x0 0.--31. 1. "RSVD,RSVD" repeat.end repeat 2. (list 0x0 0x1 )(list 0x0 0x4 ) rgroup.long ($2+0x30)++0x3 line.long 0x0 "STS_$1,Debounce timer counter for EXT_RESET_0 This register is for internal use only." newline hexmask.long.word 0x0 17.--25. 1. "CNT3,CNT3: counter 3 count for 10ms with 32K clock. This counter is started only when debounce_time_ctrl[3] is one and overflow when count to 10ms." newline hexmask.long.word 0x0 8.--16. 1. "CNT2,CNT2: counter 2 count with 10ms. This counter is started only when debounce_time_ctrl[3] is one." newline hexmask.long.byte 0x0 0.--7. 1. "CNT1,CNT1: counter 1 count with 32K clock from 0 to 127. This counter is started only when debounce_time_ctrl[3] is zero." repeat.end repeat 2. (list 0x2 0x3 )(list 0x0 0x4 ) rgroup.long ($2+0x38)++0x3 line.long 0x0 "STS_$1,Debounce timer counter for EXT_WAKEUP_0 This register is for internal use only." newline hexmask.long.word 0x0 17.--25. 1. "CNT3,CNT3: counter 3 count for 10ms with 32K clock. This counter is started only when debounce_time_ctrl[3] is one and overflow when count to 10ms." newline hexmask.long.word 0x0 8.--16. 1. "CNT2,CNT2: counter 2 count with 1ms. This counter is started only when debounce_time_ctrl[3] is one." newline hexmask.long.byte 0x0 0.--7. 1. "CNT1,CNT1: counter 1 count with 32K clock from 0 to 127. This counter is started only when debounce_time_ctrl[3] is zero." repeat.end endif tree.end tree "PVT_SENS (PVT Sensor)" sif (CORENAME()=="CORTEXR5F") tree "PVT_SNS_SAFETY" base ad:0xF02C0000 group.long 0x0++0x3 line.long 0x0 "PVT_CTRL,PVT CONTROL REGISTER" bitfld.long 0x0 19. "RF_MODE_EN_1,pvt alarm rising/falling mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 18. "HL_MODE_EN_1,pvt alarm high/low mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 17. "RF_MODE_EN_0,pvt alarm rising/falling mode enable for ctrl_0 0: disable 1: enable" "disable,enable" bitfld.long 0x0 16. "HL_MODE_EN_0,pvt alarm high/low mode enable for ctrl_0 0: disable 1: enable" "disable,enable" newline hexmask.long.byte 0x0 10.--15. 1. "TRIMO,Trim offset bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimal values may be ascertained during test or at any other time.TRIMO bits are sampled when ENA goes from 0 to.." hexmask.long.byte 0x0 5.--9. 1. "TRIMG,trim gain bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimalvalues may be ascertained during test or at any other time.TRIMGbits are only to be changed while ENA=0.Default.." bitfld.long 0x0 4. "SENS_EN,software control sensor enable 0: disable 1: enable" "disable,enable" bitfld.long 0x0 2.--3. "PMODE,process detect mode" "0,1,2,3" bitfld.long 0x0 1. "VMODE,voltage detect mode" "0,1" newline bitfld.long 0x0 0. "MODE,control mode: 1: from register 0: from fuse" "from fuse,from register" rgroup.long 0x4++0x3 line.long 0x0 "PVT_DOUT,PVT DATA OUT REGISTER" hexmask.long.word 0x0 1.--10. 1. "DOUT,pvt dout value" bitfld.long 0x0 0. "VALID,pvt dout valid indicate 0: invalid 1: valid because pvt enable is triggerd one by one the design use the posedge of enable so the valid is not active all the time. debug only. no need for software" "invalid,valid" group.long 0x8++0xF line.long 0x0 "PVT_HYST_H,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x0 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x0 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x4 "PVT_HYST_L,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_R,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x8 0.--9. 1. "ALARM,alarm setting" line.long 0xC "PVT_HYST_F,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x18++0x3 line.long 0x0 "PVT_HYST_TIMER,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x1C++0x3 line.long 0x0 "PVT_INT_EN,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x20++0x3 line.long 0x0 "PVT_INT_STATUS,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x24++0x13 line.long 0x0 "PVT_INT_CLR,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" line.long 0x4 "PVT_HYST_H_1,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_L_1,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x8 0.--9. 1. "THRESH_H,alarm high setting" line.long 0xC "PVT_HYST_R_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" line.long 0x10 "PVT_HYST_F_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x10 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x10 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x38++0x3 line.long 0x0 "PVT_HYST_TIMER_1,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x3C++0x3 line.long 0x0 "PVT_INT_EN_1,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x40++0x3 line.long 0x0 "PVT_INT_STATUS_1,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x44++0x3 line.long 0x0 "PVT_INT_CLR_1,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" tree.end tree "PVT_SNS_AP" base ad:0xF0880000 group.long 0x0++0x3 line.long 0x0 "PVT_CTRL,PVT CONTROL REGISTER" bitfld.long 0x0 19. "RF_MODE_EN_1,pvt alarm rising/falling mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 18. "HL_MODE_EN_1,pvt alarm high/low mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 17. "RF_MODE_EN_0,pvt alarm rising/falling mode enable for ctrl_0 0: disable 1: enable" "disable,enable" bitfld.long 0x0 16. "HL_MODE_EN_0,pvt alarm high/low mode enable for ctrl_0 0: disable 1: enable" "disable,enable" newline hexmask.long.byte 0x0 10.--15. 1. "TRIMO,Trim offset bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimal values may be ascertained during test or at any other time.TRIMO bits are sampled when ENA goes from 0 to.." hexmask.long.byte 0x0 5.--9. 1. "TRIMG,trim gain bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimalvalues may be ascertained during test or at any other time.TRIMGbits are only to be changed while ENA=0.Default.." bitfld.long 0x0 4. "SENS_EN,software control sensor enable 0: disable 1: enable" "disable,enable" bitfld.long 0x0 2.--3. "PMODE,process detect mode" "0,1,2,3" bitfld.long 0x0 1. "VMODE,voltage detect mode" "0,1" newline bitfld.long 0x0 0. "MODE,control mode: 1: from register 0: from fuse" "from fuse,from register" rgroup.long 0x4++0x3 line.long 0x0 "PVT_DOUT,PVT DATA OUT REGISTER" hexmask.long.word 0x0 1.--10. 1. "DOUT,pvt dout value" bitfld.long 0x0 0. "VALID,pvt dout valid indicate 0: invalid 1: valid because pvt enable is triggerd one by one the design use the posedge of enable so the valid is not active all the time. debug only. no need for software" "invalid,valid" group.long 0x8++0xF line.long 0x0 "PVT_HYST_H,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x0 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x0 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x4 "PVT_HYST_L,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_R,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x8 0.--9. 1. "ALARM,alarm setting" line.long 0xC "PVT_HYST_F,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x18++0x3 line.long 0x0 "PVT_HYST_TIMER,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x1C++0x3 line.long 0x0 "PVT_INT_EN,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x20++0x3 line.long 0x0 "PVT_INT_STATUS,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x24++0x13 line.long 0x0 "PVT_INT_CLR,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" line.long 0x4 "PVT_HYST_H_1,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_L_1,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x8 0.--9. 1. "THRESH_H,alarm high setting" line.long 0xC "PVT_HYST_R_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" line.long 0x10 "PVT_HYST_F_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x10 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x10 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x38++0x3 line.long 0x0 "PVT_HYST_TIMER_1,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x3C++0x3 line.long 0x0 "PVT_INT_EN_1,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x40++0x3 line.long 0x0 "PVT_INT_STATUS_1,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x44++0x3 line.long 0x0 "PVT_INT_CLR_1,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" tree.end elif (CORENAME()=="CORTEXA55") tree "PVT_SNS_SAFETY" base ad:0x302C0000 group.long 0x0++0x3 line.long 0x0 "PVT_CTRL,PVT CONTROL REGISTER" bitfld.long 0x0 19. "RF_MODE_EN_1,pvt alarm rising/falling mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 18. "HL_MODE_EN_1,pvt alarm high/low mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 17. "RF_MODE_EN_0,pvt alarm rising/falling mode enable for ctrl_0 0: disable 1: enable" "disable,enable" bitfld.long 0x0 16. "HL_MODE_EN_0,pvt alarm high/low mode enable for ctrl_0 0: disable 1: enable" "disable,enable" newline hexmask.long.byte 0x0 10.--15. 1. "TRIMO,Trim offset bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimal values may be ascertained during test or at any other time.TRIMO bits are sampled when ENA goes from 0 to.." hexmask.long.byte 0x0 5.--9. 1. "TRIMG,trim gain bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimalvalues may be ascertained during test or at any other time.TRIMGbits are only to be changed while ENA=0.Default.." bitfld.long 0x0 4. "SENS_EN,software control sensor enable 0: disable 1: enable" "disable,enable" bitfld.long 0x0 2.--3. "PMODE,process detect mode" "0,1,2,3" bitfld.long 0x0 1. "VMODE,voltage detect mode" "0,1" newline bitfld.long 0x0 0. "MODE,control mode: 1: from register 0: from fuse" "from fuse,from register" rgroup.long 0x4++0x3 line.long 0x0 "PVT_DOUT,PVT DATA OUT REGISTER" hexmask.long.word 0x0 1.--10. 1. "DOUT,pvt dout value" bitfld.long 0x0 0. "VALID,pvt dout valid indicate 0: invalid 1: valid because pvt enable is triggerd one by one the design use the posedge of enable so the valid is not active all the time. debug only. no need for software" "invalid,valid" group.long 0x8++0xF line.long 0x0 "PVT_HYST_H,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x0 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x0 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x4 "PVT_HYST_L,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_R,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x8 0.--9. 1. "ALARM,alarm setting" line.long 0xC "PVT_HYST_F,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x18++0x3 line.long 0x0 "PVT_HYST_TIMER,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x1C++0x3 line.long 0x0 "PVT_INT_EN,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x20++0x3 line.long 0x0 "PVT_INT_STATUS,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x24++0x13 line.long 0x0 "PVT_INT_CLR,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" line.long 0x4 "PVT_HYST_H_1,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_L_1,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x8 0.--9. 1. "THRESH_H,alarm high setting" line.long 0xC "PVT_HYST_R_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" line.long 0x10 "PVT_HYST_F_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x10 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x10 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x38++0x3 line.long 0x0 "PVT_HYST_TIMER_1,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x3C++0x3 line.long 0x0 "PVT_INT_EN_1,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x40++0x3 line.long 0x0 "PVT_INT_STATUS_1,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x44++0x3 line.long 0x0 "PVT_INT_CLR_1,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" tree.end tree "PVT_SNS_AP" base ad:0x30880000 group.long 0x0++0x3 line.long 0x0 "PVT_CTRL,PVT CONTROL REGISTER" bitfld.long 0x0 19. "RF_MODE_EN_1,pvt alarm rising/falling mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 18. "HL_MODE_EN_1,pvt alarm high/low mode enable for ctrl_1 0: disable 1: enable" "disable,enable" bitfld.long 0x0 17. "RF_MODE_EN_0,pvt alarm rising/falling mode enable for ctrl_0 0: disable 1: enable" "disable,enable" bitfld.long 0x0 16. "HL_MODE_EN_0,pvt alarm high/low mode enable for ctrl_0 0: disable 1: enable" "disable,enable" newline hexmask.long.byte 0x0 10.--15. 1. "TRIMO,Trim offset bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimal values may be ascertained during test or at any other time.TRIMO bits are sampled when ENA goes from 0 to.." hexmask.long.byte 0x0 5.--9. 1. "TRIMG,trim gain bits Optional programmable inputs which may be used to improve the absolute accuracy of the temperature sensor. The optimalvalues may be ascertained during test or at any other time.TRIMGbits are only to be changed while ENA=0.Default.." bitfld.long 0x0 4. "SENS_EN,software control sensor enable 0: disable 1: enable" "disable,enable" bitfld.long 0x0 2.--3. "PMODE,process detect mode" "0,1,2,3" bitfld.long 0x0 1. "VMODE,voltage detect mode" "0,1" newline bitfld.long 0x0 0. "MODE,control mode: 1: from register 0: from fuse" "from fuse,from register" rgroup.long 0x4++0x3 line.long 0x0 "PVT_DOUT,PVT DATA OUT REGISTER" hexmask.long.word 0x0 1.--10. 1. "DOUT,pvt dout value" bitfld.long 0x0 0. "VALID,pvt dout valid indicate 0: invalid 1: valid because pvt enable is triggerd one by one the design use the posedge of enable so the valid is not active all the time. debug only. no need for software" "invalid,valid" group.long 0x8++0xF line.long 0x0 "PVT_HYST_H,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x0 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x0 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x4 "PVT_HYST_L,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_R,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x8 0.--9. 1. "ALARM,alarm setting" line.long 0xC "PVT_HYST_F,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x18++0x3 line.long 0x0 "PVT_HYST_TIMER,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x1C++0x3 line.long 0x0 "PVT_INT_EN,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x20++0x3 line.long 0x0 "PVT_INT_STATUS,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x24++0x13 line.long 0x0 "PVT_INT_CLR,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" line.long 0x4 "PVT_HYST_H_1,PVT ALARM HYST HIGH MODE SETTING" hexmask.long.word 0x4 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x4 0.--9. 1. "THRESH_H,alarm high setting" line.long 0x8 "PVT_HYST_L_1,PVT ALARM HYST LOW MODE SETTING" hexmask.long.word 0x8 10.--19. 1. "THRESH_L,alarm low setting" hexmask.long.word 0x8 0.--9. 1. "THRESH_H,alarm high setting" line.long 0xC "PVT_HYST_R_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0xC 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0xC 0.--9. 1. "ALARM,alarm setting" line.long 0x10 "PVT_HYST_F_1,PVT ALARM HYST MODE SETTING" hexmask.long.word 0x10 10.--19. 1. "HYST,hyst setting" hexmask.long.word 0x10 0.--9. 1. "ALARM,alarm setting" rgroup.long 0x38++0x3 line.long 0x0 "PVT_HYST_TIMER_1,PVT HYST TIMER" hexmask.long.word 0x0 16.--31. 1. "F_TIMER,hyst falling time record" hexmask.long.word 0x0 0.--15. 1. "R_TIMER,hyst rising time record" group.long 0x3C++0x3 line.long 0x0 "PVT_INT_EN_1,PVT INTERRUPT ENABLE" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt enable" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt enable" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt enable" "0,1" rgroup.long 0x40++0x3 line.long 0x0 "PVT_INT_STATUS_1,PVT INTERRUPT STATUS" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt status" "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt status" "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt status" "0,1" group.long 0x44++0x3 line.long 0x0 "PVT_INT_CLR_1,PVT INTERRUPT CLEAR" bitfld.long 0x0 3. "HYST_F,hyst falling mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 2. "HYST_R,hyst rising mode output beyond alarm interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 1. "HYST_LOW,hyst h/l mode output beyond alarm low interrupt clear. this is auto clear register." "0,1" bitfld.long 0x0 0. "HYST_HIGH,hyst h/l mode output beyond alarm high interrupt clear. this is auto clear register." "0,1" tree.end endif tree.end tree "PWM (Pulse Width Modulation)" sif (CORENAME()=="CORTEXR5F") repeat 8. (increment 1. 1.) (list ad:0xF01A0000 ad:0xF01B0000 ad:0xF0910000 ad:0xF0920000 ad:0xF0930000 ad:0xF0940000 ad:0xF0950000 ad:0xF0960000) tree "PWM$1" base $2 group.long 0x0++0x17 line.long 0x0 "INT_STA,This register provides interrupt status." bitfld.long 0x0 2. "FIFO_UNDERRUN,When set indicates FIFO is underrrun in dma mode" "0,1" newline bitfld.long 0x0 1. "CNT_G0_OVF,When set indicates CNT_G0 overflow" "0,1" bitfld.long 0x0 0. "CMP_EVENT,When set indicates compare event happen" "0,1" line.long 0x4 "INT_STA_EN,This register provides enable bits for interrupt status." bitfld.long 0x4 2. "FIFO_UNDERRUN,FIFO underrun interrput status enable" "0,1" newline bitfld.long 0x4 1. "CNT_G0_OVF,CNT_G0 overflow interrupt status enable" "0,1" bitfld.long 0x4 0. "CMP_EVENT,Compare event interrupt status enable" "0,1" line.long 0x8 "INT_SIG_EN,This register provides enable bits for interrupt signal" bitfld.long 0x8 2. "FIFO_UNDERRUN,FIFO underrun interrupt signal enable" "0,1" newline bitfld.long 0x8 1. "CNT_G0_OVF,CNT_G0 overflow interrupt signal enable" "0,1" bitfld.long 0x8 0. "CMP_EVENT,Compare event interrupt signal enable" "0,1" line.long 0xC "CNT_G0_CONFIG,This register provides clock configuration for CNT_G0" hexmask.long.word 0xC 16.--31. 1. "DIV_NUM,Divider number for CNT_G0" newline bitfld.long 0xC 4. "INT_CLR,Internal timer clear." "0,1" bitfld.long 0xC 3. "EXT_CLR_EN,External clear enable" "0,1" newline bitfld.long 0xC 2. "FRC_RLD,Force reload for cnt_g0." "0,1" bitfld.long 0xC 0.--1. "SRC_CLK_SEL,Select source clock. 00: High frequency clock(hf_clk) up to 400mhz. 01: Alternative High Frequency clock(ahf_clk) up to 400mhz. 10: tie 0 11: External clock. Pls note when change src_clk_sel need make sure source and destination clock are.." "High frequency,Alternative High Frequency clock,?,?" line.long 0x10 "CLK_CONFIG,clk0 and clk1 frequency setting" hexmask.long.word 0x10 16.--31. 1. "CLK1_DIV,Divider number for CLK1" hexmask.long.word 0x10 0.--15. 1. "CLK0_DIV,Divider number for CLK0" line.long 0x14 "CNT_G0_OVF,This register is used to update overflow value for CNT_G0." hexmask.long 0x14 0.--31. 1. "VALUE,When CNT_G0 reach VALUE it will be reset to 0. After write new value new VALUE value will not be effective until one overrflow happens or FRC_RLD in CNT_G0_CONFIG register is set." rgroup.long 0x18++0x3 line.long 0x0 "CNT_G0,CNT_G0 timer counter" hexmask.long 0x0 0.--31. 1. "TIMER,CTN_G0 timer counter value" group.long 0x1C++0x2B line.long 0x0 "CMP_VAL_UPT,Indicate CPU has updated compare value. Hardware will auto update all the compare value to shadow register. Use for no-DMA mode." bitfld.long 0x0 0. "UPT,Indicate CPU has updated compare value." "0,1" line.long 0x4 "CMP0_A_VAL,This reigister provides compare 0 value for compare channel A in no-DMA mode." hexmask.long 0x4 0.--31. 1. "DATA,Compare value" line.long 0x8 "CMP1_A_VAL,This reigister provides compare 1 value for compare channel A in no-DMA mode." hexmask.long 0x8 0.--31. 1. "DATA,Compare value" line.long 0xC "CMP0_B_VAL,This reigister provides compare 0 value for compare channel B in no-DMA mode" hexmask.long 0xC 0.--31. 1. "DATA,Compare value" line.long 0x10 "CMP1_B_VAL,This reigister provides compare 1 value for compare channel B in no-DMA mode." hexmask.long 0x10 0.--31. 1. "DATA,Compare value" line.long 0x14 "CMP0_C_VAL,This reigister provides compare 0 value for compare channel C in no-DMA mode." hexmask.long 0x14 0.--31. 1. "DATA,Compare value" line.long 0x18 "CMP1_C_VAL,This reigister provides compare 1 value for compare channel C in no-DMA mode." hexmask.long 0x18 0.--31. 1. "DATA,Compare value" line.long 0x1C "CMP0_D_VAL,This reigister provides compare 0 value for compare channel D in no-DMA mode." hexmask.long 0x1C 0.--31. 1. "DATA,Compare value" line.long 0x20 "CMP1_D_VAL,This reigister provides compare 1 value for compare channel D in no-DMA mode." hexmask.long 0x20 0.--31. 1. "DATA,Compare value" line.long 0x24 "CMP_CONFIG,This register provides data configuration for compare function." hexmask.long.byte 0x24 16.--23. 1. "RPT_NUM,Repeat each group of data by a pre-programmed times." newline hexmask.long.byte 0x24 8.--13. 1. "FIFO_WML,Water mark level for FIFO. When FIFO is used for compare function dma request will be asserted if left space in FIFO is greater than or equal to FIFO_WML." newline bitfld.long 0x24 5. "DMA_EN,Dma enable" "0,1" newline bitfld.long 0x24 4. "DUAL_CMP_MODE,Compare twice for one PWM output." "0,1" bitfld.long 0x24 2.--3. "GRP_NUM,00: Only compare channel A work 01: Compare A and compare B are working together. 10/11:compare A compare B compae C and compare D work together." "Only compare channel A work,Compare A and compare B are working together,?,?" newline bitfld.long 0x24 0.--1. "DATA_FORMAT,Data bits width 00: 32 bit 01: 16 bit 10/11: 8 bit" "0,1,2,3" line.long 0x28 "FIFO_ENTRY,This register provides FIFO entry for comare function." hexmask.long 0x28 0.--31. 1. "DATA,data" rgroup.long 0x48++0x3 line.long 0x0 "FIFO_STAT,FIFO status" hexmask.long.byte 0x0 2.--8. 1. "ENTRIES,Indicates data numbers of FIFO." newline bitfld.long 0x0 1. "EMPTY,Indicates FIFO is empty" "0,1" bitfld.long 0x0 0. "FULL,Indicates FIFO D is full." "0,1" group.long 0x4C++0x2B line.long 0x0 "CMP_CTRL,This register provides compare function control" bitfld.long 0x0 31. "SW_RST,Software reset whole IP." "0,1" newline bitfld.long 0x0 1. "SINGLE_MODE,1: only generate one time compare event. Then auto clear EN bit. 0. Continously compare until SW clear EN bit." "?,only generate one time compare event" bitfld.long 0x0 0. "EN,Enable compare function.In single mode this bit will be auto cleared after compare event happens.In consecutive compare mode compare will keep working until SW clear this bit." "0,1" line.long 0x4 "CMP_A_CONFIG0,Compare A configuration register0 This register provides configuration for compare channel A." hexmask.long.byte 0x4 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0x4 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0x4 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0x4 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x8 "CMP_A_CONFIG1,Compare A configuration register1. This register provides configuration or compare channel A." hexmask.long.byte 0x8 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x8 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x8 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x8 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0xC "CMP_B_CONFIG0,Compare B configuration register0 This register provides configuration for compare channel B." hexmask.long.byte 0xC 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0xC 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0xC 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0xC 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x10 "CMP_B_CONFIG1,Compare B configuration register1. This register provides configuration or compare channel B." hexmask.long.byte 0x10 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x10 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x10 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x10 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x14 "CMP_C_CONFIG0,Compare C configuration register0. This register provides configuration or compare channel C." hexmask.long.byte 0x14 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0x14 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0x14 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0x14 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x18 "CMP_C_CONFIG1,Compare C configuration register1. This register provides configuration or compare channel C." hexmask.long.byte 0x18 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x18 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x18 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x18 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x1C "CMP_D_CONFIG0,Compare D configuration register0. This register provides configuration or compare channel D." hexmask.long.byte 0x1C 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0x1C 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0x1C 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0x1C 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x20 "CMP_D_CONFIG1,Compare D configuration register1. This register provides configuration or compare channel D." hexmask.long.byte 0x20 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x20 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x20 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x20 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x24 "DITHER_CTRL,Dither control register. This register provides dither function setting" hexmask.long.word 0x24 16.--31. 1. "INIT_OFFSET,Init offset for carry bit generation of quantization error" newline hexmask.long.byte 0x24 8.--11. 1. "CLIP_RSLT,Dither clipped LSB data bit width. 0~15 means 1~16 bit" hexmask.long.byte 0x24 4.--7. 1. "DROP,Directly dropped LSB data bit width. 0 means no drop." newline bitfld.long 0x24 2.--3. "IN_RSLT,Dither input data width. 00: 8 bit 01: 16 bit 10: 24 bit 11: 32 bit" "0,1,2,3" bitfld.long 0x24 1. "INIT_OFFSET_EN,When Quantizaion error reach INIT_OFFSET generate carry bit for clipped data." "0,1" newline bitfld.long 0x24 0. "DITHER_EN,Enable dither function." "0,1" line.long 0x28 "MFC_CTRL,It is used for modulation frequency and preserving PWM accracy." hexmask.long.byte 0x28 0.--3. 1. "MFC_UP,Increasing modulation frequency up to 2^N times where N ranged in between [0 10]. 0 means MFC disable." group.long 0x80++0x13 line.long 0x0 "SSE_CTRL,This register controls signal synthsis engine function." hexmask.long.byte 0x0 22.--27. 1. "SSE_SEL_D,Select 4 signals from CMP_A CMP_B CMP_C PWM_DIV_CLK CLK0 and CLK1 for compare channle D signal systhesis" newline hexmask.long.byte 0x0 16.--21. 1. "SSE_SEL_C,Select 4 signals from CMP_A CMP_B CMP_D PWM_DIV_CLK CLK0 and CLK1 for compare channle C signal systhesis" hexmask.long.byte 0x0 10.--15. 1. "SSE_SEL_B,Select 4 signals from CMP_A CMP_C CMP_D PWM_DIV_CLK CLK0 and CLK1 for compare channle B signal systhesis" newline hexmask.long.byte 0x0 4.--9. 1. "SSE_SEL_A,Select 4 signals from CMP_B CMP_C CMP_D PWM_DIV_CLK CLK0 and CLK1 for compare channle A signal systhesis." bitfld.long 0x0 3. "SSE_EN_D,Synthesis CMP_D and other 4 signals into one signal for compare channel D" "0,1" newline bitfld.long 0x0 2. "SSE_EN_C,Synthesis CMP_C and other 4 signals into one signal for compare channel C" "0,1" bitfld.long 0x0 1. "SSE_EN_B,Synthesis CMP_B and other 4 signals into one signal for compare channel B" "0,1" newline bitfld.long 0x0 0. "SSE_EN_A,Synthesis CMP_A and other 4 signals into one signal for compare channel A" "0,1" line.long 0x4 "SSE_A,Signal synthesis for COMPARE A output" hexmask.long 0x4 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." line.long 0x8 "SSE_B,Signal synthesis for COMPARE B output" hexmask.long 0x8 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." line.long 0xC "SSE_C,Signal synthesis for COMPARE C output" hexmask.long 0xC 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." line.long 0x10 "SSE_D,Signal synthesis for COMPARE D output" hexmask.long 0x10 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." tree.end repeat.end elif (CORENAME()=="CORTEXA55") repeat 8. (increment 1. 1.) (list ad:0x301A0000 ad:0x301B0000 ad:0x30910000 ad:0x30920000 ad:0x30930000 ad:0x30940000 ad:0x30950000 ad:0x30960000) tree "PWM$1" base $2 group.long 0x0++0x17 line.long 0x0 "INT_STA,This register provides interrupt status." bitfld.long 0x0 2. "FIFO_UNDERRUN,When set indicates FIFO is underrrun in dma mode" "0,1" newline bitfld.long 0x0 1. "CNT_G0_OVF,When set indicates CNT_G0 overflow" "0,1" bitfld.long 0x0 0. "CMP_EVENT,When set indicates compare event happen" "0,1" line.long 0x4 "INT_STA_EN,This register provides enable bits for interrupt status." bitfld.long 0x4 2. "FIFO_UNDERRUN,FIFO underrun interrput status enable" "0,1" newline bitfld.long 0x4 1. "CNT_G0_OVF,CNT_G0 overflow interrupt status enable" "0,1" bitfld.long 0x4 0. "CMP_EVENT,Compare event interrupt status enable" "0,1" line.long 0x8 "INT_SIG_EN,This register provides enable bits for interrupt signal" bitfld.long 0x8 2. "FIFO_UNDERRUN,FIFO underrun interrupt signal enable" "0,1" newline bitfld.long 0x8 1. "CNT_G0_OVF,CNT_G0 overflow interrupt signal enable" "0,1" bitfld.long 0x8 0. "CMP_EVENT,Compare event interrupt signal enable" "0,1" line.long 0xC "CNT_G0_CONFIG,This register provides clock configuration for CNT_G0" hexmask.long.word 0xC 16.--31. 1. "DIV_NUM,Divider number for CNT_G0" newline bitfld.long 0xC 4. "INT_CLR,Internal timer clear." "0,1" bitfld.long 0xC 3. "EXT_CLR_EN,External clear enable" "0,1" newline bitfld.long 0xC 2. "FRC_RLD,Force reload for cnt_g0." "0,1" bitfld.long 0xC 0.--1. "SRC_CLK_SEL,Select source clock. 00: High frequency clock(hf_clk) up to 400mhz. 01: Alternative High Frequency clock(ahf_clk) up to 400mhz. 10: tie 0 11: External clock. Pls note when change src_clk_sel need make sure source and destination clock are.." "High frequency,Alternative High Frequency clock,?,?" line.long 0x10 "CLK_CONFIG,clk0 and clk1 frequency setting" hexmask.long.word 0x10 16.--31. 1. "CLK1_DIV,Divider number for CLK1" hexmask.long.word 0x10 0.--15. 1. "CLK0_DIV,Divider number for CLK0" line.long 0x14 "CNT_G0_OVF,This register is used to update overflow value for CNT_G0." hexmask.long 0x14 0.--31. 1. "VALUE,When CNT_G0 reach VALUE it will be reset to 0. After write new value new VALUE value will not be effective until one overrflow happens or FRC_RLD in CNT_G0_CONFIG register is set." rgroup.long 0x18++0x3 line.long 0x0 "CNT_G0,CNT_G0 timer counter" hexmask.long 0x0 0.--31. 1. "TIMER,CTN_G0 timer counter value" group.long 0x1C++0x2B line.long 0x0 "CMP_VAL_UPT,Indicate CPU has updated compare value. Hardware will auto update all the compare value to shadow register. Use for no-DMA mode." bitfld.long 0x0 0. "UPT,Indicate CPU has updated compare value." "0,1" line.long 0x4 "CMP0_A_VAL,This reigister provides compare 0 value for compare channel A in no-DMA mode." hexmask.long 0x4 0.--31. 1. "DATA,Compare value" line.long 0x8 "CMP1_A_VAL,This reigister provides compare 1 value for compare channel A in no-DMA mode." hexmask.long 0x8 0.--31. 1. "DATA,Compare value" line.long 0xC "CMP0_B_VAL,This reigister provides compare 0 value for compare channel B in no-DMA mode" hexmask.long 0xC 0.--31. 1. "DATA,Compare value" line.long 0x10 "CMP1_B_VAL,This reigister provides compare 1 value for compare channel B in no-DMA mode." hexmask.long 0x10 0.--31. 1. "DATA,Compare value" line.long 0x14 "CMP0_C_VAL,This reigister provides compare 0 value for compare channel C in no-DMA mode." hexmask.long 0x14 0.--31. 1. "DATA,Compare value" line.long 0x18 "CMP1_C_VAL,This reigister provides compare 1 value for compare channel C in no-DMA mode." hexmask.long 0x18 0.--31. 1. "DATA,Compare value" line.long 0x1C "CMP0_D_VAL,This reigister provides compare 0 value for compare channel D in no-DMA mode." hexmask.long 0x1C 0.--31. 1. "DATA,Compare value" line.long 0x20 "CMP1_D_VAL,This reigister provides compare 1 value for compare channel D in no-DMA mode." hexmask.long 0x20 0.--31. 1. "DATA,Compare value" line.long 0x24 "CMP_CONFIG,This register provides data configuration for compare function." hexmask.long.byte 0x24 16.--23. 1. "RPT_NUM,Repeat each group of data by a pre-programmed times." newline hexmask.long.byte 0x24 8.--13. 1. "FIFO_WML,Water mark level for FIFO. When FIFO is used for compare function dma request will be asserted if left space in FIFO is greater than or equal to FIFO_WML." newline bitfld.long 0x24 5. "DMA_EN,Dma enable" "0,1" newline bitfld.long 0x24 4. "DUAL_CMP_MODE,Compare twice for one PWM output." "0,1" bitfld.long 0x24 2.--3. "GRP_NUM,00: Only compare channel A work 01: Compare A and compare B are working together. 10/11:compare A compare B compae C and compare D work together." "Only compare channel A work,Compare A and compare B are working together,?,?" newline bitfld.long 0x24 0.--1. "DATA_FORMAT,Data bits width 00: 32 bit 01: 16 bit 10/11: 8 bit" "0,1,2,3" line.long 0x28 "FIFO_ENTRY,This register provides FIFO entry for comare function." hexmask.long 0x28 0.--31. 1. "DATA,data" rgroup.long 0x48++0x3 line.long 0x0 "FIFO_STAT,FIFO status" hexmask.long.byte 0x0 2.--8. 1. "ENTRIES,Indicates data numbers of FIFO." newline bitfld.long 0x0 1. "EMPTY,Indicates FIFO is empty" "0,1" bitfld.long 0x0 0. "FULL,Indicates FIFO D is full." "0,1" group.long 0x4C++0x2B line.long 0x0 "CMP_CTRL,This register provides compare function control" bitfld.long 0x0 31. "SW_RST,Software reset whole IP." "0,1" newline bitfld.long 0x0 1. "SINGLE_MODE,1: only generate one time compare event. Then auto clear EN bit. 0. Continously compare until SW clear EN bit." "?,only generate one time compare event" bitfld.long 0x0 0. "EN,Enable compare function.In single mode this bit will be auto cleared after compare event happens.In consecutive compare mode compare will keep working until SW clear this bit." "0,1" line.long 0x4 "CMP_A_CONFIG0,Compare A configuration register0 This register provides configuration for compare channel A." hexmask.long.byte 0x4 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0x4 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0x4 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0x4 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x8 "CMP_A_CONFIG1,Compare A configuration register1. This register provides configuration or compare channel A." hexmask.long.byte 0x8 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x8 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x8 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x8 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0xC "CMP_B_CONFIG0,Compare B configuration register0 This register provides configuration for compare channel B." hexmask.long.byte 0xC 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0xC 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0xC 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0xC 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x10 "CMP_B_CONFIG1,Compare B configuration register1. This register provides configuration or compare channel B." hexmask.long.byte 0x10 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x10 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x10 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x10 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x14 "CMP_C_CONFIG0,Compare C configuration register0. This register provides configuration or compare channel C." hexmask.long.byte 0x14 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0x14 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0x14 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0x14 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x18 "CMP_C_CONFIG1,Compare C configuration register1. This register provides configuration or compare channel C." hexmask.long.byte 0x18 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x18 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x18 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x18 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x1C "CMP_D_CONFIG0,Compare D configuration register0. This register provides configuration or compare channel D." hexmask.long.byte 0x1C 24.--31. 1. "CMP1_PULSE_WID,Width when output second positive or negative pulse in dual compare mode." hexmask.long.byte 0x1C 16.--23. 1. "CMP0_PULSE_WID,Width when output positive or negative pulse" newline bitfld.long 0x1C 3.--5. "CMP1_OUT_MODE,Second compare output mode in dual compare mode 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" newline bitfld.long 0x1C 0.--2. "CMP0_OUT_MODE,Compare output mode. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x20 "CMP_D_CONFIG1,Compare D configuration register1. This register provides configuration or compare channel D." hexmask.long.byte 0x20 16.--23. 1. "OVF_PULSE_WID,Width when output positive or negative pulse for overflow event." newline bitfld.long 0x20 5. "FRC_LOW,Force compare out to low." "0,1" newline bitfld.long 0x20 4. "FRC_HIGH,Force compare out to high." "0,1" newline bitfld.long 0x20 0.--2. "OVF_OUT_MODE,Output mode for overflow event. 000: Positive pulse. 001: Negative pulse 010: Signal toggle. 011: Level high. 100: Level low. Other value: keep" "Positive pulse,Negative pulse,?,?,?,?,?,?" line.long 0x24 "DITHER_CTRL,Dither control register. This register provides dither function setting" hexmask.long.word 0x24 16.--31. 1. "INIT_OFFSET,Init offset for carry bit generation of quantization error" newline hexmask.long.byte 0x24 8.--11. 1. "CLIP_RSLT,Dither clipped LSB data bit width. 0~15 means 1~16 bit" hexmask.long.byte 0x24 4.--7. 1. "DROP,Directly dropped LSB data bit width. 0 means no drop." newline bitfld.long 0x24 2.--3. "IN_RSLT,Dither input data width. 00: 8 bit 01: 16 bit 10: 24 bit 11: 32 bit" "0,1,2,3" bitfld.long 0x24 1. "INIT_OFFSET_EN,When Quantizaion error reach INIT_OFFSET generate carry bit for clipped data." "0,1" newline bitfld.long 0x24 0. "DITHER_EN,Enable dither function." "0,1" line.long 0x28 "MFC_CTRL,It is used for modulation frequency and preserving PWM accracy." hexmask.long.byte 0x28 0.--3. 1. "MFC_UP,Increasing modulation frequency up to 2^N times where N ranged in between [0 10]. 0 means MFC disable." group.long 0x80++0x13 line.long 0x0 "SSE_CTRL,This register controls signal synthsis engine function." hexmask.long.byte 0x0 22.--27. 1. "SSE_SEL_D,Select 4 signals from CMP_A CMP_B CMP_C PWM_DIV_CLK CLK0 and CLK1 for compare channle D signal systhesis" newline hexmask.long.byte 0x0 16.--21. 1. "SSE_SEL_C,Select 4 signals from CMP_A CMP_B CMP_D PWM_DIV_CLK CLK0 and CLK1 for compare channle C signal systhesis" hexmask.long.byte 0x0 10.--15. 1. "SSE_SEL_B,Select 4 signals from CMP_A CMP_C CMP_D PWM_DIV_CLK CLK0 and CLK1 for compare channle B signal systhesis" newline hexmask.long.byte 0x0 4.--9. 1. "SSE_SEL_A,Select 4 signals from CMP_B CMP_C CMP_D PWM_DIV_CLK CLK0 and CLK1 for compare channle A signal systhesis." bitfld.long 0x0 3. "SSE_EN_D,Synthesis CMP_D and other 4 signals into one signal for compare channel D" "0,1" newline bitfld.long 0x0 2. "SSE_EN_C,Synthesis CMP_C and other 4 signals into one signal for compare channel C" "0,1" bitfld.long 0x0 1. "SSE_EN_B,Synthesis CMP_B and other 4 signals into one signal for compare channel B" "0,1" newline bitfld.long 0x0 0. "SSE_EN_A,Synthesis CMP_A and other 4 signals into one signal for compare channel A" "0,1" line.long 0x4 "SSE_A,Signal synthesis for COMPARE A output" hexmask.long 0x4 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." line.long 0x8 "SSE_B,Signal synthesis for COMPARE B output" hexmask.long 0x8 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." line.long 0xC "SSE_C,Signal synthesis for COMPARE C output" hexmask.long 0xC 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." line.long 0x10 "SSE_D,Signal synthesis for COMPARE D output" hexmask.long 0x10 0.--31. 1. "SSE,Signal synthesis to drive one logic output from 5 logical inputs." tree.end repeat.end endif tree.end tree "ROMC (ROM Controller)" sif (CORENAME()=="CORTEXR5F") tree "ROMC_SAFETY" base ad:0xF0200000 group.long 0x0++0x23 line.long 0x0 "MEM_ERR_INT_STATUS,Memory ECC error Interrupt status" bitfld.long 0x0 2. "RDATA_FATAL,It can report ECC correction logic error and protect rdata internal path." "0,1" bitfld.long 0x0 1. "MUL_ERR,Indicate double bit errors are detected." "0,1" bitfld.long 0x0 0. "SIG_ERR,Indicate single bit error is detected." "0,1" line.long 0x4 "MEM_ERR_INT_STA_EN,Memory ECC error Interrupt status enable" bitfld.long 0x4 2. "RDATA_FATAL_EN,Rdata fatal interrupt status enable" "0,1" bitfld.long 0x4 1. "MUL_ERR_EN,Multiple bit error interrupt status enable." "0,1" bitfld.long 0x4 0. "SIG_ERR_EN,Single bit error interrupt status enable." "0,1" line.long 0x8 "MEM_ERR_INT_SIG_EN,Memory ECC Interrupt signal enable" bitfld.long 0x8 2. "RDATA_FATAL_EN,Rdata fatal interrupt signal enable." "0,1" bitfld.long 0x8 1. "MUL_ERR_EN,Multiple bits errors interrupt signal enable." "0,1" bitfld.long 0x8 0. "SIG_ERR_EN,Single bit error interrupt signal enable." "0,1" line.long 0xC "UNCERR_INT_STA,AXI bus uncorrectable error interrupt status" bitfld.long 0xC 20. "RREADY,Uncorrectable error for RREADY" "0,1" bitfld.long 0xC 5. "ARVALID,Uncorrectable error for ARVALID" "0,1" newline bitfld.long 0xC 3. "ARCTL1,Uncorrectable error for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0xC 1. "ARADDR,Uncorrectable error for ARADDR" "0,1" bitfld.long 0xC 0. "ARID,Uncorrectable error for ARID" "0,1" line.long 0x10 "UNCERR_INT_STA_EN,AXI bus uncorrectable error interrupt status enable" bitfld.long 0x10 20. "RREADY,Statusl enable for RREADY" "0,1" bitfld.long 0x10 5. "ARVALID,Status enable for ARVALID" "0,1" newline bitfld.long 0x10 3. "ARCTL1,Status enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x10 1. "ARADDR,Status enable for ARADDR" "0,1" bitfld.long 0x10 0. "ARID,Status enable for ARID" "0,1" line.long 0x14 "UNCERR_INT_SIG_EN,AXI bus uncorrectable error interrupt signal enable" bitfld.long 0x14 20. "RREADY,Signal enable for RREADY" "0,1" bitfld.long 0x14 5. "ARVALID,Signal enable for ARVALID" "0,1" newline bitfld.long 0x14 3. "ARCTL1,Signal enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x14 1. "ARADDR,Signal enable for ARADDR" "0,1" bitfld.long 0x14 0. "ARID,Signal enable for ARID" "0,1" line.long 0x18 "CORERR_INT_STA,AXI bus correctable error interrupt status" bitfld.long 0x18 0. "ARADDR,Correctable error for ARADDR" "0,1" line.long 0x1C "CORERR_INT_STA_EN,AXI bus correctable error interrupt status enable" bitfld.long 0x1C 0. "ARADDR,Status enable for ARADDR" "0,1" line.long 0x20 "CORERR_INT_SIG_EN,AXI bus correctable error interrupt signal enable" bitfld.long 0x20 0. "ARADDR,Signal enable for ARADDR" "0,1" group.long 0x30++0x7 line.long 0x0 "FWEN,Firewall enable" bitfld.long 0x0 31. "LOCK,Lock FWEM register bit and itself" "0,1" bitfld.long 0x0 0. "FWEN,Firewall enable. Enable master ID check for all the apb access." "0,1" line.long 0x4 "STICKY_REG,Sticky register" bitfld.long 0x4 31. "LOCK_15,Lock lower register bit and itself" "0,1" bitfld.long 0x4 30. "LOCK_14,Lock lower register bit and itself" "0,1" bitfld.long 0x4 29. "LOCK_13,Lock lower register bit and itself" "0,1" bitfld.long 0x4 28. "LOCK_12,Lock lower register bit and itself" "0,1" bitfld.long 0x4 27. "LOCK_11,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 26. "LOCK_10,Lock lower register bit and itself" "0,1" bitfld.long 0x4 25. "LOCK_9,Lock lower register bit and itself" "0,1" bitfld.long 0x4 24. "LOCK_8,Lock lower register bit and itself" "0,1" bitfld.long 0x4 23. "LOCK_7,Lock lower register bit and itself" "0,1" bitfld.long 0x4 22. "LOCK_6,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 21. "LOCK_5,Lock lower register bit and itself" "0,1" bitfld.long 0x4 20. "LOCK_4,Lock lower register bit and itself" "0,1" bitfld.long 0x4 19. "LOCK_3,Lock lower register bit and itself" "0,1" bitfld.long 0x4 18. "LOCK_2,Lock lower register bit and itself" "0,1" bitfld.long 0x4 17. "LOCK_1,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 16. "LOCK_0,Lock lower register bit and itself" "0,1" bitfld.long 0x4 15. "REG_15,Register" "0,1" bitfld.long 0x4 14. "REG_14,Register" "0,1" bitfld.long 0x4 13. "REG_13,Register" "0,1" bitfld.long 0x4 12. "REG_12,Register" "0,1" newline bitfld.long 0x4 11. "REG_11,Register" "0,1" bitfld.long 0x4 10. "REG_10,Register" "0,1" bitfld.long 0x4 9. "REG_9,Register" "0,1" bitfld.long 0x4 8. "REG_8,Register" "0,1" bitfld.long 0x4 7. "REG_7,Register" "0,1" newline bitfld.long 0x4 6. "REG_6,Register" "0,1" bitfld.long 0x4 5. "REG_5,Register" "0,1" bitfld.long 0x4 4. "REG_4,Register" "0,1" bitfld.long 0x4 3. "REG_3,Register" "0,1" bitfld.long 0x4 2. "REG_2,Register" "0,1" newline bitfld.long 0x4 1. "REG_1,Register" "0,1" bitfld.long 0x4 0. "REG_0,Register" "0,1" rgroup.long 0x40++0x27 line.long 0x0 "SIG_ERR_ADDR,Store access address for first single bit error." hexmask.long 0x0 0.--31. 1. "ADDR,Error addr" line.long 0x4 "SIG_ERR_DATA_31_0,Store Error data for first single bit error" hexmask.long 0x4 0.--31. 1. "DATA,Error data" line.long 0x8 "SIG_ERR_DATA_63_32,Store Error data for first single bit error" hexmask.long 0x8 0.--31. 1. "DATA,Error data" line.long 0xC "SIG_ERR_DATA_95_64,Store Error data for first single bit error" hexmask.long 0xC 0.--31. 1. "DATA,Error data" line.long 0x10 "SIG_ERR_DATA_127_96,Store Error data for first single bit error" hexmask.long 0x10 0.--31. 1. "DATA,Error data" line.long 0x14 "MUL_ERR_ADDR,Store access address for multiple bits error." hexmask.long 0x14 0.--31. 1. "ADDR,Error address" line.long 0x18 "MUL_ERR_DATA_31_0,Store Error data for first multiple bits error" hexmask.long 0x18 0.--31. 1. "DATA,Error data" line.long 0x1C "MUL_ERR_DATA_63_32,Store Error data for first multiple bits error" hexmask.long 0x1C 0.--31. 1. "DATA,Error data" line.long 0x20 "MUL_ERR_DATA_95_64,Store Error data for first multiple bits error" hexmask.long 0x20 0.--31. 1. "DATA,Error data" line.long 0x24 "MUL_ERR_DATA_127_96,Store Error data for first multiple bits error" hexmask.long 0x24 0.--31. 1. "DATA,Error data" group.long 0x80++0x3 line.long 0x0 "ROM_DIS,ROM code disable" bitfld.long 0x0 0. "DIS,When this bit is set ROM code read and ROM fix register access are disable. Once this bit is set it is always set unless POR reset." "0,1" group.long 0x100++0x13 line.long 0x0 "RDATA_31_0_INJ,Error injection on rdata" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RDATA_63_32_INJ,Error injection on rdata" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RDATA_95_64_INJ,Error injection on rdata" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RDATA_127_96_INJ,Error injection on rdata" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "ECC_INJ,Error injection on read ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" group.long 0x120++0x13 line.long 0x0 "RD_DATA_31_0_INJ,Error injection on rdata after ECC correction" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RD_DATA_63_32_INJ,Error injection on rdata after ECC correction" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RD_DATA_95_64_INJ,Error injection on rdata after ECC correction" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RD_DATA_127_96_INJ,Error injection on rdata after ECC correction" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "RD_ECC_INJ,Error injection on read ecc code after ECC correction" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" rgroup.long 0x200++0x3 line.long 0x0 "ROM_FIX_NUM,Indicate ROM fix numbers and RTL version" hexmask.long.byte 0x0 24.--31. 1. "MAJOR_VERSION,ROM FIX major version" hexmask.long.byte 0x0 16.--23. 1. "MINOR_VERSION,ROM fix minor version" hexmask.long.byte 0x0 0.--7. 1. "FIX_NUM,Indicate ROM fix numbers" group.long 0x204++0x7 line.long 0x0 "ROM_FIX_CTRL,ROM fix feature enable and ROM fix registers lock" bitfld.long 0x0 1. "LOCK,When this bit is set all the ROM fix registers can not be written." "0,1" bitfld.long 0x0 0. "GLB_EN,enable ROM replace fix feature" "0,1" line.long 0x4 "ROM_FIX_EN,Indicate which ROM fix is enable" hexmask.long 0x4 0.--31. 1. "FIX_EN,Indicate which ROM replace fix is enable. Effective bits depend on ROM_FIX_NUM." group.long 0x210++0x7F line.long 0x0 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x0 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x0 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4 0.--23. 1. "ADDR,Indicate jump address." line.long 0x8 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x8 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x8 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0xC "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0xC 0.--23. 1. "ADDR,Indicate jump address." line.long 0x10 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x10 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x10 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x14 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x14 0.--23. 1. "ADDR,Indicate jump address." line.long 0x18 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x18 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x18 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x1C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x1C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x20 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x20 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x20 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x24 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x24 0.--23. 1. "ADDR,Indicate jump address." line.long 0x28 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x28 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x28 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x2C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x2C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x30 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x30 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x30 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x34 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x34 0.--23. 1. "ADDR,Indicate jump address." line.long 0x38 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x38 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x38 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x3C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x3C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x40 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x40 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x40 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x44 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x44 0.--23. 1. "ADDR,Indicate jump address." line.long 0x48 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x48 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x48 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x50 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x50 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x50 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x54 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x54 0.--23. 1. "ADDR,Indicate jump address." line.long 0x58 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x58 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x58 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x5C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x5C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x60 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x60 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x60 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x64 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x64 0.--23. 1. "ADDR,Indicate jump address." line.long 0x68 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x68 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x68 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x6C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x6C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x70 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x70 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x70 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x74 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x74 0.--23. 1. "ADDR,Indicate jump address." line.long 0x78 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x78 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x78 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x7C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x7C 0.--23. 1. "ADDR,Indicate jump address." group.long 0x400++0xFF line.long 0x0 "ROM_INJ,ROM injection code" hexmask.long 0x0 0.--31. 1. "CODE,ROM injection code" line.long 0x4 "ROM_INJ,ROM injection code" hexmask.long 0x4 0.--31. 1. "CODE,ROM injection code" line.long 0x8 "ROM_INJ,ROM injection code" hexmask.long 0x8 0.--31. 1. "CODE,ROM injection code" line.long 0xC "ROM_INJ,ROM injection code" hexmask.long 0xC 0.--31. 1. "CODE,ROM injection code" line.long 0x10 "ROM_INJ,ROM injection code" hexmask.long 0x10 0.--31. 1. "CODE,ROM injection code" line.long 0x14 "ROM_INJ,ROM injection code" hexmask.long 0x14 0.--31. 1. "CODE,ROM injection code" line.long 0x18 "ROM_INJ,ROM injection code" hexmask.long 0x18 0.--31. 1. "CODE,ROM injection code" line.long 0x1C "ROM_INJ,ROM injection code" hexmask.long 0x1C 0.--31. 1. "CODE,ROM injection code" line.long 0x20 "ROM_INJ,ROM injection code" hexmask.long 0x20 0.--31. 1. "CODE,ROM injection code" line.long 0x24 "ROM_INJ,ROM injection code" hexmask.long 0x24 0.--31. 1. "CODE,ROM injection code" line.long 0x28 "ROM_INJ,ROM injection code" hexmask.long 0x28 0.--31. 1. "CODE,ROM injection code" line.long 0x2C "ROM_INJ,ROM injection code" hexmask.long 0x2C 0.--31. 1. "CODE,ROM injection code" line.long 0x30 "ROM_INJ,ROM injection code" hexmask.long 0x30 0.--31. 1. "CODE,ROM injection code" line.long 0x34 "ROM_INJ,ROM injection code" hexmask.long 0x34 0.--31. 1. "CODE,ROM injection code" line.long 0x38 "ROM_INJ,ROM injection code" hexmask.long 0x38 0.--31. 1. "CODE,ROM injection code" line.long 0x3C "ROM_INJ,ROM injection code" hexmask.long 0x3C 0.--31. 1. "CODE,ROM injection code" line.long 0x40 "ROM_INJ,ROM injection code" hexmask.long 0x40 0.--31. 1. "CODE,ROM injection code" line.long 0x44 "ROM_INJ,ROM injection code" hexmask.long 0x44 0.--31. 1. "CODE,ROM injection code" line.long 0x48 "ROM_INJ,ROM injection code" hexmask.long 0x48 0.--31. 1. "CODE,ROM injection code" line.long 0x4C "ROM_INJ,ROM injection code" hexmask.long 0x4C 0.--31. 1. "CODE,ROM injection code" line.long 0x50 "ROM_INJ,ROM injection code" hexmask.long 0x50 0.--31. 1. "CODE,ROM injection code" line.long 0x54 "ROM_INJ,ROM injection code" hexmask.long 0x54 0.--31. 1. "CODE,ROM injection code" line.long 0x58 "ROM_INJ,ROM injection code" hexmask.long 0x58 0.--31. 1. "CODE,ROM injection code" line.long 0x5C "ROM_INJ,ROM injection code" hexmask.long 0x5C 0.--31. 1. "CODE,ROM injection code" line.long 0x60 "ROM_INJ,ROM injection code" hexmask.long 0x60 0.--31. 1. "CODE,ROM injection code" line.long 0x64 "ROM_INJ,ROM injection code" hexmask.long 0x64 0.--31. 1. "CODE,ROM injection code" line.long 0x68 "ROM_INJ,ROM injection code" hexmask.long 0x68 0.--31. 1. "CODE,ROM injection code" line.long 0x6C "ROM_INJ,ROM injection code" hexmask.long 0x6C 0.--31. 1. "CODE,ROM injection code" line.long 0x70 "ROM_INJ,ROM injection code" hexmask.long 0x70 0.--31. 1. "CODE,ROM injection code" line.long 0x74 "ROM_INJ,ROM injection code" hexmask.long 0x74 0.--31. 1. "CODE,ROM injection code" line.long 0x78 "ROM_INJ,ROM injection code" hexmask.long 0x78 0.--31. 1. "CODE,ROM injection code" line.long 0x7C "ROM_INJ,ROM injection code" hexmask.long 0x7C 0.--31. 1. "CODE,ROM injection code" line.long 0x80 "ROM_INJ,ROM injection code" hexmask.long 0x80 0.--31. 1. "CODE,ROM injection code" line.long 0x84 "ROM_INJ,ROM injection code" hexmask.long 0x84 0.--31. 1. "CODE,ROM injection code" line.long 0x88 "ROM_INJ,ROM injection code" hexmask.long 0x88 0.--31. 1. "CODE,ROM injection code" line.long 0x8C "ROM_INJ,ROM injection code" hexmask.long 0x8C 0.--31. 1. "CODE,ROM injection code" line.long 0x90 "ROM_INJ,ROM injection code" hexmask.long 0x90 0.--31. 1. "CODE,ROM injection code" line.long 0x94 "ROM_INJ,ROM injection code" hexmask.long 0x94 0.--31. 1. "CODE,ROM injection code" line.long 0x98 "ROM_INJ,ROM injection code" hexmask.long 0x98 0.--31. 1. "CODE,ROM injection code" line.long 0x9C "ROM_INJ,ROM injection code" hexmask.long 0x9C 0.--31. 1. "CODE,ROM injection code" line.long 0xA0 "ROM_INJ,ROM injection code" hexmask.long 0xA0 0.--31. 1. "CODE,ROM injection code" line.long 0xA4 "ROM_INJ,ROM injection code" hexmask.long 0xA4 0.--31. 1. "CODE,ROM injection code" line.long 0xA8 "ROM_INJ,ROM injection code" hexmask.long 0xA8 0.--31. 1. "CODE,ROM injection code" line.long 0xAC "ROM_INJ,ROM injection code" hexmask.long 0xAC 0.--31. 1. "CODE,ROM injection code" line.long 0xB0 "ROM_INJ,ROM injection code" hexmask.long 0xB0 0.--31. 1. "CODE,ROM injection code" line.long 0xB4 "ROM_INJ,ROM injection code" hexmask.long 0xB4 0.--31. 1. "CODE,ROM injection code" line.long 0xB8 "ROM_INJ,ROM injection code" hexmask.long 0xB8 0.--31. 1. "CODE,ROM injection code" line.long 0xBC "ROM_INJ,ROM injection code" hexmask.long 0xBC 0.--31. 1. "CODE,ROM injection code" line.long 0xC0 "ROM_INJ,ROM injection code" hexmask.long 0xC0 0.--31. 1. "CODE,ROM injection code" line.long 0xC4 "ROM_INJ,ROM injection code" hexmask.long 0xC4 0.--31. 1. "CODE,ROM injection code" line.long 0xC8 "ROM_INJ,ROM injection code" hexmask.long 0xC8 0.--31. 1. "CODE,ROM injection code" line.long 0xCC "ROM_INJ,ROM injection code" hexmask.long 0xCC 0.--31. 1. "CODE,ROM injection code" line.long 0xD0 "ROM_INJ,ROM injection code" hexmask.long 0xD0 0.--31. 1. "CODE,ROM injection code" line.long 0xD4 "ROM_INJ,ROM injection code" hexmask.long 0xD4 0.--31. 1. "CODE,ROM injection code" line.long 0xD8 "ROM_INJ,ROM injection code" hexmask.long 0xD8 0.--31. 1. "CODE,ROM injection code" line.long 0xDC "ROM_INJ,ROM injection code" hexmask.long 0xDC 0.--31. 1. "CODE,ROM injection code" line.long 0xE0 "ROM_INJ,ROM injection code" hexmask.long 0xE0 0.--31. 1. "CODE,ROM injection code" line.long 0xE4 "ROM_INJ,ROM injection code" hexmask.long 0xE4 0.--31. 1. "CODE,ROM injection code" line.long 0xE8 "ROM_INJ,ROM injection code" hexmask.long 0xE8 0.--31. 1. "CODE,ROM injection code" line.long 0xEC "ROM_INJ,ROM injection code" hexmask.long 0xEC 0.--31. 1. "CODE,ROM injection code" line.long 0xF0 "ROM_INJ,ROM injection code" hexmask.long 0xF0 0.--31. 1. "CODE,ROM injection code" line.long 0xF4 "ROM_INJ,ROM injection code" hexmask.long 0xF4 0.--31. 1. "CODE,ROM injection code" line.long 0xF8 "ROM_INJ,ROM injection code" hexmask.long 0xF8 0.--31. 1. "CODE,ROM injection code" line.long 0xFC "ROM_INJ,ROM injection code" hexmask.long 0xFC 0.--31. 1. "CODE,ROM injection code" tree.end tree "ROMC_AP" base ad:0xF06F0000 group.long 0x0++0x23 line.long 0x0 "MEM_ERR_INT_STATUS,Memory ECC error Interrupt status" bitfld.long 0x0 2. "RDATA_FATAL,It can report ECC correction logic error and protect rdata internal path." "0,1" bitfld.long 0x0 1. "MUL_ERR,Indicate double bit errors are detected." "0,1" bitfld.long 0x0 0. "SIG_ERR,Indicate single bit error is detected." "0,1" line.long 0x4 "MEM_ERR_INT_STA_EN,Memory ECC error Interrupt status enable" bitfld.long 0x4 2. "RDATA_FATAL_EN,Rdata fatal interrupt status enable" "0,1" bitfld.long 0x4 1. "MUL_ERR_EN,Multiple bit error interrupt status enable." "0,1" bitfld.long 0x4 0. "SIG_ERR_EN,Single bit error interrupt status enable." "0,1" line.long 0x8 "MEM_ERR_INT_SIG_EN,Memory ECC Interrupt signal enable" bitfld.long 0x8 2. "RDATA_FATAL_EN,Rdata fatal interrupt signal enable." "0,1" bitfld.long 0x8 1. "MUL_ERR_EN,Multiple bits errors interrupt signal enable." "0,1" bitfld.long 0x8 0. "SIG_ERR_EN,Single bit error interrupt signal enable." "0,1" line.long 0xC "UNCERR_INT_STA,AXI bus uncorrectable error interrupt status" bitfld.long 0xC 20. "RREADY,Uncorrectable error for RREADY" "0,1" bitfld.long 0xC 5. "ARVALID,Uncorrectable error for ARVALID" "0,1" newline bitfld.long 0xC 3. "ARCTL1,Uncorrectable error for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0xC 1. "ARADDR,Uncorrectable error for ARADDR" "0,1" bitfld.long 0xC 0. "ARID,Uncorrectable error for ARID" "0,1" line.long 0x10 "UNCERR_INT_STA_EN,AXI bus uncorrectable error interrupt status enable" bitfld.long 0x10 20. "RREADY,Statusl enable for RREADY" "0,1" bitfld.long 0x10 5. "ARVALID,Status enable for ARVALID" "0,1" newline bitfld.long 0x10 3. "ARCTL1,Status enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x10 1. "ARADDR,Status enable for ARADDR" "0,1" bitfld.long 0x10 0. "ARID,Status enable for ARID" "0,1" line.long 0x14 "UNCERR_INT_SIG_EN,AXI bus uncorrectable error interrupt signal enable" bitfld.long 0x14 20. "RREADY,Signal enable for RREADY" "0,1" bitfld.long 0x14 5. "ARVALID,Signal enable for ARVALID" "0,1" newline bitfld.long 0x14 3. "ARCTL1,Signal enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x14 1. "ARADDR,Signal enable for ARADDR" "0,1" bitfld.long 0x14 0. "ARID,Signal enable for ARID" "0,1" line.long 0x18 "CORERR_INT_STA,AXI bus correctable error interrupt status" bitfld.long 0x18 0. "ARADDR,Correctable error for ARADDR" "0,1" line.long 0x1C "CORERR_INT_STA_EN,AXI bus correctable error interrupt status enable" bitfld.long 0x1C 0. "ARADDR,Status enable for ARADDR" "0,1" line.long 0x20 "CORERR_INT_SIG_EN,AXI bus correctable error interrupt signal enable" bitfld.long 0x20 0. "ARADDR,Signal enable for ARADDR" "0,1" group.long 0x30++0x7 line.long 0x0 "FWEN,Firewall enable" bitfld.long 0x0 31. "LOCK,Lock FWEM register bit and itself" "0,1" bitfld.long 0x0 0. "FWEN,Firewall enable. Enable master ID check for all the apb access." "0,1" line.long 0x4 "STICKY_REG,Sticky register" bitfld.long 0x4 31. "LOCK_15,Lock lower register bit and itself" "0,1" bitfld.long 0x4 30. "LOCK_14,Lock lower register bit and itself" "0,1" bitfld.long 0x4 29. "LOCK_13,Lock lower register bit and itself" "0,1" bitfld.long 0x4 28. "LOCK_12,Lock lower register bit and itself" "0,1" bitfld.long 0x4 27. "LOCK_11,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 26. "LOCK_10,Lock lower register bit and itself" "0,1" bitfld.long 0x4 25. "LOCK_9,Lock lower register bit and itself" "0,1" bitfld.long 0x4 24. "LOCK_8,Lock lower register bit and itself" "0,1" bitfld.long 0x4 23. "LOCK_7,Lock lower register bit and itself" "0,1" bitfld.long 0x4 22. "LOCK_6,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 21. "LOCK_5,Lock lower register bit and itself" "0,1" bitfld.long 0x4 20. "LOCK_4,Lock lower register bit and itself" "0,1" bitfld.long 0x4 19. "LOCK_3,Lock lower register bit and itself" "0,1" bitfld.long 0x4 18. "LOCK_2,Lock lower register bit and itself" "0,1" bitfld.long 0x4 17. "LOCK_1,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 16. "LOCK_0,Lock lower register bit and itself" "0,1" bitfld.long 0x4 15. "REG_15,Register" "0,1" bitfld.long 0x4 14. "REG_14,Register" "0,1" bitfld.long 0x4 13. "REG_13,Register" "0,1" bitfld.long 0x4 12. "REG_12,Register" "0,1" newline bitfld.long 0x4 11. "REG_11,Register" "0,1" bitfld.long 0x4 10. "REG_10,Register" "0,1" bitfld.long 0x4 9. "REG_9,Register" "0,1" bitfld.long 0x4 8. "REG_8,Register" "0,1" bitfld.long 0x4 7. "REG_7,Register" "0,1" newline bitfld.long 0x4 6. "REG_6,Register" "0,1" bitfld.long 0x4 5. "REG_5,Register" "0,1" bitfld.long 0x4 4. "REG_4,Register" "0,1" bitfld.long 0x4 3. "REG_3,Register" "0,1" bitfld.long 0x4 2. "REG_2,Register" "0,1" newline bitfld.long 0x4 1. "REG_1,Register" "0,1" bitfld.long 0x4 0. "REG_0,Register" "0,1" rgroup.long 0x40++0x27 line.long 0x0 "SIG_ERR_ADDR,Store access address for first single bit error." hexmask.long 0x0 0.--31. 1. "ADDR,Error addr" line.long 0x4 "SIG_ERR_DATA_31_0,Store Error data for first single bit error" hexmask.long 0x4 0.--31. 1. "DATA,Error data" line.long 0x8 "SIG_ERR_DATA_63_32,Store Error data for first single bit error" hexmask.long 0x8 0.--31. 1. "DATA,Error data" line.long 0xC "SIG_ERR_DATA_95_64,Store Error data for first single bit error" hexmask.long 0xC 0.--31. 1. "DATA,Error data" line.long 0x10 "SIG_ERR_DATA_127_96,Store Error data for first single bit error" hexmask.long 0x10 0.--31. 1. "DATA,Error data" line.long 0x14 "MUL_ERR_ADDR,Store access address for multiple bits error." hexmask.long 0x14 0.--31. 1. "ADDR,Error address" line.long 0x18 "MUL_ERR_DATA_31_0,Store Error data for first multiple bits error" hexmask.long 0x18 0.--31. 1. "DATA,Error data" line.long 0x1C "MUL_ERR_DATA_63_32,Store Error data for first multiple bits error" hexmask.long 0x1C 0.--31. 1. "DATA,Error data" line.long 0x20 "MUL_ERR_DATA_95_64,Store Error data for first multiple bits error" hexmask.long 0x20 0.--31. 1. "DATA,Error data" line.long 0x24 "MUL_ERR_DATA_127_96,Store Error data for first multiple bits error" hexmask.long 0x24 0.--31. 1. "DATA,Error data" group.long 0x80++0x3 line.long 0x0 "ROM_DIS,ROM code disable" bitfld.long 0x0 0. "DIS,When this bit is set ROM code read and ROM fix register access are disable. Once this bit is set it is always set unless POR reset." "0,1" group.long 0x100++0x13 line.long 0x0 "RDATA_31_0_INJ,Error injection on rdata" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RDATA_63_32_INJ,Error injection on rdata" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RDATA_95_64_INJ,Error injection on rdata" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RDATA_127_96_INJ,Error injection on rdata" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "ECC_INJ,Error injection on read ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" group.long 0x120++0x13 line.long 0x0 "RD_DATA_31_0_INJ,Error injection on rdata after ECC correction" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RD_DATA_63_32_INJ,Error injection on rdata after ECC correction" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RD_DATA_95_64_INJ,Error injection on rdata after ECC correction" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RD_DATA_127_96_INJ,Error injection on rdata after ECC correction" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "RD_ECC_INJ,Error injection on read ecc code after ECC correction" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" rgroup.long 0x200++0x3 line.long 0x0 "ROM_FIX_NUM,Indicate ROM fix numbers and RTL version" hexmask.long.byte 0x0 24.--31. 1. "MAJOR_VERSION,ROM FIX major version" hexmask.long.byte 0x0 16.--23. 1. "MINOR_VERSION,ROM fix minor version" hexmask.long.byte 0x0 0.--7. 1. "FIX_NUM,Indicate ROM fix numbers" group.long 0x204++0x7 line.long 0x0 "ROM_FIX_CTRL,ROM fix feature enable and ROM fix registers lock" bitfld.long 0x0 1. "LOCK,When this bit is set all the ROM fix registers can not be written." "0,1" bitfld.long 0x0 0. "GLB_EN,enable ROM replace fix feature" "0,1" line.long 0x4 "ROM_FIX_EN,Indicate which ROM fix is enable" hexmask.long 0x4 0.--31. 1. "FIX_EN,Indicate which ROM replace fix is enable. Effective bits depend on ROM_FIX_NUM." group.long 0x210++0x7F line.long 0x0 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x0 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x0 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4 0.--23. 1. "ADDR,Indicate jump address." line.long 0x8 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x8 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x8 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0xC "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0xC 0.--23. 1. "ADDR,Indicate jump address." line.long 0x10 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x10 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x10 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x14 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x14 0.--23. 1. "ADDR,Indicate jump address." line.long 0x18 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x18 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x18 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x1C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x1C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x20 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x20 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x20 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x24 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x24 0.--23. 1. "ADDR,Indicate jump address." line.long 0x28 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x28 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x28 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x2C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x2C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x30 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x30 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x30 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x34 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x34 0.--23. 1. "ADDR,Indicate jump address." line.long 0x38 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x38 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x38 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x3C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x3C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x40 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x40 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x40 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x44 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x44 0.--23. 1. "ADDR,Indicate jump address." line.long 0x48 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x48 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x48 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x50 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x50 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x50 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x54 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x54 0.--23. 1. "ADDR,Indicate jump address." line.long 0x58 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x58 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x58 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x5C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x5C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x60 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x60 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x60 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x64 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x64 0.--23. 1. "ADDR,Indicate jump address." line.long 0x68 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x68 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x68 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x6C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x6C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x70 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x70 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x70 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x74 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x74 0.--23. 1. "ADDR,Indicate jump address." line.long 0x78 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x78 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x78 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x7C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x7C 0.--23. 1. "ADDR,Indicate jump address." group.long 0x400++0xFF line.long 0x0 "ROM_INJ,ROM injection code" hexmask.long 0x0 0.--31. 1. "CODE,ROM injection code" line.long 0x4 "ROM_INJ,ROM injection code" hexmask.long 0x4 0.--31. 1. "CODE,ROM injection code" line.long 0x8 "ROM_INJ,ROM injection code" hexmask.long 0x8 0.--31. 1. "CODE,ROM injection code" line.long 0xC "ROM_INJ,ROM injection code" hexmask.long 0xC 0.--31. 1. "CODE,ROM injection code" line.long 0x10 "ROM_INJ,ROM injection code" hexmask.long 0x10 0.--31. 1. "CODE,ROM injection code" line.long 0x14 "ROM_INJ,ROM injection code" hexmask.long 0x14 0.--31. 1. "CODE,ROM injection code" line.long 0x18 "ROM_INJ,ROM injection code" hexmask.long 0x18 0.--31. 1. "CODE,ROM injection code" line.long 0x1C "ROM_INJ,ROM injection code" hexmask.long 0x1C 0.--31. 1. "CODE,ROM injection code" line.long 0x20 "ROM_INJ,ROM injection code" hexmask.long 0x20 0.--31. 1. "CODE,ROM injection code" line.long 0x24 "ROM_INJ,ROM injection code" hexmask.long 0x24 0.--31. 1. "CODE,ROM injection code" line.long 0x28 "ROM_INJ,ROM injection code" hexmask.long 0x28 0.--31. 1. "CODE,ROM injection code" line.long 0x2C "ROM_INJ,ROM injection code" hexmask.long 0x2C 0.--31. 1. "CODE,ROM injection code" line.long 0x30 "ROM_INJ,ROM injection code" hexmask.long 0x30 0.--31. 1. "CODE,ROM injection code" line.long 0x34 "ROM_INJ,ROM injection code" hexmask.long 0x34 0.--31. 1. "CODE,ROM injection code" line.long 0x38 "ROM_INJ,ROM injection code" hexmask.long 0x38 0.--31. 1. "CODE,ROM injection code" line.long 0x3C "ROM_INJ,ROM injection code" hexmask.long 0x3C 0.--31. 1. "CODE,ROM injection code" line.long 0x40 "ROM_INJ,ROM injection code" hexmask.long 0x40 0.--31. 1. "CODE,ROM injection code" line.long 0x44 "ROM_INJ,ROM injection code" hexmask.long 0x44 0.--31. 1. "CODE,ROM injection code" line.long 0x48 "ROM_INJ,ROM injection code" hexmask.long 0x48 0.--31. 1. "CODE,ROM injection code" line.long 0x4C "ROM_INJ,ROM injection code" hexmask.long 0x4C 0.--31. 1. "CODE,ROM injection code" line.long 0x50 "ROM_INJ,ROM injection code" hexmask.long 0x50 0.--31. 1. "CODE,ROM injection code" line.long 0x54 "ROM_INJ,ROM injection code" hexmask.long 0x54 0.--31. 1. "CODE,ROM injection code" line.long 0x58 "ROM_INJ,ROM injection code" hexmask.long 0x58 0.--31. 1. "CODE,ROM injection code" line.long 0x5C "ROM_INJ,ROM injection code" hexmask.long 0x5C 0.--31. 1. "CODE,ROM injection code" line.long 0x60 "ROM_INJ,ROM injection code" hexmask.long 0x60 0.--31. 1. "CODE,ROM injection code" line.long 0x64 "ROM_INJ,ROM injection code" hexmask.long 0x64 0.--31. 1. "CODE,ROM injection code" line.long 0x68 "ROM_INJ,ROM injection code" hexmask.long 0x68 0.--31. 1. "CODE,ROM injection code" line.long 0x6C "ROM_INJ,ROM injection code" hexmask.long 0x6C 0.--31. 1. "CODE,ROM injection code" line.long 0x70 "ROM_INJ,ROM injection code" hexmask.long 0x70 0.--31. 1. "CODE,ROM injection code" line.long 0x74 "ROM_INJ,ROM injection code" hexmask.long 0x74 0.--31. 1. "CODE,ROM injection code" line.long 0x78 "ROM_INJ,ROM injection code" hexmask.long 0x78 0.--31. 1. "CODE,ROM injection code" line.long 0x7C "ROM_INJ,ROM injection code" hexmask.long 0x7C 0.--31. 1. "CODE,ROM injection code" line.long 0x80 "ROM_INJ,ROM injection code" hexmask.long 0x80 0.--31. 1. "CODE,ROM injection code" line.long 0x84 "ROM_INJ,ROM injection code" hexmask.long 0x84 0.--31. 1. "CODE,ROM injection code" line.long 0x88 "ROM_INJ,ROM injection code" hexmask.long 0x88 0.--31. 1. "CODE,ROM injection code" line.long 0x8C "ROM_INJ,ROM injection code" hexmask.long 0x8C 0.--31. 1. "CODE,ROM injection code" line.long 0x90 "ROM_INJ,ROM injection code" hexmask.long 0x90 0.--31. 1. "CODE,ROM injection code" line.long 0x94 "ROM_INJ,ROM injection code" hexmask.long 0x94 0.--31. 1. "CODE,ROM injection code" line.long 0x98 "ROM_INJ,ROM injection code" hexmask.long 0x98 0.--31. 1. "CODE,ROM injection code" line.long 0x9C "ROM_INJ,ROM injection code" hexmask.long 0x9C 0.--31. 1. "CODE,ROM injection code" line.long 0xA0 "ROM_INJ,ROM injection code" hexmask.long 0xA0 0.--31. 1. "CODE,ROM injection code" line.long 0xA4 "ROM_INJ,ROM injection code" hexmask.long 0xA4 0.--31. 1. "CODE,ROM injection code" line.long 0xA8 "ROM_INJ,ROM injection code" hexmask.long 0xA8 0.--31. 1. "CODE,ROM injection code" line.long 0xAC "ROM_INJ,ROM injection code" hexmask.long 0xAC 0.--31. 1. "CODE,ROM injection code" line.long 0xB0 "ROM_INJ,ROM injection code" hexmask.long 0xB0 0.--31. 1. "CODE,ROM injection code" line.long 0xB4 "ROM_INJ,ROM injection code" hexmask.long 0xB4 0.--31. 1. "CODE,ROM injection code" line.long 0xB8 "ROM_INJ,ROM injection code" hexmask.long 0xB8 0.--31. 1. "CODE,ROM injection code" line.long 0xBC "ROM_INJ,ROM injection code" hexmask.long 0xBC 0.--31. 1. "CODE,ROM injection code" line.long 0xC0 "ROM_INJ,ROM injection code" hexmask.long 0xC0 0.--31. 1. "CODE,ROM injection code" line.long 0xC4 "ROM_INJ,ROM injection code" hexmask.long 0xC4 0.--31. 1. "CODE,ROM injection code" line.long 0xC8 "ROM_INJ,ROM injection code" hexmask.long 0xC8 0.--31. 1. "CODE,ROM injection code" line.long 0xCC "ROM_INJ,ROM injection code" hexmask.long 0xCC 0.--31. 1. "CODE,ROM injection code" line.long 0xD0 "ROM_INJ,ROM injection code" hexmask.long 0xD0 0.--31. 1. "CODE,ROM injection code" line.long 0xD4 "ROM_INJ,ROM injection code" hexmask.long 0xD4 0.--31. 1. "CODE,ROM injection code" line.long 0xD8 "ROM_INJ,ROM injection code" hexmask.long 0xD8 0.--31. 1. "CODE,ROM injection code" line.long 0xDC "ROM_INJ,ROM injection code" hexmask.long 0xDC 0.--31. 1. "CODE,ROM injection code" line.long 0xE0 "ROM_INJ,ROM injection code" hexmask.long 0xE0 0.--31. 1. "CODE,ROM injection code" line.long 0xE4 "ROM_INJ,ROM injection code" hexmask.long 0xE4 0.--31. 1. "CODE,ROM injection code" line.long 0xE8 "ROM_INJ,ROM injection code" hexmask.long 0xE8 0.--31. 1. "CODE,ROM injection code" line.long 0xEC "ROM_INJ,ROM injection code" hexmask.long 0xEC 0.--31. 1. "CODE,ROM injection code" line.long 0xF0 "ROM_INJ,ROM injection code" hexmask.long 0xF0 0.--31. 1. "CODE,ROM injection code" line.long 0xF4 "ROM_INJ,ROM injection code" hexmask.long 0xF4 0.--31. 1. "CODE,ROM injection code" line.long 0xF8 "ROM_INJ,ROM injection code" hexmask.long 0xF8 0.--31. 1. "CODE,ROM injection code" line.long 0xFC "ROM_INJ,ROM injection code" hexmask.long 0xFC 0.--31. 1. "CODE,ROM injection code" tree.end elif (CORENAME()=="CORTEXA55") tree "ROMC_SAFETY" base ad:0x30200000 group.long 0x0++0x23 line.long 0x0 "MEM_ERR_INT_STATUS,Memory ECC error Interrupt status" bitfld.long 0x0 2. "RDATA_FATAL,It can report ECC correction logic error and protect rdata internal path." "0,1" bitfld.long 0x0 1. "MUL_ERR,Indicate double bit errors are detected." "0,1" bitfld.long 0x0 0. "SIG_ERR,Indicate single bit error is detected." "0,1" line.long 0x4 "MEM_ERR_INT_STA_EN,Memory ECC error Interrupt status enable" bitfld.long 0x4 2. "RDATA_FATAL_EN,Rdata fatal interrupt status enable" "0,1" bitfld.long 0x4 1. "MUL_ERR_EN,Multiple bit error interrupt status enable." "0,1" bitfld.long 0x4 0. "SIG_ERR_EN,Single bit error interrupt status enable." "0,1" line.long 0x8 "MEM_ERR_INT_SIG_EN,Memory ECC Interrupt signal enable" bitfld.long 0x8 2. "RDATA_FATAL_EN,Rdata fatal interrupt signal enable." "0,1" bitfld.long 0x8 1. "MUL_ERR_EN,Multiple bits errors interrupt signal enable." "0,1" bitfld.long 0x8 0. "SIG_ERR_EN,Single bit error interrupt signal enable." "0,1" line.long 0xC "UNCERR_INT_STA,AXI bus uncorrectable error interrupt status" bitfld.long 0xC 20. "RREADY,Uncorrectable error for RREADY" "0,1" bitfld.long 0xC 5. "ARVALID,Uncorrectable error for ARVALID" "0,1" newline bitfld.long 0xC 3. "ARCTL1,Uncorrectable error for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0xC 1. "ARADDR,Uncorrectable error for ARADDR" "0,1" bitfld.long 0xC 0. "ARID,Uncorrectable error for ARID" "0,1" line.long 0x10 "UNCERR_INT_STA_EN,AXI bus uncorrectable error interrupt status enable" bitfld.long 0x10 20. "RREADY,Statusl enable for RREADY" "0,1" bitfld.long 0x10 5. "ARVALID,Status enable for ARVALID" "0,1" newline bitfld.long 0x10 3. "ARCTL1,Status enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x10 1. "ARADDR,Status enable for ARADDR" "0,1" bitfld.long 0x10 0. "ARID,Status enable for ARID" "0,1" line.long 0x14 "UNCERR_INT_SIG_EN,AXI bus uncorrectable error interrupt signal enable" bitfld.long 0x14 20. "RREADY,Signal enable for RREADY" "0,1" bitfld.long 0x14 5. "ARVALID,Signal enable for ARVALID" "0,1" newline bitfld.long 0x14 3. "ARCTL1,Signal enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x14 1. "ARADDR,Signal enable for ARADDR" "0,1" bitfld.long 0x14 0. "ARID,Signal enable for ARID" "0,1" line.long 0x18 "CORERR_INT_STA,AXI bus correctable error interrupt status" bitfld.long 0x18 0. "ARADDR,Correctable error for ARADDR" "0,1" line.long 0x1C "CORERR_INT_STA_EN,AXI bus correctable error interrupt status enable" bitfld.long 0x1C 0. "ARADDR,Status enable for ARADDR" "0,1" line.long 0x20 "CORERR_INT_SIG_EN,AXI bus correctable error interrupt signal enable" bitfld.long 0x20 0. "ARADDR,Signal enable for ARADDR" "0,1" group.long 0x30++0x7 line.long 0x0 "FWEN,Firewall enable" bitfld.long 0x0 31. "LOCK,Lock FWEM register bit and itself" "0,1" bitfld.long 0x0 0. "FWEN,Firewall enable. Enable master ID check for all the apb access." "0,1" line.long 0x4 "STICKY_REG,Sticky register" bitfld.long 0x4 31. "LOCK_15,Lock lower register bit and itself" "0,1" bitfld.long 0x4 30. "LOCK_14,Lock lower register bit and itself" "0,1" bitfld.long 0x4 29. "LOCK_13,Lock lower register bit and itself" "0,1" bitfld.long 0x4 28. "LOCK_12,Lock lower register bit and itself" "0,1" bitfld.long 0x4 27. "LOCK_11,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 26. "LOCK_10,Lock lower register bit and itself" "0,1" bitfld.long 0x4 25. "LOCK_9,Lock lower register bit and itself" "0,1" bitfld.long 0x4 24. "LOCK_8,Lock lower register bit and itself" "0,1" bitfld.long 0x4 23. "LOCK_7,Lock lower register bit and itself" "0,1" bitfld.long 0x4 22. "LOCK_6,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 21. "LOCK_5,Lock lower register bit and itself" "0,1" bitfld.long 0x4 20. "LOCK_4,Lock lower register bit and itself" "0,1" bitfld.long 0x4 19. "LOCK_3,Lock lower register bit and itself" "0,1" bitfld.long 0x4 18. "LOCK_2,Lock lower register bit and itself" "0,1" bitfld.long 0x4 17. "LOCK_1,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 16. "LOCK_0,Lock lower register bit and itself" "0,1" bitfld.long 0x4 15. "REG_15,Register" "0,1" bitfld.long 0x4 14. "REG_14,Register" "0,1" bitfld.long 0x4 13. "REG_13,Register" "0,1" bitfld.long 0x4 12. "REG_12,Register" "0,1" newline bitfld.long 0x4 11. "REG_11,Register" "0,1" bitfld.long 0x4 10. "REG_10,Register" "0,1" bitfld.long 0x4 9. "REG_9,Register" "0,1" bitfld.long 0x4 8. "REG_8,Register" "0,1" bitfld.long 0x4 7. "REG_7,Register" "0,1" newline bitfld.long 0x4 6. "REG_6,Register" "0,1" bitfld.long 0x4 5. "REG_5,Register" "0,1" bitfld.long 0x4 4. "REG_4,Register" "0,1" bitfld.long 0x4 3. "REG_3,Register" "0,1" bitfld.long 0x4 2. "REG_2,Register" "0,1" newline bitfld.long 0x4 1. "REG_1,Register" "0,1" bitfld.long 0x4 0. "REG_0,Register" "0,1" rgroup.long 0x40++0x27 line.long 0x0 "SIG_ERR_ADDR,Store access address for first single bit error." hexmask.long 0x0 0.--31. 1. "ADDR,Error addr" line.long 0x4 "SIG_ERR_DATA_31_0,Store Error data for first single bit error" hexmask.long 0x4 0.--31. 1. "DATA,Error data" line.long 0x8 "SIG_ERR_DATA_63_32,Store Error data for first single bit error" hexmask.long 0x8 0.--31. 1. "DATA,Error data" line.long 0xC "SIG_ERR_DATA_95_64,Store Error data for first single bit error" hexmask.long 0xC 0.--31. 1. "DATA,Error data" line.long 0x10 "SIG_ERR_DATA_127_96,Store Error data for first single bit error" hexmask.long 0x10 0.--31. 1. "DATA,Error data" line.long 0x14 "MUL_ERR_ADDR,Store access address for multiple bits error." hexmask.long 0x14 0.--31. 1. "ADDR,Error address" line.long 0x18 "MUL_ERR_DATA_31_0,Store Error data for first multiple bits error" hexmask.long 0x18 0.--31. 1. "DATA,Error data" line.long 0x1C "MUL_ERR_DATA_63_32,Store Error data for first multiple bits error" hexmask.long 0x1C 0.--31. 1. "DATA,Error data" line.long 0x20 "MUL_ERR_DATA_95_64,Store Error data for first multiple bits error" hexmask.long 0x20 0.--31. 1. "DATA,Error data" line.long 0x24 "MUL_ERR_DATA_127_96,Store Error data for first multiple bits error" hexmask.long 0x24 0.--31. 1. "DATA,Error data" group.long 0x80++0x3 line.long 0x0 "ROM_DIS,ROM code disable" bitfld.long 0x0 0. "DIS,When this bit is set ROM code read and ROM fix register access are disable. Once this bit is set it is always set unless POR reset." "0,1" group.long 0x100++0x13 line.long 0x0 "RDATA_31_0_INJ,Error injection on rdata" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RDATA_63_32_INJ,Error injection on rdata" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RDATA_95_64_INJ,Error injection on rdata" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RDATA_127_96_INJ,Error injection on rdata" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "ECC_INJ,Error injection on read ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" group.long 0x120++0x13 line.long 0x0 "RD_DATA_31_0_INJ,Error injection on rdata after ECC correction" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RD_DATA_63_32_INJ,Error injection on rdata after ECC correction" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RD_DATA_95_64_INJ,Error injection on rdata after ECC correction" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RD_DATA_127_96_INJ,Error injection on rdata after ECC correction" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "RD_ECC_INJ,Error injection on read ecc code after ECC correction" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" rgroup.long 0x200++0x3 line.long 0x0 "ROM_FIX_NUM,Indicate ROM fix numbers and RTL version" hexmask.long.byte 0x0 24.--31. 1. "MAJOR_VERSION,ROM FIX major version" hexmask.long.byte 0x0 16.--23. 1. "MINOR_VERSION,ROM fix minor version" hexmask.long.byte 0x0 0.--7. 1. "FIX_NUM,Indicate ROM fix numbers" group.long 0x204++0x7 line.long 0x0 "ROM_FIX_CTRL,ROM fix feature enable and ROM fix registers lock" bitfld.long 0x0 1. "LOCK,When this bit is set all the ROM fix registers can not be written." "0,1" bitfld.long 0x0 0. "GLB_EN,enable ROM replace fix feature" "0,1" line.long 0x4 "ROM_FIX_EN,Indicate which ROM fix is enable" hexmask.long 0x4 0.--31. 1. "FIX_EN,Indicate which ROM replace fix is enable. Effective bits depend on ROM_FIX_NUM." group.long 0x210++0x7F line.long 0x0 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x0 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x0 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4 0.--23. 1. "ADDR,Indicate jump address." line.long 0x8 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x8 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x8 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0xC "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0xC 0.--23. 1. "ADDR,Indicate jump address." line.long 0x10 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x10 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x10 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x14 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x14 0.--23. 1. "ADDR,Indicate jump address." line.long 0x18 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x18 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x18 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x1C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x1C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x20 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x20 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x20 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x24 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x24 0.--23. 1. "ADDR,Indicate jump address." line.long 0x28 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x28 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x28 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x2C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x2C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x30 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x30 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x30 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x34 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x34 0.--23. 1. "ADDR,Indicate jump address." line.long 0x38 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x38 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x38 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x3C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x3C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x40 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x40 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x40 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x44 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x44 0.--23. 1. "ADDR,Indicate jump address." line.long 0x48 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x48 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x48 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x50 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x50 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x50 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x54 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x54 0.--23. 1. "ADDR,Indicate jump address." line.long 0x58 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x58 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x58 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x5C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x5C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x60 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x60 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x60 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x64 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x64 0.--23. 1. "ADDR,Indicate jump address." line.long 0x68 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x68 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x68 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x6C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x6C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x70 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x70 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x70 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x74 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x74 0.--23. 1. "ADDR,Indicate jump address." line.long 0x78 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x78 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x78 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x7C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x7C 0.--23. 1. "ADDR,Indicate jump address." group.long 0x400++0xFF line.long 0x0 "ROM_INJ,ROM injection code" hexmask.long 0x0 0.--31. 1. "CODE,ROM injection code" line.long 0x4 "ROM_INJ,ROM injection code" hexmask.long 0x4 0.--31. 1. "CODE,ROM injection code" line.long 0x8 "ROM_INJ,ROM injection code" hexmask.long 0x8 0.--31. 1. "CODE,ROM injection code" line.long 0xC "ROM_INJ,ROM injection code" hexmask.long 0xC 0.--31. 1. "CODE,ROM injection code" line.long 0x10 "ROM_INJ,ROM injection code" hexmask.long 0x10 0.--31. 1. "CODE,ROM injection code" line.long 0x14 "ROM_INJ,ROM injection code" hexmask.long 0x14 0.--31. 1. "CODE,ROM injection code" line.long 0x18 "ROM_INJ,ROM injection code" hexmask.long 0x18 0.--31. 1. "CODE,ROM injection code" line.long 0x1C "ROM_INJ,ROM injection code" hexmask.long 0x1C 0.--31. 1. "CODE,ROM injection code" line.long 0x20 "ROM_INJ,ROM injection code" hexmask.long 0x20 0.--31. 1. "CODE,ROM injection code" line.long 0x24 "ROM_INJ,ROM injection code" hexmask.long 0x24 0.--31. 1. "CODE,ROM injection code" line.long 0x28 "ROM_INJ,ROM injection code" hexmask.long 0x28 0.--31. 1. "CODE,ROM injection code" line.long 0x2C "ROM_INJ,ROM injection code" hexmask.long 0x2C 0.--31. 1. "CODE,ROM injection code" line.long 0x30 "ROM_INJ,ROM injection code" hexmask.long 0x30 0.--31. 1. "CODE,ROM injection code" line.long 0x34 "ROM_INJ,ROM injection code" hexmask.long 0x34 0.--31. 1. "CODE,ROM injection code" line.long 0x38 "ROM_INJ,ROM injection code" hexmask.long 0x38 0.--31. 1. "CODE,ROM injection code" line.long 0x3C "ROM_INJ,ROM injection code" hexmask.long 0x3C 0.--31. 1. "CODE,ROM injection code" line.long 0x40 "ROM_INJ,ROM injection code" hexmask.long 0x40 0.--31. 1. "CODE,ROM injection code" line.long 0x44 "ROM_INJ,ROM injection code" hexmask.long 0x44 0.--31. 1. "CODE,ROM injection code" line.long 0x48 "ROM_INJ,ROM injection code" hexmask.long 0x48 0.--31. 1. "CODE,ROM injection code" line.long 0x4C "ROM_INJ,ROM injection code" hexmask.long 0x4C 0.--31. 1. "CODE,ROM injection code" line.long 0x50 "ROM_INJ,ROM injection code" hexmask.long 0x50 0.--31. 1. "CODE,ROM injection code" line.long 0x54 "ROM_INJ,ROM injection code" hexmask.long 0x54 0.--31. 1. "CODE,ROM injection code" line.long 0x58 "ROM_INJ,ROM injection code" hexmask.long 0x58 0.--31. 1. "CODE,ROM injection code" line.long 0x5C "ROM_INJ,ROM injection code" hexmask.long 0x5C 0.--31. 1. "CODE,ROM injection code" line.long 0x60 "ROM_INJ,ROM injection code" hexmask.long 0x60 0.--31. 1. "CODE,ROM injection code" line.long 0x64 "ROM_INJ,ROM injection code" hexmask.long 0x64 0.--31. 1. "CODE,ROM injection code" line.long 0x68 "ROM_INJ,ROM injection code" hexmask.long 0x68 0.--31. 1. "CODE,ROM injection code" line.long 0x6C "ROM_INJ,ROM injection code" hexmask.long 0x6C 0.--31. 1. "CODE,ROM injection code" line.long 0x70 "ROM_INJ,ROM injection code" hexmask.long 0x70 0.--31. 1. "CODE,ROM injection code" line.long 0x74 "ROM_INJ,ROM injection code" hexmask.long 0x74 0.--31. 1. "CODE,ROM injection code" line.long 0x78 "ROM_INJ,ROM injection code" hexmask.long 0x78 0.--31. 1. "CODE,ROM injection code" line.long 0x7C "ROM_INJ,ROM injection code" hexmask.long 0x7C 0.--31. 1. "CODE,ROM injection code" line.long 0x80 "ROM_INJ,ROM injection code" hexmask.long 0x80 0.--31. 1. "CODE,ROM injection code" line.long 0x84 "ROM_INJ,ROM injection code" hexmask.long 0x84 0.--31. 1. "CODE,ROM injection code" line.long 0x88 "ROM_INJ,ROM injection code" hexmask.long 0x88 0.--31. 1. "CODE,ROM injection code" line.long 0x8C "ROM_INJ,ROM injection code" hexmask.long 0x8C 0.--31. 1. "CODE,ROM injection code" line.long 0x90 "ROM_INJ,ROM injection code" hexmask.long 0x90 0.--31. 1. "CODE,ROM injection code" line.long 0x94 "ROM_INJ,ROM injection code" hexmask.long 0x94 0.--31. 1. "CODE,ROM injection code" line.long 0x98 "ROM_INJ,ROM injection code" hexmask.long 0x98 0.--31. 1. "CODE,ROM injection code" line.long 0x9C "ROM_INJ,ROM injection code" hexmask.long 0x9C 0.--31. 1. "CODE,ROM injection code" line.long 0xA0 "ROM_INJ,ROM injection code" hexmask.long 0xA0 0.--31. 1. "CODE,ROM injection code" line.long 0xA4 "ROM_INJ,ROM injection code" hexmask.long 0xA4 0.--31. 1. "CODE,ROM injection code" line.long 0xA8 "ROM_INJ,ROM injection code" hexmask.long 0xA8 0.--31. 1. "CODE,ROM injection code" line.long 0xAC "ROM_INJ,ROM injection code" hexmask.long 0xAC 0.--31. 1. "CODE,ROM injection code" line.long 0xB0 "ROM_INJ,ROM injection code" hexmask.long 0xB0 0.--31. 1. "CODE,ROM injection code" line.long 0xB4 "ROM_INJ,ROM injection code" hexmask.long 0xB4 0.--31. 1. "CODE,ROM injection code" line.long 0xB8 "ROM_INJ,ROM injection code" hexmask.long 0xB8 0.--31. 1. "CODE,ROM injection code" line.long 0xBC "ROM_INJ,ROM injection code" hexmask.long 0xBC 0.--31. 1. "CODE,ROM injection code" line.long 0xC0 "ROM_INJ,ROM injection code" hexmask.long 0xC0 0.--31. 1. "CODE,ROM injection code" line.long 0xC4 "ROM_INJ,ROM injection code" hexmask.long 0xC4 0.--31. 1. "CODE,ROM injection code" line.long 0xC8 "ROM_INJ,ROM injection code" hexmask.long 0xC8 0.--31. 1. "CODE,ROM injection code" line.long 0xCC "ROM_INJ,ROM injection code" hexmask.long 0xCC 0.--31. 1. "CODE,ROM injection code" line.long 0xD0 "ROM_INJ,ROM injection code" hexmask.long 0xD0 0.--31. 1. "CODE,ROM injection code" line.long 0xD4 "ROM_INJ,ROM injection code" hexmask.long 0xD4 0.--31. 1. "CODE,ROM injection code" line.long 0xD8 "ROM_INJ,ROM injection code" hexmask.long 0xD8 0.--31. 1. "CODE,ROM injection code" line.long 0xDC "ROM_INJ,ROM injection code" hexmask.long 0xDC 0.--31. 1. "CODE,ROM injection code" line.long 0xE0 "ROM_INJ,ROM injection code" hexmask.long 0xE0 0.--31. 1. "CODE,ROM injection code" line.long 0xE4 "ROM_INJ,ROM injection code" hexmask.long 0xE4 0.--31. 1. "CODE,ROM injection code" line.long 0xE8 "ROM_INJ,ROM injection code" hexmask.long 0xE8 0.--31. 1. "CODE,ROM injection code" line.long 0xEC "ROM_INJ,ROM injection code" hexmask.long 0xEC 0.--31. 1. "CODE,ROM injection code" line.long 0xF0 "ROM_INJ,ROM injection code" hexmask.long 0xF0 0.--31. 1. "CODE,ROM injection code" line.long 0xF4 "ROM_INJ,ROM injection code" hexmask.long 0xF4 0.--31. 1. "CODE,ROM injection code" line.long 0xF8 "ROM_INJ,ROM injection code" hexmask.long 0xF8 0.--31. 1. "CODE,ROM injection code" line.long 0xFC "ROM_INJ,ROM injection code" hexmask.long 0xFC 0.--31. 1. "CODE,ROM injection code" tree.end tree "ROMC_AP" base ad:0x306F0000 group.long 0x0++0x23 line.long 0x0 "MEM_ERR_INT_STATUS,Memory ECC error Interrupt status" bitfld.long 0x0 2. "RDATA_FATAL,It can report ECC correction logic error and protect rdata internal path." "0,1" bitfld.long 0x0 1. "MUL_ERR,Indicate double bit errors are detected." "0,1" bitfld.long 0x0 0. "SIG_ERR,Indicate single bit error is detected." "0,1" line.long 0x4 "MEM_ERR_INT_STA_EN,Memory ECC error Interrupt status enable" bitfld.long 0x4 2. "RDATA_FATAL_EN,Rdata fatal interrupt status enable" "0,1" bitfld.long 0x4 1. "MUL_ERR_EN,Multiple bit error interrupt status enable." "0,1" bitfld.long 0x4 0. "SIG_ERR_EN,Single bit error interrupt status enable." "0,1" line.long 0x8 "MEM_ERR_INT_SIG_EN,Memory ECC Interrupt signal enable" bitfld.long 0x8 2. "RDATA_FATAL_EN,Rdata fatal interrupt signal enable." "0,1" bitfld.long 0x8 1. "MUL_ERR_EN,Multiple bits errors interrupt signal enable." "0,1" bitfld.long 0x8 0. "SIG_ERR_EN,Single bit error interrupt signal enable." "0,1" line.long 0xC "UNCERR_INT_STA,AXI bus uncorrectable error interrupt status" bitfld.long 0xC 20. "RREADY,Uncorrectable error for RREADY" "0,1" bitfld.long 0xC 5. "ARVALID,Uncorrectable error for ARVALID" "0,1" newline bitfld.long 0xC 3. "ARCTL1,Uncorrectable error for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0xC 1. "ARADDR,Uncorrectable error for ARADDR" "0,1" bitfld.long 0xC 0. "ARID,Uncorrectable error for ARID" "0,1" line.long 0x10 "UNCERR_INT_STA_EN,AXI bus uncorrectable error interrupt status enable" bitfld.long 0x10 20. "RREADY,Statusl enable for RREADY" "0,1" bitfld.long 0x10 5. "ARVALID,Status enable for ARVALID" "0,1" newline bitfld.long 0x10 3. "ARCTL1,Status enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x10 1. "ARADDR,Status enable for ARADDR" "0,1" bitfld.long 0x10 0. "ARID,Status enable for ARID" "0,1" line.long 0x14 "UNCERR_INT_SIG_EN,AXI bus uncorrectable error interrupt signal enable" bitfld.long 0x14 20. "RREADY,Signal enable for RREADY" "0,1" bitfld.long 0x14 5. "ARVALID,Signal enable for ARVALID" "0,1" newline bitfld.long 0x14 3. "ARCTL1,Signal enable for ARCTL1(ARLOCK ARBURST ARSIZE ARLEN)" "0,1" bitfld.long 0x14 1. "ARADDR,Signal enable for ARADDR" "0,1" bitfld.long 0x14 0. "ARID,Signal enable for ARID" "0,1" line.long 0x18 "CORERR_INT_STA,AXI bus correctable error interrupt status" bitfld.long 0x18 0. "ARADDR,Correctable error for ARADDR" "0,1" line.long 0x1C "CORERR_INT_STA_EN,AXI bus correctable error interrupt status enable" bitfld.long 0x1C 0. "ARADDR,Status enable for ARADDR" "0,1" line.long 0x20 "CORERR_INT_SIG_EN,AXI bus correctable error interrupt signal enable" bitfld.long 0x20 0. "ARADDR,Signal enable for ARADDR" "0,1" group.long 0x30++0x7 line.long 0x0 "FWEN,Firewall enable" bitfld.long 0x0 31. "LOCK,Lock FWEM register bit and itself" "0,1" bitfld.long 0x0 0. "FWEN,Firewall enable. Enable master ID check for all the apb access." "0,1" line.long 0x4 "STICKY_REG,Sticky register" bitfld.long 0x4 31. "LOCK_15,Lock lower register bit and itself" "0,1" bitfld.long 0x4 30. "LOCK_14,Lock lower register bit and itself" "0,1" bitfld.long 0x4 29. "LOCK_13,Lock lower register bit and itself" "0,1" bitfld.long 0x4 28. "LOCK_12,Lock lower register bit and itself" "0,1" bitfld.long 0x4 27. "LOCK_11,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 26. "LOCK_10,Lock lower register bit and itself" "0,1" bitfld.long 0x4 25. "LOCK_9,Lock lower register bit and itself" "0,1" bitfld.long 0x4 24. "LOCK_8,Lock lower register bit and itself" "0,1" bitfld.long 0x4 23. "LOCK_7,Lock lower register bit and itself" "0,1" bitfld.long 0x4 22. "LOCK_6,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 21. "LOCK_5,Lock lower register bit and itself" "0,1" bitfld.long 0x4 20. "LOCK_4,Lock lower register bit and itself" "0,1" bitfld.long 0x4 19. "LOCK_3,Lock lower register bit and itself" "0,1" bitfld.long 0x4 18. "LOCK_2,Lock lower register bit and itself" "0,1" bitfld.long 0x4 17. "LOCK_1,Lock lower register bit and itself" "0,1" newline bitfld.long 0x4 16. "LOCK_0,Lock lower register bit and itself" "0,1" bitfld.long 0x4 15. "REG_15,Register" "0,1" bitfld.long 0x4 14. "REG_14,Register" "0,1" bitfld.long 0x4 13. "REG_13,Register" "0,1" bitfld.long 0x4 12. "REG_12,Register" "0,1" newline bitfld.long 0x4 11. "REG_11,Register" "0,1" bitfld.long 0x4 10. "REG_10,Register" "0,1" bitfld.long 0x4 9. "REG_9,Register" "0,1" bitfld.long 0x4 8. "REG_8,Register" "0,1" bitfld.long 0x4 7. "REG_7,Register" "0,1" newline bitfld.long 0x4 6. "REG_6,Register" "0,1" bitfld.long 0x4 5. "REG_5,Register" "0,1" bitfld.long 0x4 4. "REG_4,Register" "0,1" bitfld.long 0x4 3. "REG_3,Register" "0,1" bitfld.long 0x4 2. "REG_2,Register" "0,1" newline bitfld.long 0x4 1. "REG_1,Register" "0,1" bitfld.long 0x4 0. "REG_0,Register" "0,1" rgroup.long 0x40++0x27 line.long 0x0 "SIG_ERR_ADDR,Store access address for first single bit error." hexmask.long 0x0 0.--31. 1. "ADDR,Error addr" line.long 0x4 "SIG_ERR_DATA_31_0,Store Error data for first single bit error" hexmask.long 0x4 0.--31. 1. "DATA,Error data" line.long 0x8 "SIG_ERR_DATA_63_32,Store Error data for first single bit error" hexmask.long 0x8 0.--31. 1. "DATA,Error data" line.long 0xC "SIG_ERR_DATA_95_64,Store Error data for first single bit error" hexmask.long 0xC 0.--31. 1. "DATA,Error data" line.long 0x10 "SIG_ERR_DATA_127_96,Store Error data for first single bit error" hexmask.long 0x10 0.--31. 1. "DATA,Error data" line.long 0x14 "MUL_ERR_ADDR,Store access address for multiple bits error." hexmask.long 0x14 0.--31. 1. "ADDR,Error address" line.long 0x18 "MUL_ERR_DATA_31_0,Store Error data for first multiple bits error" hexmask.long 0x18 0.--31. 1. "DATA,Error data" line.long 0x1C "MUL_ERR_DATA_63_32,Store Error data for first multiple bits error" hexmask.long 0x1C 0.--31. 1. "DATA,Error data" line.long 0x20 "MUL_ERR_DATA_95_64,Store Error data for first multiple bits error" hexmask.long 0x20 0.--31. 1. "DATA,Error data" line.long 0x24 "MUL_ERR_DATA_127_96,Store Error data for first multiple bits error" hexmask.long 0x24 0.--31. 1. "DATA,Error data" group.long 0x80++0x3 line.long 0x0 "ROM_DIS,ROM code disable" bitfld.long 0x0 0. "DIS,When this bit is set ROM code read and ROM fix register access are disable. Once this bit is set it is always set unless POR reset." "0,1" group.long 0x100++0x13 line.long 0x0 "RDATA_31_0_INJ,Error injection on rdata" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RDATA_63_32_INJ,Error injection on rdata" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RDATA_95_64_INJ,Error injection on rdata" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RDATA_127_96_INJ,Error injection on rdata" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "ECC_INJ,Error injection on read ecc code" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" group.long 0x120++0x13 line.long 0x0 "RD_DATA_31_0_INJ,Error injection on rdata after ECC correction" hexmask.long 0x0 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x4 "RD_DATA_63_32_INJ,Error injection on rdata after ECC correction" hexmask.long 0x4 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x8 "RD_DATA_95_64_INJ,Error injection on rdata after ECC correction" hexmask.long 0x8 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0xC "RD_DATA_127_96_INJ,Error injection on rdata after ECC correction" hexmask.long 0xC 0.--31. 1. "RDATA_INJ,Error injection on rdata" line.long 0x10 "RD_ECC_INJ,Error injection on read ecc code after ECC correction" hexmask.long.byte 0x10 8.--15. 1. "ECC_INJ1,Error injection on 8 ecc code for high 64 bits wdata" hexmask.long.byte 0x10 0.--7. 1. "ECC_INJ0,Error injection on 8 ecc code for low 64 bits wdata" rgroup.long 0x200++0x3 line.long 0x0 "ROM_FIX_NUM,Indicate ROM fix numbers and RTL version" hexmask.long.byte 0x0 24.--31. 1. "MAJOR_VERSION,ROM FIX major version" hexmask.long.byte 0x0 16.--23. 1. "MINOR_VERSION,ROM fix minor version" hexmask.long.byte 0x0 0.--7. 1. "FIX_NUM,Indicate ROM fix numbers" group.long 0x204++0x7 line.long 0x0 "ROM_FIX_CTRL,ROM fix feature enable and ROM fix registers lock" bitfld.long 0x0 1. "LOCK,When this bit is set all the ROM fix registers can not be written." "0,1" bitfld.long 0x0 0. "GLB_EN,enable ROM replace fix feature" "0,1" line.long 0x4 "ROM_FIX_EN,Indicate which ROM fix is enable" hexmask.long 0x4 0.--31. 1. "FIX_EN,Indicate which ROM replace fix is enable. Effective bits depend on ROM_FIX_NUM." group.long 0x210++0x7F line.long 0x0 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x0 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x0 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4 0.--23. 1. "ADDR,Indicate jump address." line.long 0x8 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x8 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x8 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0xC "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0xC 0.--23. 1. "ADDR,Indicate jump address." line.long 0x10 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x10 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x10 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x14 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x14 0.--23. 1. "ADDR,Indicate jump address." line.long 0x18 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x18 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x18 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x1C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x1C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x20 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x20 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x20 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x24 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x24 0.--23. 1. "ADDR,Indicate jump address." line.long 0x28 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x28 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x28 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x2C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x2C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x30 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x30 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x30 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x34 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x34 0.--23. 1. "ADDR,Indicate jump address." line.long 0x38 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x38 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x38 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x3C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x3C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x40 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x40 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x40 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x44 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x44 0.--23. 1. "ADDR,Indicate jump address." line.long 0x48 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x48 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x48 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x4C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x4C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x50 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x50 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x50 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x54 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x54 0.--23. 1. "ADDR,Indicate jump address." line.long 0x58 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x58 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x58 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x5C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x5C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x60 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x60 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x60 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x64 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x64 0.--23. 1. "ADDR,Indicate jump address." line.long 0x68 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x68 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x68 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x6C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x6C 0.--23. 1. "ADDR,Indicate jump address." line.long 0x70 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x70 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x70 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x74 "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x74 0.--23. 1. "ADDR,Indicate jump address." line.long 0x78 "ROM_FIX_ADDR,Indicate ROM FIX address and jump instruction type." bitfld.long 0x78 28.--29. "JUMP_TYPE,Jump type. 0 - Jump fix thumb code; 1 - Jump fix ARM code; 2 - Jump fix AARCH64 code" "0,1,2,3" hexmask.long 0x78 0.--27. 1. "FIX_ADDR,Indicate ROM replace FIX address. Effective address depends on ROM size." line.long 0x7C "JUMP_ADDR,Indicate jump address." hexmask.long.tbyte 0x7C 0.--23. 1. "ADDR,Indicate jump address." group.long 0x400++0xFF line.long 0x0 "ROM_INJ,ROM injection code" hexmask.long 0x0 0.--31. 1. "CODE,ROM injection code" line.long 0x4 "ROM_INJ,ROM injection code" hexmask.long 0x4 0.--31. 1. "CODE,ROM injection code" line.long 0x8 "ROM_INJ,ROM injection code" hexmask.long 0x8 0.--31. 1. "CODE,ROM injection code" line.long 0xC "ROM_INJ,ROM injection code" hexmask.long 0xC 0.--31. 1. "CODE,ROM injection code" line.long 0x10 "ROM_INJ,ROM injection code" hexmask.long 0x10 0.--31. 1. "CODE,ROM injection code" line.long 0x14 "ROM_INJ,ROM injection code" hexmask.long 0x14 0.--31. 1. "CODE,ROM injection code" line.long 0x18 "ROM_INJ,ROM injection code" hexmask.long 0x18 0.--31. 1. "CODE,ROM injection code" line.long 0x1C "ROM_INJ,ROM injection code" hexmask.long 0x1C 0.--31. 1. "CODE,ROM injection code" line.long 0x20 "ROM_INJ,ROM injection code" hexmask.long 0x20 0.--31. 1. "CODE,ROM injection code" line.long 0x24 "ROM_INJ,ROM injection code" hexmask.long 0x24 0.--31. 1. "CODE,ROM injection code" line.long 0x28 "ROM_INJ,ROM injection code" hexmask.long 0x28 0.--31. 1. "CODE,ROM injection code" line.long 0x2C "ROM_INJ,ROM injection code" hexmask.long 0x2C 0.--31. 1. "CODE,ROM injection code" line.long 0x30 "ROM_INJ,ROM injection code" hexmask.long 0x30 0.--31. 1. "CODE,ROM injection code" line.long 0x34 "ROM_INJ,ROM injection code" hexmask.long 0x34 0.--31. 1. "CODE,ROM injection code" line.long 0x38 "ROM_INJ,ROM injection code" hexmask.long 0x38 0.--31. 1. "CODE,ROM injection code" line.long 0x3C "ROM_INJ,ROM injection code" hexmask.long 0x3C 0.--31. 1. "CODE,ROM injection code" line.long 0x40 "ROM_INJ,ROM injection code" hexmask.long 0x40 0.--31. 1. "CODE,ROM injection code" line.long 0x44 "ROM_INJ,ROM injection code" hexmask.long 0x44 0.--31. 1. "CODE,ROM injection code" line.long 0x48 "ROM_INJ,ROM injection code" hexmask.long 0x48 0.--31. 1. "CODE,ROM injection code" line.long 0x4C "ROM_INJ,ROM injection code" hexmask.long 0x4C 0.--31. 1. "CODE,ROM injection code" line.long 0x50 "ROM_INJ,ROM injection code" hexmask.long 0x50 0.--31. 1. "CODE,ROM injection code" line.long 0x54 "ROM_INJ,ROM injection code" hexmask.long 0x54 0.--31. 1. "CODE,ROM injection code" line.long 0x58 "ROM_INJ,ROM injection code" hexmask.long 0x58 0.--31. 1. "CODE,ROM injection code" line.long 0x5C "ROM_INJ,ROM injection code" hexmask.long 0x5C 0.--31. 1. "CODE,ROM injection code" line.long 0x60 "ROM_INJ,ROM injection code" hexmask.long 0x60 0.--31. 1. "CODE,ROM injection code" line.long 0x64 "ROM_INJ,ROM injection code" hexmask.long 0x64 0.--31. 1. "CODE,ROM injection code" line.long 0x68 "ROM_INJ,ROM injection code" hexmask.long 0x68 0.--31. 1. "CODE,ROM injection code" line.long 0x6C "ROM_INJ,ROM injection code" hexmask.long 0x6C 0.--31. 1. "CODE,ROM injection code" line.long 0x70 "ROM_INJ,ROM injection code" hexmask.long 0x70 0.--31. 1. "CODE,ROM injection code" line.long 0x74 "ROM_INJ,ROM injection code" hexmask.long 0x74 0.--31. 1. "CODE,ROM injection code" line.long 0x78 "ROM_INJ,ROM injection code" hexmask.long 0x78 0.--31. 1. "CODE,ROM injection code" line.long 0x7C "ROM_INJ,ROM injection code" hexmask.long 0x7C 0.--31. 1. "CODE,ROM injection code" line.long 0x80 "ROM_INJ,ROM injection code" hexmask.long 0x80 0.--31. 1. "CODE,ROM injection code" line.long 0x84 "ROM_INJ,ROM injection code" hexmask.long 0x84 0.--31. 1. "CODE,ROM injection code" line.long 0x88 "ROM_INJ,ROM injection code" hexmask.long 0x88 0.--31. 1. "CODE,ROM injection code" line.long 0x8C "ROM_INJ,ROM injection code" hexmask.long 0x8C 0.--31. 1. "CODE,ROM injection code" line.long 0x90 "ROM_INJ,ROM injection code" hexmask.long 0x90 0.--31. 1. "CODE,ROM injection code" line.long 0x94 "ROM_INJ,ROM injection code" hexmask.long 0x94 0.--31. 1. "CODE,ROM injection code" line.long 0x98 "ROM_INJ,ROM injection code" hexmask.long 0x98 0.--31. 1. "CODE,ROM injection code" line.long 0x9C "ROM_INJ,ROM injection code" hexmask.long 0x9C 0.--31. 1. "CODE,ROM injection code" line.long 0xA0 "ROM_INJ,ROM injection code" hexmask.long 0xA0 0.--31. 1. "CODE,ROM injection code" line.long 0xA4 "ROM_INJ,ROM injection code" hexmask.long 0xA4 0.--31. 1. "CODE,ROM injection code" line.long 0xA8 "ROM_INJ,ROM injection code" hexmask.long 0xA8 0.--31. 1. "CODE,ROM injection code" line.long 0xAC "ROM_INJ,ROM injection code" hexmask.long 0xAC 0.--31. 1. "CODE,ROM injection code" line.long 0xB0 "ROM_INJ,ROM injection code" hexmask.long 0xB0 0.--31. 1. "CODE,ROM injection code" line.long 0xB4 "ROM_INJ,ROM injection code" hexmask.long 0xB4 0.--31. 1. "CODE,ROM injection code" line.long 0xB8 "ROM_INJ,ROM injection code" hexmask.long 0xB8 0.--31. 1. "CODE,ROM injection code" line.long 0xBC "ROM_INJ,ROM injection code" hexmask.long 0xBC 0.--31. 1. "CODE,ROM injection code" line.long 0xC0 "ROM_INJ,ROM injection code" hexmask.long 0xC0 0.--31. 1. "CODE,ROM injection code" line.long 0xC4 "ROM_INJ,ROM injection code" hexmask.long 0xC4 0.--31. 1. "CODE,ROM injection code" line.long 0xC8 "ROM_INJ,ROM injection code" hexmask.long 0xC8 0.--31. 1. "CODE,ROM injection code" line.long 0xCC "ROM_INJ,ROM injection code" hexmask.long 0xCC 0.--31. 1. "CODE,ROM injection code" line.long 0xD0 "ROM_INJ,ROM injection code" hexmask.long 0xD0 0.--31. 1. "CODE,ROM injection code" line.long 0xD4 "ROM_INJ,ROM injection code" hexmask.long 0xD4 0.--31. 1. "CODE,ROM injection code" line.long 0xD8 "ROM_INJ,ROM injection code" hexmask.long 0xD8 0.--31. 1. "CODE,ROM injection code" line.long 0xDC "ROM_INJ,ROM injection code" hexmask.long 0xDC 0.--31. 1. "CODE,ROM injection code" line.long 0xE0 "ROM_INJ,ROM injection code" hexmask.long 0xE0 0.--31. 1. "CODE,ROM injection code" line.long 0xE4 "ROM_INJ,ROM injection code" hexmask.long 0xE4 0.--31. 1. "CODE,ROM injection code" line.long 0xE8 "ROM_INJ,ROM injection code" hexmask.long 0xE8 0.--31. 1. "CODE,ROM injection code" line.long 0xEC "ROM_INJ,ROM injection code" hexmask.long 0xEC 0.--31. 1. "CODE,ROM injection code" line.long 0xF0 "ROM_INJ,ROM injection code" hexmask.long 0xF0 0.--31. 1. "CODE,ROM injection code" line.long 0xF4 "ROM_INJ,ROM injection code" hexmask.long 0xF4 0.--31. 1. "CODE,ROM injection code" line.long 0xF8 "ROM_INJ,ROM injection code" hexmask.long 0xF8 0.--31. 1. "CODE,ROM injection code" line.long 0xFC "ROM_INJ,ROM injection code" hexmask.long 0xFC 0.--31. 1. "CODE,ROM injection code" tree.end endif tree.end tree "RPC (Register Access Permission Controller)" sif (CORENAME()=="CORTEXR5F") tree "RPC_XPU_CLKGEN" base ad:0xF6800000 repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end group.long 0x2000++0x17 line.long 0x0 "GLB_CTL,This register provides global enable settings for permission control." bitfld.long 0x0 5. "DOM_CFG_LOCK,Lock write for DOM_CFG_MODE bit." "0,1" bitfld.long 0x0 4. "DOM_CFG_MODE,When enabled all the source setting can be configured by master from domain 0 if it is not locked. When disabled only resource manager can configure the permission of the resource." "0,1" bitfld.long 0x0 3. "PERCK_DIS_LOCK,Lock write for PERCK_DIS" "0,1" bitfld.long 0x0 2. "PERCK_DIS,All the permission check disable." "0,1" newline bitfld.long 0x0 1. "DOM_PRO_LOCK,Lock write for DOM_PRO_EN bit." "0,1" bitfld.long 0x0 0. "DOM_PRO_EN,When disabled no domain access permission check" "0,1" line.long 0x4 "RES_MGR,Resource manager register. This regster is used to assign resource manager for global resource access control" bitfld.long 0x4 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x4 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x4 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x4 13.--14. "PRI_PER,Resource manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x4 12. "PRI_PER_EN,Resource manager privileged access permission enable." "0,1" bitfld.long 0x4 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x4 9.--10. "SEC_PER,Resource manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x4 8. "SEC_PER_EN,Resource manager secure permission enable" "0,1" bitfld.long 0x4 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "DID,Resource manager domain ID" bitfld.long 0x4 2. "DID_EN,Resource manager Domain ID enable" "0,1" bitfld.long 0x4 1. "RES_MGR_EN_LOCK,Lock for RES_MGR_EN" "0,1" bitfld.long 0x4 0. "RES_MGR_EN,Resource manager enable. When the bit is disable there is no resource manager." "0,1" line.long 0x8 "RES_MGR_MA0,This register is used to assign master 0~31 as resource manager." hexmask.long 0x8 0.--31. 1. "MID,Assign master 0~31 for resource manager" line.long 0xC "RES_MGR_MA1,This register is used to assign master 32~63 as resource manager." hexmask.long 0xC 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." line.long 0x10 "RES_MGR_MA2,This register is used to assign master 64~95 as resource manager." hexmask.long 0x10 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." line.long 0x14 "RES_MGR_MA3,This register is used to assign master 96~127 as resource manager." hexmask.long 0x14 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2100)++0x3 line.long 0x0 "GRP_MGR_$1,Group Manager Register. This register is used to assign group manager for each domain" bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Group manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x0 8. "SEC_PER_EN,Group manager secure permission enable" "0,1" bitfld.long 0x0 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "DID,Group manager domain ID" bitfld.long 0x0 2. "DID_EN,Group manager Domain ID enable" "0,1" bitfld.long 0x0 1. "GRP_MGR_EN_LOCK,Lock write for GPR_MGR_EN" "0,1" bitfld.long 0x0 0. "GRP_MGR_EN,Group manager enable. When the bit is disable there is no resource manager." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2104)++0x3 line.long 0x0 "GRP_MGR_MA0_$1,Assign master 0~31 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2108)++0x3 line.long 0x0 "GRP_MGR_MA1_$1,Assign master 32~63 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x210C)++0x3 line.long 0x0 "GRP_MGR_MA2_$1,Assign master 64~95 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2110)++0x3 line.long 0x0 "GRP_MGR_MA3_$1,Assign master 96~127 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x2200++0x3 line.long 0x0 "DOM_GID_0,Indicate which group domain 0 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2204)++0x3 line.long 0x0 "DOM_OWN_$1,Assign owner for function domain 0." bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Domain owner secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x0 8. "SEC_PER_EN,Domain owner secure permission enable" "0,1" newline bitfld.long 0x0 1. "DOM_OWN_EN_LOCK,Lock for DOM_OWN_EN" "0,1" bitfld.long 0x0 0. "DOM_OWN_EN,Domain owner enable. When the bit is disable there is no domain owner." "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2208)++0x3 line.long 0x0 "DOM_OWN_MA0_$1,Assign master 0~31 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x220C)++0x3 line.long 0x0 "DOM_OWN_MA1_$1,Assign master 32~63 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2210)++0x3 line.long 0x0 "DOM_OWN_MA2_$1,Assign master 64~95 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2214)++0x3 line.long 0x0 "DOM_OWN_MA3_$1,Assign master 96~127 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x2218++0x3 line.long 0x0 "DOM_GID_1,Indicate which group domain 1 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2230++0x3 line.long 0x0 "DOM_GID_2,Indicate which group domain 2 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2248++0x3 line.long 0x0 "DOM_GID_3,Indicate which group domain 3 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2260++0x3 line.long 0x0 "DOM_GID_4,Indicate which group domain 4 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2278++0x3 line.long 0x0 "DOM_GID_5,Indicate which group domain 5 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2290++0x3 line.long 0x0 "DOM_GID_6,Indicate which group domain 6 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22A8++0x3 line.long 0x0 "DOM_GID_7,Indicate which group domain 7 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22C0++0x3 line.long 0x0 "DOM_GID_8,Indicate which group domain 8 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22D8++0x3 line.long 0x0 "DOM_GID_9,Indicate which group domain 9 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22F0++0x3 line.long 0x0 "DOM_GID_10,Indicate which group domain 10 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2308++0x3 line.long 0x0 "DOM_GID_11,Indicate which group domain 11 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2320++0x3 line.long 0x0 "DOM_GID_12,Indicate which group domain 12 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2338++0x3 line.long 0x0 "DOM_GID_13,Indicate which group domain 13 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2350++0x3 line.long 0x0 "DOM_GID_14,Indicate which group domain 14 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2368++0x3 line.long 0x0 "DOM_GID_15,Indicate which group domain 15 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3100)++0x3 line.long 0x0 "RGN_DOM_$1,Assign domain for peripheral. It can be only configured by resource and group manager" bitfld.long 0x0 31. "LOCK,When this bit is set lock write for all the bits in the register." "0,1" bitfld.long 0x0 4. "SET,Set together with DID would change permission of this resource to following setting: 1) Domain access control enabled. 2) Read/Write allowed for the domain owner. 3) Read/Write not allowed for all the other domains. If this bit is not set only DID.." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Assign domain for peripheral" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3104)++0x3 line.long 0x0 "RGN_DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3108)++0x3 line.long 0x0 "RGN_DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x310C)++0x3 line.long 0x0 "RGN_SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3110)++0x3 line.long 0x0 "RGN_SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3114)++0x3 line.long 0x0 "RGN_SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3118)++0x3 line.long 0x0 "RGN_SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x311C)++0x3 line.long 0x0 "RGN_PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3120)++0x3 line.long 0x0 "RGN_PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3124)++0x3 line.long 0x0 "RGN_PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3128)++0x3 line.long 0x0 "RGN_PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x312C)++0x3 line.long 0x0 "RGN_DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3130)++0x3 line.long 0x0 "RGN_DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3134)++0x3 line.long 0x0 "RGN_DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end tree.end tree "RPC_DISP_CLKGEN" base ad:0xF6A00000 repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end group.long 0x2000++0x17 line.long 0x0 "GLB_CTL,This register provides global enable settings for permission control." bitfld.long 0x0 5. "DOM_CFG_LOCK,Lock write for DOM_CFG_MODE bit." "0,1" bitfld.long 0x0 4. "DOM_CFG_MODE,When enabled all the source setting can be configured by master from domain 0 if it is not locked. When disabled only resource manager can configure the permission of the resource." "0,1" bitfld.long 0x0 3. "PERCK_DIS_LOCK,Lock write for PERCK_DIS" "0,1" bitfld.long 0x0 2. "PERCK_DIS,All the permission check disable." "0,1" newline bitfld.long 0x0 1. "DOM_PRO_LOCK,Lock write for DOM_PRO_EN bit." "0,1" bitfld.long 0x0 0. "DOM_PRO_EN,When disabled no domain access permission check" "0,1" line.long 0x4 "RES_MGR,Resource manager register. This regster is used to assign resource manager for global resource access control" bitfld.long 0x4 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x4 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x4 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x4 13.--14. "PRI_PER,Resource manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x4 12. "PRI_PER_EN,Resource manager privileged access permission enable." "0,1" bitfld.long 0x4 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x4 9.--10. "SEC_PER,Resource manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x4 8. "SEC_PER_EN,Resource manager secure permission enable" "0,1" bitfld.long 0x4 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "DID,Resource manager domain ID" bitfld.long 0x4 2. "DID_EN,Resource manager Domain ID enable" "0,1" bitfld.long 0x4 1. "RES_MGR_EN_LOCK,Lock for RES_MGR_EN" "0,1" bitfld.long 0x4 0. "RES_MGR_EN,Resource manager enable. When the bit is disable there is no resource manager." "0,1" line.long 0x8 "RES_MGR_MA0,This register is used to assign master 0~31 as resource manager." hexmask.long 0x8 0.--31. 1. "MID,Assign master 0~31 for resource manager" line.long 0xC "RES_MGR_MA1,This register is used to assign master 32~63 as resource manager." hexmask.long 0xC 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." line.long 0x10 "RES_MGR_MA2,This register is used to assign master 64~95 as resource manager." hexmask.long 0x10 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." line.long 0x14 "RES_MGR_MA3,This register is used to assign master 96~127 as resource manager." hexmask.long 0x14 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2100)++0x3 line.long 0x0 "GRP_MGR_$1,Group Manager Register. This register is used to assign group manager for each domain" bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Group manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x0 8. "SEC_PER_EN,Group manager secure permission enable" "0,1" bitfld.long 0x0 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "DID,Group manager domain ID" bitfld.long 0x0 2. "DID_EN,Group manager Domain ID enable" "0,1" bitfld.long 0x0 1. "GRP_MGR_EN_LOCK,Lock write for GPR_MGR_EN" "0,1" bitfld.long 0x0 0. "GRP_MGR_EN,Group manager enable. When the bit is disable there is no resource manager." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2104)++0x3 line.long 0x0 "GRP_MGR_MA0_$1,Assign master 0~31 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2108)++0x3 line.long 0x0 "GRP_MGR_MA1_$1,Assign master 32~63 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x210C)++0x3 line.long 0x0 "GRP_MGR_MA2_$1,Assign master 64~95 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2110)++0x3 line.long 0x0 "GRP_MGR_MA3_$1,Assign master 96~127 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x2200++0x3 line.long 0x0 "DOM_GID_0,Indicate which group domain 0 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2204)++0x3 line.long 0x0 "DOM_OWN_$1,Assign owner for function domain 0." bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Domain owner secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x0 8. "SEC_PER_EN,Domain owner secure permission enable" "0,1" newline bitfld.long 0x0 1. "DOM_OWN_EN_LOCK,Lock for DOM_OWN_EN" "0,1" bitfld.long 0x0 0. "DOM_OWN_EN,Domain owner enable. When the bit is disable there is no domain owner." "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2208)++0x3 line.long 0x0 "DOM_OWN_MA0_$1,Assign master 0~31 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x220C)++0x3 line.long 0x0 "DOM_OWN_MA1_$1,Assign master 32~63 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2210)++0x3 line.long 0x0 "DOM_OWN_MA2_$1,Assign master 64~95 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2214)++0x3 line.long 0x0 "DOM_OWN_MA3_$1,Assign master 96~127 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x2218++0x3 line.long 0x0 "DOM_GID_1,Indicate which group domain 1 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2230++0x3 line.long 0x0 "DOM_GID_2,Indicate which group domain 2 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2248++0x3 line.long 0x0 "DOM_GID_3,Indicate which group domain 3 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2260++0x3 line.long 0x0 "DOM_GID_4,Indicate which group domain 4 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2278++0x3 line.long 0x0 "DOM_GID_5,Indicate which group domain 5 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2290++0x3 line.long 0x0 "DOM_GID_6,Indicate which group domain 6 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22A8++0x3 line.long 0x0 "DOM_GID_7,Indicate which group domain 7 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22C0++0x3 line.long 0x0 "DOM_GID_8,Indicate which group domain 8 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22D8++0x3 line.long 0x0 "DOM_GID_9,Indicate which group domain 9 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22F0++0x3 line.long 0x0 "DOM_GID_10,Indicate which group domain 10 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2308++0x3 line.long 0x0 "DOM_GID_11,Indicate which group domain 11 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2320++0x3 line.long 0x0 "DOM_GID_12,Indicate which group domain 12 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2338++0x3 line.long 0x0 "DOM_GID_13,Indicate which group domain 13 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2350++0x3 line.long 0x0 "DOM_GID_14,Indicate which group domain 14 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2368++0x3 line.long 0x0 "DOM_GID_15,Indicate which group domain 15 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2408)++0x3 line.long 0x0 "SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x240C)++0x3 line.long 0x0 "SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2410)++0x3 line.long 0x0 "SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2414)++0x3 line.long 0x0 "SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2418)++0x3 line.long 0x0 "PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x241C)++0x3 line.long 0x0 "PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2420)++0x3 line.long 0x0 "PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2424)++0x3 line.long 0x0 "PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2428)++0x3 line.long 0x0 "DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x242C)++0x3 line.long 0x0 "DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets permission.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2430)++0x3 line.long 0x0 "DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing will.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3100)++0x3 line.long 0x0 "RGN_DOM_$1,Assign domain for peripheral. It can be only configured by resource and group manager" bitfld.long 0x0 31. "LOCK,When this bit is set lock write for all the bits in the register." "0,1" bitfld.long 0x0 4. "SET,Set together with DID would change permission of this resource to following setting: 1) Domain access control enabled. 2) Read/Write allowed for the domain owner. 3) Read/Write not allowed for all the other domains. If this bit is not set only DID.." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Assign domain for peripheral" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3104)++0x3 line.long 0x0 "RGN_DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3108)++0x3 line.long 0x0 "RGN_DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x310C)++0x3 line.long 0x0 "RGN_SEC_PER0_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3110)++0x3 line.long 0x0 "RGN_SEC_PER1_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3114)++0x3 line.long 0x0 "RGN_SEC_PER2_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3118)++0x3 line.long 0x0 "RGN_SEC_PER3_$1,This register is only used for resource manager to control secure access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_SEC_EN,Domain secure permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x311C)++0x3 line.long 0x0 "RGN_PRI_PER0_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM3_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM3_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM3_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM3_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM2_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM2_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM2_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM2_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM1_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM1_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM1_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM1_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM0_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM0_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM0_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3120)++0x3 line.long 0x0 "RGN_PRI_PER1_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM7_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM7_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM7_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM7_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM6_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM6_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM6_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM6_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM5_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM5_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM5_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM5_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM4_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM4_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM4_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM4_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3124)++0x3 line.long 0x0 "RGN_PRI_PER2_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM11_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM11_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM11_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM11_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM10_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM10_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM10_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM10_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM9_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM9_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM9_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM9_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM8_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM8_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM8_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3128)++0x3 line.long 0x0 "RGN_PRI_PER3_$1,This register is only used for resource manager to control privileged access permission." bitfld.long 0x0 31. "DOM15_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 27.--28. "DOM15_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 25.--26. "DOM15_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 24. "DOM15_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 23. "DOM14_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 19.--20. "DOM14_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 17.--18. "DOM14_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM14_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 15. "DOM13_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 11.--12. "DOM13_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 9.--10. "DOM13_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM13_PRI_EN,Domain privileged permission control enable" "0,1" newline bitfld.long 0x0 7. "DOM12_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM12_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM12_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM12_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x312C)++0x3 line.long 0x0 "RGN_DOM_SEC_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets.." bitfld.long 0x0 7. "DOM_LOCK,Domain secure access permission control lock. Once this bit is set corresponding DOMx_SEC_PER DOMx_NSE_PER DOMx_SEC_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_NSE_PER,Domain non-secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_SEC_PER,Domain secure access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_SEC_EN,Domain secure permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3130)++0x3 line.long 0x0 "RGN_DOM_PRI_PER_$1,This register is only used for domain master to control secure access permission. When the domain master write this register. it only changes the secure permission for itself. When the domain master read this register. it gets.." bitfld.long 0x0 7. "DOM_LOCK,Domain privileged access permission control lock. Once this bit is set corresponding DOMx_PRI_PER DOMx_USE_PER DOMx_PRI_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 3.--4. "DOM_USE_PER,Domain user access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 1.--2. "DOM_PRI_PER,Domain privileged access permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 0. "DOM_PRI_EN,Domain privileged permission control enable" "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x38 0x70 0xA8 0xE0 0x118 0x150 0x188 0x1C0 0x1F8 0x230 0x268 0x2A0 0x2D8 0x310 0x348 ) group.long ($2+0x3134)++0x3 line.long 0x0 "RGN_DOM_ASSIGN_$1,Use to assign domain for accessing DOM_SEC_PER and DOM_PRI_PER register. Only resource manager. group manager and domain owner can access this register. For write. group manager must write its owen domain ID. otherwise write accessing.." hexmask.long.byte 0x0 0.--3. 1. "DID,accessing DOM_SEC_PER and DOM_PRI_PER register" repeat.end tree.end tree "RPC_XPU_DISP_GLOBAL" base ad:0xF6FFE000 repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2)++0x3 line.long 0x0 "REGG0_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x400)++0x3 line.long 0x0 "REGG1_DOM_$1,Assign domain for CKGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x800)++0x3 line.long 0x0 "REGG2_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0xC00)++0x3 line.long 0x0 "REGG3_DOM_$1,Assign domain for SCR registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1000)++0x3 line.long 0x0 "REGG4_DOM_$1,Assign domain for RSTGEN registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1400)++0x3 line.long 0x0 "REGG5_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1800)++0x3 line.long 0x0 "REGG6_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x4 0x8 0xC 0x10 0x14 0x18 0x1C 0x20 0x24 0x28 0x2C 0x30 0x34 0x38 0x3C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x40 0x44 0x48 0x4C 0x50 0x54 0x58 0x5C 0x60 0x64 0x68 0x6C 0x70 0x74 0x78 0x7C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x80 0x84 0x88 0x8C 0x90 0x94 0x98 0x9C 0xA0 0xA4 0xA8 0xAC 0xB0 0xB4 0xB8 0xBC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0xC0 0xC4 0xC8 0xCC 0xD0 0xD4 0xD8 0xDC 0xE0 0xE4 0xE8 0xEC 0xF0 0xF4 0xF8 0xFC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x40 0x41 0x42 0x43 0x44 0x45 0x46 0x47 0x48 0x49 0x4A 0x4B 0x4C 0x4D 0x4E 0x4F )(list 0x100 0x104 0x108 0x10C 0x110 0x114 0x118 0x11C 0x120 0x124 0x128 0x12C 0x130 0x134 0x138 0x13C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x50 0x51 0x52 0x53 0x54 0x55 0x56 0x57 0x58 0x59 0x5A 0x5B 0x5C 0x5D 0x5E 0x5F )(list 0x140 0x144 0x148 0x14C 0x150 0x154 0x158 0x15C 0x160 0x164 0x168 0x16C 0x170 0x174 0x178 0x17C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x60 0x61 0x62 0x63 0x64 0x65 0x66 0x67 0x68 0x69 0x6A 0x6B 0x6C 0x6D 0x6E 0x6F )(list 0x180 0x184 0x188 0x18C 0x190 0x194 0x198 0x19C 0x1A0 0x1A4 0x1A8 0x1AC 0x1B0 0x1B4 0x1B8 0x1BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x70 0x71 0x72 0x73 0x74 0x75 0x76 0x77 0x78 0x79 0x7A 0x7B 0x7C 0x7D 0x7E 0x7F )(list 0x1C0 0x1C4 0x1C8 0x1CC 0x1D0 0x1D4 0x1D8 0x1DC 0x1E0 0x1E4 0x1E8 0x1EC 0x1F0 0x1F4 0x1F8 0x1FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x80 0x81 0x82 0x83 0x84 0x85 0x86 0x87 0x88 0x89 0x8A 0x8B 0x8C 0x8D 0x8E 0x8F )(list 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0x90 0x91 0x92 0x93 0x94 0x95 0x96 0x97 0x98 0x99 0x9A 0x9B 0x9C 0x9D 0x9E 0x9F )(list 0x240 0x244 0x248 0x24C 0x250 0x254 0x258 0x25C 0x260 0x264 0x268 0x26C 0x270 0x274 0x278 0x27C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xA0 0xA1 0xA2 0xA3 0xA4 0xA5 0xA6 0xA7 0xA8 0xA9 0xAA 0xAB 0xAC 0xAD 0xAE 0xAF )(list 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0 0x2B4 0x2B8 0x2BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xB0 0xB1 0xB2 0xB3 0xB4 0xB5 0xB6 0xB7 0xB8 0xB9 0xBA 0xBB 0xBC 0xBD 0xBE 0xBF )(list 0x2C0 0x2C4 0x2C8 0x2CC 0x2D0 0x2D4 0x2D8 0x2DC 0x2E0 0x2E4 0x2E8 0x2EC 0x2F0 0x2F4 0x2F8 0x2FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xC0 0xC1 0xC2 0xC3 0xC4 0xC5 0xC6 0xC7 0xC8 0xC9 0xCA 0xCB 0xCC 0xCD 0xCE 0xCF )(list 0x300 0x304 0x308 0x30C 0x310 0x314 0x318 0x31C 0x320 0x324 0x328 0x32C 0x330 0x334 0x338 0x33C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xD0 0xD1 0xD2 0xD3 0xD4 0xD5 0xD6 0xD7 0xD8 0xD9 0xDA 0xDB 0xDC 0xDD 0xDE 0xDF )(list 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35C 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xE0 0xE1 0xE2 0xE3 0xE4 0xE5 0xE6 0xE7 0xE8 0xE9 0xEA 0xEB 0xEC 0xED 0xEE 0xEF )(list 0x380 0x384 0x388 0x38C 0x390 0x394 0x398 0x39C 0x3A0 0x3A4 0x3A8 0x3AC 0x3B0 0x3B4 0x3B8 0x3BC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end repeat 16. (list 0xF0 0xF1 0xF2 0xF3 0xF4 0xF5 0xF6 0xF7 0xF8 0xF9 0xFA 0xFB 0xFC 0xFD 0xFE 0xFF )(list 0x3C0 0x3C4 0x3C8 0x3CC 0x3D0 0x3D4 0x3D8 0x3DC 0x3E0 0x3E4 0x3E8 0x3EC 0x3F0 0x3F4 0x3F8 0x3FC ) group.long ($2+0x1C00)++0x3 line.long 0x0 "REGG7_DOM_$1,Assign domain for IOMUX_CTRL registers." bitfld.long 0x0 7. "PER_SEL_LOCK,Lock write for PER_SEL" "0,1" bitfld.long 0x0 5.--6. "PER_SEL,Select one of 4 permision setting for domain." "0,1,2,3" bitfld.long 0x0 4. "DID_LOCK,Lock write for DID and DOM_SET." "0,1" hexmask.long.byte 0x0 0.--3. 1. "DID,Domain ID for register" repeat.end group.long 0x2000++0x17 line.long 0x0 "GLB_CTL,This register provides global enable settings for permission control." bitfld.long 0x0 5. "DOM_CFG_LOCK,Lock write for DOM_CFG_MODE bit." "0,1" bitfld.long 0x0 4. "DOM_CFG_MODE,When enabled all the source setting can be configured by master from domain 0 if it is not locked. When disabled only resource manager can configure the permission of the resource." "0,1" bitfld.long 0x0 3. "PERCK_DIS_LOCK,Lock write for PERCK_DIS" "0,1" bitfld.long 0x0 2. "PERCK_DIS,All the permission check disable." "0,1" newline bitfld.long 0x0 1. "DOM_PRO_LOCK,Lock write for DOM_PRO_EN bit." "0,1" bitfld.long 0x0 0. "DOM_PRO_EN,When disabled no domain access permission check" "0,1" line.long 0x4 "RES_MGR,Resource manager register. This regster is used to assign resource manager for global resource access control" bitfld.long 0x4 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x4 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x4 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x4 13.--14. "PRI_PER,Resource manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x4 12. "PRI_PER_EN,Resource manager privileged access permission enable." "0,1" bitfld.long 0x4 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x4 9.--10. "SEC_PER,Resource manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x4 8. "SEC_PER_EN,Resource manager secure permission enable" "0,1" bitfld.long 0x4 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" newline hexmask.long.byte 0x4 3.--6. 1. "DID,Resource manager domain ID" bitfld.long 0x4 2. "DID_EN,Resource manager Domain ID enable" "0,1" bitfld.long 0x4 1. "RES_MGR_EN_LOCK,Lock for RES_MGR_EN" "0,1" bitfld.long 0x4 0. "RES_MGR_EN,Resource manager enable. When the bit is disable there is no resource manager." "0,1" line.long 0x8 "RES_MGR_MA0,This register is used to assign master 0~31 as resource manager." hexmask.long 0x8 0.--31. 1. "MID,Assign master 0~31 for resource manager" line.long 0xC "RES_MGR_MA1,This register is used to assign master 32~63 as resource manager." hexmask.long 0xC 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." line.long 0x10 "RES_MGR_MA2,This register is used to assign master 64~95 as resource manager." hexmask.long 0x10 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." line.long 0x14 "RES_MGR_MA3,This register is used to assign master 96~127 as resource manager." hexmask.long 0x14 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2100)++0x3 line.long 0x0 "GRP_MGR_$1,Group Manager Register. This register is used to assign group manager for each domain" bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Group manager secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x0 8. "SEC_PER_EN,Group manager secure permission enable" "0,1" bitfld.long 0x0 7. "DID_LOCK,Lock write for DID_EN and DID" "0,1" newline hexmask.long.byte 0x0 3.--6. 1. "DID,Group manager domain ID" bitfld.long 0x0 2. "DID_EN,Group manager Domain ID enable" "0,1" bitfld.long 0x0 1. "GRP_MGR_EN_LOCK,Lock write for GPR_MGR_EN" "0,1" bitfld.long 0x0 0. "GRP_MGR_EN,Group manager enable. When the bit is disable there is no resource manager." "0,1" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2104)++0x3 line.long 0x0 "GRP_MGR_MA0_$1,Assign master 0~31 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2108)++0x3 line.long 0x0 "GRP_MGR_MA1_$1,Assign master 32~63 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x210C)++0x3 line.long 0x0 "GRP_MGR_MA2_$1,Assign master 64~95 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 8. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 )(list 0x0 0x14 0x28 0x3C 0x50 0x64 0x78 0x8C ) group.long ($2+0x2110)++0x3 line.long 0x0 "GRP_MGR_MA3_$1,Assign master 96~127 for group manager 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x2200++0x3 line.long 0x0 "DOM_GID_0,Indicate which group domain 0 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2204)++0x3 line.long 0x0 "DOM_OWN_$1,Assign owner for function domain 0." bitfld.long 0x0 17. "MID_LOCK,Lock write for MID_EN and MID_LOW and MID_HIG registers." "0,1" bitfld.long 0x0 16. "MID_EN,Master ID check enable" "0,1" bitfld.long 0x0 15. "PRI_PER_LOCK,Lock write for PRI_PER and PRI_PER_EN" "0,1" bitfld.long 0x0 13.--14. "PRI_PER,Group manager privileged access permission. bit0 for privileged access bit1 for user access" "0,1,2,3" newline bitfld.long 0x0 12. "PRI_PER_EN,Group manager privileged access permission enable." "0,1" bitfld.long 0x0 11. "SEC_PER_LOCK,Lock for SEC_PER and SEC_PER_LOCK" "0,1" bitfld.long 0x0 9.--10. "SEC_PER,Domain owner secure access permission. bit 0 for secure access bit 1 for non-secure access" "0,1,2,3" bitfld.long 0x0 8. "SEC_PER_EN,Domain owner secure permission enable" "0,1" newline bitfld.long 0x0 1. "DOM_OWN_EN_LOCK,Lock for DOM_OWN_EN" "0,1" bitfld.long 0x0 0. "DOM_OWN_EN,Domain owner enable. When the bit is disable there is no domain owner." "0,1" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2208)++0x3 line.long 0x0 "DOM_OWN_MA0_$1,Assign master 0~31 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Assign master 0~31 for resource manager" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x220C)++0x3 line.long 0x0 "DOM_OWN_MA1_$1,Assign master 32~63 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 32~63 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2210)++0x3 line.long 0x0 "DOM_OWN_MA2_$1,Assign master 64~95 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 64~95 is resource manager." repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x18 0x30 0x48 0x60 0x78 0x90 0xA8 0xC0 0xD8 0xF0 0x108 0x120 0x138 0x150 0x168 ) group.long ($2+0x2214)++0x3 line.long 0x0 "DOM_OWN_MA3_$1,Assign master 96~127 for domain owner 0" hexmask.long 0x0 0.--31. 1. "MID,Indicate if master 96~127 is resource manager." repeat.end group.long 0x2218++0x3 line.long 0x0 "DOM_GID_1,Indicate which group domain 1 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2230++0x3 line.long 0x0 "DOM_GID_2,Indicate which group domain 2 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2248++0x3 line.long 0x0 "DOM_GID_3,Indicate which group domain 3 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2260++0x3 line.long 0x0 "DOM_GID_4,Indicate which group domain 4 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2278++0x3 line.long 0x0 "DOM_GID_5,Indicate which group domain 5 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2290++0x3 line.long 0x0 "DOM_GID_6,Indicate which group domain 6 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22A8++0x3 line.long 0x0 "DOM_GID_7,Indicate which group domain 7 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22C0++0x3 line.long 0x0 "DOM_GID_8,Indicate which group domain 8 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22D8++0x3 line.long 0x0 "DOM_GID_9,Indicate which group domain 9 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x22F0++0x3 line.long 0x0 "DOM_GID_10,Indicate which group domain 10 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2308++0x3 line.long 0x0 "DOM_GID_11,Indicate which group domain 11 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2320++0x3 line.long 0x0 "DOM_GID_12,Indicate which group domain 12 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2338++0x3 line.long 0x0 "DOM_GID_13,Indicate which group domain 13 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2350++0x3 line.long 0x0 "DOM_GID_14,Indicate which group domain 14 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" group.long 0x2368++0x3 line.long 0x0 "DOM_GID_15,Indicate which group domain 15 belong to" bitfld.long 0x0 3. "LOCK,Lock write for GID" "0,1" bitfld.long 0x0 0.--2. "GID,Group ID" "0,1,2,3,4,5,6,7" repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2400)++0x3 line.long 0x0 "DOM_PER0_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM7_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM7_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM7_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM6_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM6_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM6_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM5_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM5_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM5_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM4_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM4_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM4_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM3_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM3_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM3_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM2_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM2_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM2_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM1_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM1_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM1_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM0_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM0_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM0_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x0 0x1 0x2 0x3 0x4 0x5 0x6 0x7 0x8 0x9 0xA 0xB 0xC 0xD 0xE 0xF )(list 0x0 0x34 0x68 0x9C 0xD0 0x104 0x138 0x16C 0x1A0 0x1D4 0x208 0x23C 0x270 0x2A4 0x2D8 0x30C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x10 0x11 0x12 0x13 0x14 0x15 0x16 0x17 0x18 0x19 0x1A 0x1B 0x1C 0x1D 0x1E 0x1F )(list 0x340 0x374 0x3A8 0x3DC 0x410 0x444 0x478 0x4AC 0x4E0 0x514 0x548 0x57C 0x5B0 0x5E4 0x618 0x64C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x20 0x21 0x22 0x23 0x24 0x25 0x26 0x27 0x28 0x29 0x2A 0x2B 0x2C 0x2D 0x2E 0x2F )(list 0x680 0x6B4 0x6E8 0x71C 0x750 0x784 0x7B8 0x7EC 0x820 0x854 0x888 0x8BC 0x8F0 0x924 0x958 0x98C ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 9.--10. "DOM10_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 8. "DOM10_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 7. "DOM9_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 5.--6. "DOM9_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 4. "DOM9_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 3. "DOM8_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 1.--2. "DOM8_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 0. "DOM8_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" repeat.end repeat 16. (list 0x30 0x31 0x32 0x33 0x34 0x35 0x36 0x37 0x38 0x39 0x3A 0x3B 0x3C 0x3D 0x3E 0x3F )(list 0x9C0 0x9F4 0xA28 0xA5C 0xA90 0xAC4 0xAF8 0xB2C 0xB60 0xB94 0xBC8 0xBFC 0xC30 0xC64 0xC98 0xCCC ) group.long ($2+0x2404)++0x3 line.long 0x0 "DOM_PER1_$1,Domain Permission Control. It can be only configured by resource manager and domain owner." bitfld.long 0x0 31. "DOM15_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 29.--30. "DOM15_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 28. "DOM15_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 27. "DOM14_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 25.--26. "DOM14_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" newline bitfld.long 0x0 24. "DOM14_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 23. "DOM13_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 21.--22. "DOM13_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 20. "DOM13_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 19. "DOM12_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" newline bitfld.long 0x0 17.--18. "DOM12_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 16. "DOM12_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" bitfld.long 0x0 15. "DOM11_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_LOCK can not be written again." "0,1" bitfld.long 0x0 13.--14. "DOM11_PER,Domain permission 2'b11 : RW 2'b10 : WO 2'b01 : RO 2'b00 : NONE" "NONE,RO,WO,RW" bitfld.long 0x0 12. "DOM11_EN,Domain permission control enable 1 : enable 0 : disable" "disable,enable" newline bitfld.long 0x0 11. "DOM10_LOCK,Domain permission control lock. Once this bit is set corresponding DOMx_PER and DOMx_EN and DOMx_